diff --git a/com_linux/com_linux/com_linux.pro.user b/com_linux/com_linux/com_linux.pro.user index a4236b5..199ceba 100644 --- a/com_linux/com_linux/com_linux.pro.user +++ b/com_linux/com_linux/com_linux.pro.user @@ -1,6 +1,6 @@ - + EnvironmentId diff --git a/com_linux/com_linux/lattice_com_reign.cpp b/com_linux/com_linux/lattice_com_reign.cpp index 8dbe9d4..e972d29 100644 --- a/com_linux/com_linux/lattice_com_reign.cpp +++ b/com_linux/com_linux/lattice_com_reign.cpp @@ -9,8 +9,8 @@ lattice_com_reign::lattice_com_reign(QWidget *parent) : { ui->setupUi(this); log = ""; - on_com_reflseh_clicked(); - tmr.setInterval(100); + on_com_reflesh_clicked(); + tmr.setInterval(200); tmr.setSingleShot(false); //tmr.setTimerType(); QObject::connect(&tmr, SIGNAL(timeout()), this, SLOT (com_data_received())); @@ -18,7 +18,8 @@ lattice_com_reign::lattice_com_reign(QWidget *parent) : QWidget* widgets_unlocked[] = { ui->com_tx }; for (int i = 0; i < sizeof(widgets_unlocked)/sizeof(QWidget*); i++) widgets_unlocked[i]->setEnabled(com.isOpen()); - tmr.start(1); + tmr.start(200); + i2c_data.clear(); } lattice_com_reign::~lattice_com_reign() @@ -26,15 +27,21 @@ lattice_com_reign::~lattice_com_reign() delete ui; } -void lattice_com_reign::on_com_reflseh_clicked() +void lattice_com_reign::on_com_reflesh_clicked() { com_list = QSerialPortInfo::availablePorts(); for (int i = 0; i < com_list.length(); i++) { - if (com_list.at(i).portName() == "ttyUSB0") - com_list.removeAt(i); + //toLog ("COM: " + com_list.at(i).portName() + ", DESC: " + com_list.at(i).description()); + //if (com_list.at(i).portName() == "ttyUSB0") + // com_list.removeAt(i); } + QObject::disconnect(ui->com_list, SIGNAL(currentIndexChanged(int)), this, SLOT(on_com_list_currentIndexChanged(int))); + for (int i = ui->com_list->count(); i >=0; i--) + ui->com_list->removeItem(i); for (int i = 0; i < com_list.length(); i++) ui->com_list->addItem(com_list.at(i).portName()); + QObject::connect(ui->com_list, SIGNAL(currentIndexChanged(int)), this, SLOT(on_com_list_currentIndexChanged(int))); + ui->com_list->setToolTip(com_list.at(ui->com_list->currentIndex()).description()); } void lattice_com_reign::on_com_list_currentIndexChanged(int index) @@ -87,28 +94,80 @@ void lattice_com_reign::on_com_tx_clicked() void lattice_com_reign::com_data_received() { + static int last_com_lines[3] = { 0, 0, 0 }; + static int com_lines[3]; ui->time->setText(QTime::currentTime().toString("HH:mm:ss")); if (com.isOpen() == false) return; QByteArray bytes_read = com.readAll(); + QString i2c_data_str = ""; QString com_outs_now = "CTS ";/* if (com.isRequestToSend()) com_outs_now = com_outs_now + "1, DTR "; else com_outs_now = com_outs_now + "0, DTR "; if (com.isDataTerminalReady()) com_outs_now = com_outs_now + "1"; else com_outs_now = com_outs_now + "0";*/ int pinout = com.pinoutSignals(); +#if 0 if (pinout & (QSerialPort::ClearToSendSignal)) com_outs_now = com_outs_now + "1, DSR "; - else com_outs_now = com_outs_now + "0, DSR "; + else com_outs_now = com_outs_now + "0, DSR "; if (pinout & (QSerialPort::DataSetReadySignal)) com_outs_now = com_outs_now + "1, DCD "; - else com_outs_now = com_outs_now + "0, DCD "; + else com_outs_now = com_outs_now + "0, DCD "; if (pinout & (QSerialPort::DataCarrierDetectSignal)) com_outs_now = com_outs_now + "1"; - else com_outs_now = com_outs_now + "0"; - if (com_outs_now != com_outs) { + else com_outs_now = com_outs_now + "0"; +#else + com_lines[0] = 0; com_lines[1] = 0; com_lines[2] = 0; + if (pinout & (QSerialPort::ClearToSendSignal)) com_lines[0] = 1; + if (pinout & (QSerialPort::DataSetReadySignal)) com_lines[1] = 1; + if (pinout & (QSerialPort::DataCarrierDetectSignal)) com_lines[2] = 1; + //if () +#endif + /*if (com_outs_now != com_outs) { com_outs = com_outs_now; toLog(com_outs); + }*/ + if (bytes_read.length() == 0) { + if (i2c_data.length() != 0) { + if (i2c_data.length() >= 3) { + if (((i2c_data.at(2) == 0x0A) && (i2c_data.at(3) == 0)) || ((i2c_data.at(2) == 0x1E) && (i2c_data.at(3) == 0)) \ + || ((i2c_data.at(2) == 0x05) && (i2c_data.at(3) == 1)) || ((i2c_data.at(2) == 0) && (i2c_data.at(3) == 0))) + i2c_data_str = "I2C DATA TO HOST: "; + else + i2c_data_str = "I2C DATA FROM HOST: "; + } + else + i2c_data_str = "I2C DATA FROM HOST: "; + for (int i = 0; i < i2c_data.length(); i++) + i2c_data_str = i2c_data_str + QString::number(i2c_data.at(i) & 0xFF, 16) + " "; + i2c_data.clear(); + toLog (i2c_data_str); + } + ui->read_progress->setText(""); + return; + } + for (int i = 0; i < bytes_read.length(); i++) { + //toLog ("RECEIVED BYTE " + QString::number(((int)(bytes_read.at(i)) & 0xFF), 16));// + ", PINOUT " + QString::number(pinout, 16)); + i2c_data.push_back(bytes_read.at(i)); + if (i2c_data.length() > 2) { + if (((i2c_data.at(i2c_data.length() - 1) & 0xFF) == 0xFF) && ((i2c_data.at(i2c_data.length() - 2) & 0xFF) == 0xFF)) { + if (i2c_data.length() >= 3) { + if (((i2c_data.at(2) == 0x0A) && (i2c_data.at(3) == 0)) || ((i2c_data.at(2) == 0x1E) && (i2c_data.at(3) == 0)) \ + || ((i2c_data.at(2) == 0x05) && (i2c_data.at(3) == 1)) || ((i2c_data.at(2) == 0) && (i2c_data.at(3) == 0))) + i2c_data_str = "I2C DATA TO HOST: "; + else + i2c_data_str = "I2C DATA FROM HOST: "; + } + else + i2c_data_str = "I2C DATA FROM HOST: "; + for (int i = 0; i < (i2c_data.length() - 2); i++) + i2c_data_str = i2c_data_str + QString::number(i2c_data.at(i) & 0xFF, 16) + " "; + i2c_data.clear(); + i2c_data.push_back(0xFF); i2c_data.push_back(0xFF); + toLog (i2c_data_str); + } + //qDebug ("I2C DATA LEN %d, LAST DATA %d %d", i2c_data.length(), i2c_data.at(i2c_data.length() - 1), i2c_data.at(i2c_data.length() - 2)); + } + ui->read_progress->setText("Reading " + QString::number(i2c_data.length())); } - for (int i = 0; i < bytes_read.length(); i++) - toLog ("RECEIVED BYTE " + QString::number(((int)(bytes_read.at(i)) & 0xFF), 16) + ", PINOUT " + QString::number(pinout, 16)); } void lattice_com_reign::on_clear_log_clicked() @@ -116,3 +175,72 @@ void lattice_com_reign::on_clear_log_clicked() log = ""; ui->log->setText("LOG\n\n" + log); } + +#if 0 +/* + * Scan a report descriptor before the device is added to the bus. + * Sets device groups and other properties that determine what driver + * to load. + */ +int lattice_com_reign::hid_scan_report(__u8* stt, __u8* stp)//(struct hid_device *hid) +{ + struct hid_parser parser;//struct hid_parser *parser; + struct hid_item item; + __u8 *start = stt;//hid->dev_rdesc; + __u8 *end = stp;//start + hid->dev_rsize; + static int (*dispatch_type[])(struct hid_parser *parser, + struct hid_item *item) = { + hid_scan_main, + hid_parser_global, + hid_parser_local, + hid_parser_reserved + }; + + //parser = vzalloc(sizeof(struct hid_parser)); + //if (!parser) + // return -ENOMEM; + + parser.device = hid; + //hid->group = HID_GROUP_GENERIC; + + /* + * The parsing is simpler than the one in hid_open_report() as we should + * be robust against hid errors. Those errors will be raised by + * hid_open_report() anyway. + */ + while ((start = fetch_item(start, end, &item)) != NULL) + dispatch_type[item.type](&parser, &item); + + /* + * Handle special flags set during scanning. + */ + if ((parser.scan_flags & HID_SCAN_FLAG_MT_WIN_8) && + (hid->group == HID_GROUP_MULTITOUCH)) + hid->group = HID_GROUP_MULTITOUCH_WIN_8; + + /* + * Vendor specific handlings + */ +#if 0 + switch (hid->vendor) { + case USB_VENDOR_ID_WACOM: + hid->group = HID_GROUP_WACOM; + break; + case USB_VENDOR_ID_SYNAPTICS: + if (hid->group == HID_GROUP_GENERIC) + if ((parser->scan_flags & HID_SCAN_FLAG_VENDOR_SPECIFIC) + && (parser->scan_flags & HID_SCAN_FLAG_GD_POINTER)) + /* + * hid-rmi should take care of them, + * not hid-generic + */ + hid->group = HID_GROUP_RMI; + break; + } +#endif + + //kfree(parser->collection_stack); + //vfree(parser); + return 0; +} +#endif diff --git a/com_linux/com_linux/lattice_com_reign.h b/com_linux/com_linux/lattice_com_reign.h index 5f9ef28..371c2eb 100644 --- a/com_linux/com_linux/lattice_com_reign.h +++ b/com_linux/com_linux/lattice_com_reign.h @@ -8,6 +8,8 @@ #include +#define __u8 unsigned char + namespace Ui { class lattice_com_reign; } @@ -23,7 +25,7 @@ public: private slots: void toLog (QString str); - void on_com_reflseh_clicked(); + void on_com_reflesh_clicked(); void on_com_list_currentIndexChanged(int index); @@ -33,6 +35,8 @@ private slots: void on_clear_log_clicked(); + //int hid_scan_report(__u8* stt, __u8* stp);//struct hid_device *hid); + protected slots: void com_data_received(); @@ -45,6 +49,8 @@ private: QString com_outs; QTimer tmr; + + QVector i2c_data; }; #endif // LATTICE_COM_REIGN_H diff --git a/com_linux/com_linux/lattice_com_reign.ui b/com_linux/com_linux/lattice_com_reign.ui index c870d83..0aaa843 100644 --- a/com_linux/com_linux/lattice_com_reign.ui +++ b/com_linux/com_linux/lattice_com_reign.ui @@ -6,7 +6,7 @@ 0 0 - 588 + 915 492 @@ -125,13 +125,51 @@ CLR + + + + 150 + 56 + 131 + 21 + + + + Reading... + + + + + + 620 + 130 + 256 + 192 + + + + false + + + + + 26 + 49 + 199 + + + + + true + + 0 0 - 588 + 915 25 diff --git a/ft232_qt/ft232_qt.pro b/ft232_qt/ft232_qt.pro index 8a74c63..ab33cf0 100644 --- a/ft232_qt/ft232_qt.pro +++ b/ft232_qt/ft232_qt.pro @@ -5,6 +5,7 @@ #------------------------------------------------- QT += core gui +QT += serialport greaterThan(QT_MAJOR_VERSION, 4): QT += widgets diff --git a/ft232_qt/ft232_qt.pro.user b/ft232_qt/ft232_qt.pro.user index 44c218a..5f9cbbc 100644 --- a/ft232_qt/ft232_qt.pro.user +++ b/ft232_qt/ft232_qt.pro.user @@ -1,6 +1,6 @@ - + EnvironmentId diff --git a/ft232_qt/ft232_reign.cpp b/ft232_qt/ft232_reign.cpp index 304eaf7..1696daa 100644 --- a/ft232_qt/ft232_reign.cpp +++ b/ft232_qt/ft232_reign.cpp @@ -12,7 +12,8 @@ QString last_write_data = "00"; QString hex_values[16] = { "0", "1", "2", "3", "4", "5", "6", "7", "8", "9", "A", "B", "C", "D", "E", "F" }; -int tmr_on = 0; +bool tmr_on = false; +bool out = false; ft232_reign::ft232_reign(QWidget *parent) : QMainWindow(parent), @@ -25,6 +26,10 @@ ft232_reign::ft232_reign(QWidget *parent) : tmr->setSingleShot(false); tmr->start(); QObject::connect(tmr, SIGNAL(timeout ()), this, SLOT(tmr_fxn())); + on_reflesh_com_clicked(); + on_com_int_line_currentIndexChanged(ui->com_int_line->currentIndex()); + i2c_hid_descr[10] = 2; + i2c_hid_descr[11] = 0; } ft232_reign::~ft232_reign() @@ -39,8 +44,8 @@ void ft232_reign::on_pushButton_clicked() //char desc[1024], serial[1024]; //i2c_open_index_port (0, desc, serial); - //if (bitbang_open (0, 1, 0) == 0) - // tmr_on = 1; + if (bitbang_open (0, 1, 255) == 0) + tmr_on = 1; } void ft232_reign::on_pushButton_2_clicked() @@ -50,15 +55,6 @@ void ft232_reign::on_pushButton_2_clicked() //tmr_on = 0; } -void ft232_reign::tmr_fxn() -{ - //if (tmr_on == 0) - // return; - //char rd[10]; - //bitbang_read(rd); - //qDebug ("Reading from FTDI bitbang: %x, %x, %x, %x", rd[0], rd[1], rd[2], rd[3]); -} - void ft232_reign::toLog(QString info) { log = QTime::currentTime().toString("HH:mm:ss") + "\t" + info + "\n" + log; @@ -214,3 +210,185 @@ void ft232_reign::on_read_input_report_cmd_clicked() toLog ("------------------------------------------"); } + +void ft232_reign::on_reflesh_com_clicked() +{ + com_list = QSerialPortInfo::availablePorts(); + //QObject::disconnect(ui->com_list, SIGNAL(currentIndexChanged(int)), this, SLOT(on_com_list_currentIndexChanged(int))); + for (int i = ui->com_list->count(); i >=0; i--) + ui->com_list->removeItem(i); + for (int i = 0; i < com_list.length(); i++) + ui->com_list->addItem(com_list.at(i).portName()); + //if (com_list.length() > 0) { + //QObject::connect(ui->com_list, SIGNAL(currentIndexChanged(int)), this, SLOT(on_com_list_currentIndexChanged(int))); + if (ui->com_list->currentIndex() >= 0) + ui->com_list->setToolTip(com_list.at(ui->com_list->currentIndex()).description()); + //} +} + +void ft232_reign::on_com_list_currentIndexChanged(int index) +{ + if (index >= 0) + ui->com_list->setToolTip(com_list.at(index).description()); +} + +void ft232_reign::on_com_connect_clicked() +{ + QWidget* widgets_locked[] = { ui->com_list, ui->reflesh_com }; + QWidget* widgets_unlocked[] = { ui->com_int_line, ui->en_int_evt, ui->label_int }; + if (ui->com_connect->text() == "Connect") { + com.setPortName(com_list.at(ui->com_list->currentIndex()).portName()); + if (com.open(QSerialPort::ReadWrite)) { + com.setParity(QSerialPort::NoParity); + com.setDataBits(QSerialPort::Data8); + com.setStopBits(QSerialPort::OneStop); + com.setFlowControl(QSerialPort::NoFlowControl); + com.setBaudRate(921600); + ui->com_connect->setText("Disconnect"); + toLog ("COM " + com.portName() + " OPENED OK"); + tmr_on = true; + } + else + toLog ("COM " + com.portName() + " OPEN ERROR"); + } + else { + com.close(); + toLog ("COM " + com.portName() + " CLOSED"); + ui->com_connect->setText("Connect"); + tmr_on = false; + } + for (int i = 0; i < sizeof(widgets_locked)/sizeof(QWidget*); i++) + widgets_locked[i]->setEnabled(!com.isOpen()); + for (int i = 0; i < sizeof(widgets_unlocked)/sizeof(QWidget*); i++) + widgets_unlocked[i]->setEnabled(com.isOpen()); +} + +void ft232_reign::on_com_int_line_currentIndexChanged(int index) +{ + switch (index) { + case 0: int_mask = QSerialPort::DataSetReadySignal; break; + case 1: int_mask = QSerialPort::DataCarrierDetectSignal; break; + case 2: int_mask = QSerialPort::ClearToSendSignal; break; } + qDebug ("COM INT MASK %u", int_mask); +} + +void ft232_reign::tmr_fxn() +{ + if (tmr_on == false) + return; + int inputs = com.pinoutSignals(); + if (((inputs & int_mask) != 0) && (out == false)) { + out = true; + ui->label_int->setText("INT ON (GND)"); + + } + else if (((inputs & int_mask) == 0) && (out == true)) { + out = false; + ui->label_int->setText("INT OFF (+3.3)"); + } + if (out == true) { + if (ui->en_int_evt->isChecked()) { + on_read_input_report_int_clicked(); + if ((data[0] == 0) && (data[1] == 0)) { + on_read_i2c_hid_desc_clicked(); + on_read_hid_report_desc_clicked(); + on_write_output_report_reg_clicked(); + } + } + } + /*if (out != ui->int_line->isChecked()) { + if (out) + //ui->int_line->setCheckable(true); + //ui->int_line->setChecked(out); + //ui->int_line->setCheckable(false); + }*/ + //qDebug ("COM LINES STATE: %u", inputs); + /*if (tmr_on == 0) + return; + char rd[10]; + bitbang_read(rd); + qDebug ("Reading from FTDI bitbang: %x, %x, %x, %x", rd[0], rd[1], rd[2], rd[3]);*/ +} + +void ft232_reign::on_write_output_report_reg_clicked() +{ + //if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) + // && (I2C_INPUT_DATA[2] == 32) && (I2C_INPUT_DATA[3] == 3) + // && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0) + // && (I2C_INPUT_DATA[6] == 1) && (I2C_INPUT_DATA[7] == 0)) begin + char data_led = 0; + if (ui->NUM->isChecked()) data_led = data_led | 1; + if (ui->CAPS->isChecked()) data_led = data_led | 2; + if (ui->SCROOL->isChecked()) data_led = data_led | 4; + toLog ("WRITING OUTPUT REPORT (TO OUTPUT ADRESS)"); + ui->i2c_length->setValue(5); + QString reg = hex_values[(i2c_hid_descr[12]>>4) & 0xF] + hex_values[(i2c_hid_descr[12]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[13]>>4) & 0xF] + hex_values[(i2c_hid_descr[13]>>0) & 0xF]; + reg = reg + " 01 00 "; // DATA LEN - 1 + reg = reg + hex_values[(data_led>>4) & 0xF] + hex_values[(data_led>>0) & 0xF]; + ui->i2c_write_data->setText(reg); + on_i2c_write_clicked(); + toLog ("------------------------------------------"); +} + +void ft232_reign::on_NUM_toggled(bool checked) +{ + on_write_output_report_reg_clicked(); +} + +void ft232_reign::on_CAPS_clicked() +{ + on_write_output_report_reg_clicked(); +} + +void ft232_reign::on_SCROOL_clicked() +{ + on_write_output_report_reg_clicked(); +} + +void ft232_reign::on_cmd_reset_clicked() +{ + //if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 0) && (I2C_INPUT_DATA[3] == 1)) + toLog ("WRITING RESET COMMAND"); + ui->i2c_length->setValue(4); + QString reg = hex_values[(i2c_hid_descr[16]>>4) & 0xF] + hex_values[(i2c_hid_descr[16]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[17]>>4) & 0xF] + hex_values[(i2c_hid_descr[17]>>0) & 0xF]; + reg = reg + " 00 01"; // COMMAND OPCODE - 1 (RESET), OTHER FIELDS - ZERO + ui->i2c_write_data->setText(reg); + on_i2c_write_clicked(); + toLog ("------------------------------------------"); +} + +void ft232_reign::on_write_output_report_cmd_clicked() +{ + char data_led = 0; + if (ui->NUM->isChecked()) data_led = data_led | 1; + if (ui->CAPS->isChecked()) data_led = data_led | 2; + if (ui->SCROOL->isChecked()) data_led = data_led | 4; + toLog ("WRITING OUTPUT REPORT (COMMAND)"); + ui->i2c_length->setValue(9); + QString reg = hex_values[(i2c_hid_descr[16]>>4) & 0xF] + hex_values[(i2c_hid_descr[16]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[17]>>4) & 0xF] + hex_values[(i2c_hid_descr[17]>>0) & 0xF]; + reg = reg + " 20 03 "; // DATA LEN - 1 + reg = reg + hex_values[(i2c_hid_descr[18]>>4) & 0xF] + hex_values[(i2c_hid_descr[18]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[19]>>4) & 0xF] + hex_values[(i2c_hid_descr[19]>>0) & 0xF]; + reg = reg + " 01 00 "; + reg = reg + hex_values[(data_led>>4) & 0xF] + hex_values[(data_led>>0) & 0xF]; + ui->i2c_write_data->setText(reg); + on_i2c_write_clicked(); + toLog ("------------------------------------------"); +} + +void ft232_reign::on_read_input_report_reg_clicked() +{ + toLog ("READING INPUT REPORT (ADRESS)"); + ui->i2c_length->setValue(2); + QString reg = hex_values[(i2c_hid_descr[8]>>4) & 0xF] + hex_values[(i2c_hid_descr[8]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[9]>>4) & 0xF] + hex_values[(i2c_hid_descr[9]>>0) & 0xF]; + ui->i2c_write_data->setText(reg); + on_i2c_write_clicked(); + QThread::msleep(100); + ui->i2c_length->setValue((i2c_hid_descr[10] & 0xFF) + (i2c_hid_descr[11] & 0xFF) * 256); + on_i2c_read_clicked(); + toLog ("------------------------------------------"); +} diff --git a/ft232_qt/ft232_reign.h b/ft232_qt/ft232_reign.h index 297cf0b..064cf9d 100644 --- a/ft232_qt/ft232_reign.h +++ b/ft232_qt/ft232_reign.h @@ -4,6 +4,9 @@ #include #include +#include +#include + namespace Ui { class ft232_reign; } @@ -46,9 +49,35 @@ private slots: void on_read_input_report_cmd_clicked(); + void on_reflesh_com_clicked(); + + void on_com_list_currentIndexChanged(int index); + + void on_com_connect_clicked(); + + void on_com_int_line_currentIndexChanged(int index); + + void on_write_output_report_reg_clicked(); + + void on_NUM_toggled(bool checked); + + void on_CAPS_clicked(); + + void on_SCROOL_clicked(); + + void on_cmd_reset_clicked(); + + void on_write_output_report_cmd_clicked(); + + void on_read_input_report_reg_clicked(); + private: Ui::ft232_reign *ui; + QList com_list; + QSerialPort com; + int int_mask; + QString log; int res; unsigned char data[1001]; diff --git a/ft232_qt/ft232_reign.ui b/ft232_qt/ft232_reign.ui index a885576..03b3fde 100644 --- a/ft232_qt/ft232_reign.ui +++ b/ft232_qt/ft232_reign.ui @@ -6,8 +6,8 @@ 0 0 - 627 - 671 + 795 + 598 @@ -111,9 +111,9 @@ 10 - 210 - 601 - 381 + 250 + 771 + 281 @@ -227,7 +227,7 @@ 380 - 130 + 160 231 27 @@ -240,7 +240,7 @@ 380 - 160 + 190 231 27 @@ -249,13 +249,245 @@ Read Input Report (Command) + + + + 650 + 0 + 85 + 27 + + + + + + + 750 + 0 + 31 + 27 + + + + R + + + + + + 650 + 50 + 131 + 27 + + + + <html><head/><body><p>This com must be in port B (port A is for I2C)</p></body></html> + + + Connect + + + + + false + + + + 650 + 80 + 131 + 27 + + + + + DSR (44-BD5) + + + + + DCD (45-BD6) + + + + + CTS (41-BD3) + + + + + + + 380 + 220 + 231 + 27 + + + + Read Input Report (From reg) + + + + + + 110 + 170 + 71 + 22 + + + + NUM + + + + + + 190 + 170 + 71 + 22 + + + + CAPS + + + + + + 270 + 170 + 91 + 22 + + + + SCROOL + + + + + + 110 + 220 + 251 + 27 + + + + Write Output Report (Command) + + + + + + 110 + 190 + 251 + 27 + + + + Write Output Report (To reg) + + + + + + 10 + 160 + 81 + 27 + + + + Reset + + + + + + 10 + 190 + 81 + 27 + + + + Pwr Sleep + + + + + + 10 + 220 + 81 + 27 + + + + Pwr On + + + + + false + + + + 650 + 110 + 131 + 22 + + + + <html><head/><body><p>Enables input report request when Interrupt occurs</p></body></html> + + + En. INT event + + + + + false + + + + 650 + 160 + 121 + 17 + + + + INT ON (GND) + + + + + + 650 + 186 + 131 + 61 + + + + CLEAR LOG + + 0 0 - 627 + 795 25 diff --git a/ft232_qt/i2c.c b/ft232_qt/i2c.c index 2ff43e0..79b53b0 100644 --- a/ft232_qt/i2c.c +++ b/ft232_qt/i2c.c @@ -126,6 +126,10 @@ int bitbang_open (int index, int port, int mask) return 3; } ftdi_set_bitmode(ftdi, mask, BITMODE_BITBANG); + char buf[1] = {0xFF}; + ftdi_write_data(ftdi, buf, 1); + buf[0] = 0x00; + ftdi_write_data(ftdi, buf, 1); return 0; } diff --git a/i2c_keyboard/.sconsign.dblite b/i2c_keyboard/.sconsign.dblite index 46280fd..a261223 100644 Binary files a/i2c_keyboard/.sconsign.dblite and b/i2c_keyboard/.sconsign.dblite differ diff --git a/i2c_keyboard/hardware.asc b/i2c_keyboard/hardware.asc index 5818bec..7f71b72 100644 --- a/i2c_keyboard/hardware.asc +++ b/i2c_keyboard/hardware.asc @@ -1,8 +1,8 @@ .comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) .device 1k .io_tile 1 0 -000011110000000010 -000111111000000000 +000001011000000010 +000101110000000000 000000000000000000 000000000000000001 000000000000000001 @@ -18,13 +18,13 @@ 000000000000000001 000000000000000000 .io_tile 2 0 -000001011000000010 +000001110000000010 000000000000000000 000000000000000000 000000000000000001 000000000000000001 000000000001000000 -001100000000000000 +001100000000011000 000000000000000000 000000000000000000 000100000000000000 @@ -54,16 +54,16 @@ .io_tile 4 0 000000000000000000 000100000000000000 -100000000001000000 -000000000000000001 +000000000000000000 +010000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 000000000000000000 000000000000000000 -100000000000000000 000000000000000000 +010000000000000000 000000000000000000 000000000000000001 000000000000000000 @@ -71,74 +71,74 @@ .io_tile 5 0 000000000000000000 000100000000000000 -100000000000000000 -000000000000000001 +000000000000000000 +010000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 000000000000000000 +010000000000000000 000000000000000000 -100000000000000000 000000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 .io_tile 6 0 -000000011000000000 -000100001000000000 -000000000000000000 000000000000000000 -000000000000000100 +000100000000000000 000000000000000000 -001000000000000000 000000000000000000 +000000000000000100 +000000000000001100 +001000000001000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000001100000 +000010000000000000 +000010010000000000 000000000000000000 000000000000000000 .io_tile 7 0 -000000000000001000 +000000000000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000001000 -000000000000001000 +000000000000001100 000000000000000000 000000000000000000 -000101010000000000 000000000000000000 +000100000000000000 000000000000000000 000000000000000000 -000000000000000001 +000010000000000000 +000011110000000001 000000000000000000 000000000000000000 .io_tile 8 0 +000000000000001000 +000100000000000000 000000000000000000 -000100000001000000 -000000000000100000 000000000000000000 000000000000000000 000000000000000000 +000000000000001000 000000000000000000 -000000000001000000 000000000000000000 000100000000000000 -000000000000000000 +010000000000000000 000000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 .io_tile 9 0 -000000000000000000 -000100000000000001 +000000000000011000 +000100000001000000 000000000000000000 000000000000000001 000000000000000000 @@ -146,7 +146,7 @@ 001000000000000000 000000000000000000 000000000000000000 -100000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -171,7 +171,7 @@ 000000000000000000 000000000000000000 .io_tile 11 0 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -239,39 +239,39 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 1 -000000000000000000000110010001100000000000001000000000 -000000000000000000000010000000001100000000000000000000 -111000000000000001000110010000001001001100111000000000 -000000000000000000000010000000001100110011000000000000 -000000000000000001100000000000001001001100111000000000 -000000000000000000000000000000001011110011000000000000 -000000000000000001100110010000001001001100110000000000 -000000000000000000000010000000001011110011000000000000 -110000000000000011100000001001101111100000000000000010 -000000000000001011100000001001101010000000000000000001 -000000000000000001100000000101000000000000000100000000 -000000000000000000000000000011000000000001000000000000 -000000000000000011100000000001000000000000000100000000 -000000000000001011100000000111100000000001000000000000 -000000000000000000000000000001000000000000000100000000 -000000000000000000000000000011100000000001000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000011100000000000000000000000000000000000 +100000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 3 1 -000000000001000000000000010000000000010000 -000000000000100000000011000000000000010000 -000000000000000000000000000000000000000100 -010000000000000000000000000000000000010000 -010000000000000000000000000000000000010100 -010000000000000000000000000000000000010000 -000000000000000000000000000000000000010000 -000000001100000000000000000000000000100000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000001001 -000000000000000000000000000000000000100000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000010000 -000000000000000000000000000000000000000010 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 4 1 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -280,100 +280,100 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 5 1 -000000000000000001000111110101011000110000000000000000 -000000000000001001000111110101101001111000000000000000 -111000000000001001100010010001100000000000000000000000 -000000000000001101000111110001100000000011000000000000 -110000000000001001100000001101000000000000110000000001 -110000000000001111000011111101001000000000000000000000 -000000000000000111110010000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -001000000000000000000000001001101010000011010000000000 -000000000000000000000000000101111000000011110000000000 -000000000000000000000000000001100000000011110000000000 -000000000000000000000000001111001001000011000000000000 -000000000000000000000010011101000000000010010110000010 -000000000000000000000011011101001000000001011000000000 -110000000000000000000000000001100000000010010100000000 -000000000000000000010000000001101011000001011000000101 +000000000000100000000111110000000000000000000000000000 +000000000000010000000110000000000000000000000000000000 +101000000000000001000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100001001100110000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000000100000000 +000000000000000000000000001001100000000001000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 6 1 -000000000000001000000110011111000000000010000000000000 -000000001110000111000010001011001100000000000000000000 -000010100000000001000000011001100000000001000000000000 -000000000000000001000010110001000000000000000000000000 -000000000000001001000000011101011010101100000000000000 -000000001100000001100010101101101010111100000000000000 -110001000000001001000010000101011000110000000000000000 -100010101010100101100100000011011101010100000000000000 -010000000000001011100111100001001011011100000000000000 -000000000000010011000000001001101010001100000000000000 -000000000001000000000011110000000000000000000000000000 -000000001000000000000110000000000000000000000000000000 -000000000000000000000011100111111100110000000000000000 -000000000000001001000100000001111111010100000000000000 -000100000000000000010000000000000000000000000000000000 -000101000010000000000010100000000000000000000000000000 +000000000000000001100111010001100001000000001000000000 +000000000000000000000110000000001010000000000000000000 +101000000000000001000110010000001001001100111000000000 +100000000000000000000010000000001011110011000000000000 +000000000000000000000110000000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000101100110010000001001001100110000000000 +000000000000000000000010000000001100110011000000000000 +010000000000000000000000001001101001100000000000000000 +010000000000000000000000000111111001000000000000000000 +000000000000000001100010001101000000000000000100000000 +000000000000000000000100001011100000000001000000000000 +000000000000000000000000001001000000000000000100000000 +000000000000000000000000001111000000000001000000000000 +000000000000000001100010001001000000000000000100000000 +000000000000000000000100001011100000000001000000000000 .logic_tile 7 1 -100000000110000001000000000000000000000000000101000000 -000000000000000000000010101001000000000010000000100001 -111000000000000000000000001000000000000000000100100000 -000000000000000000000000000011000000000010000000000000 -000000000000000011100000010000000000000000000100100001 -000000000000000000000010010101000000000010000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000010000000000001000000001000000000 +000000000000000000000100000000001010000000000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000001000010110000001101110011000000000100 +000001000000000000000000000000001000001100111000000000 +000010100000001001000000000000001010110011000000000000 +000000000000000000000000000000001001001100111000000100 +000000000000000000000010010000001100110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000001101000000000000001110110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000001101000000000000001110110011000000000000 +000000000000000101000000000000001000001100110000000000 +000000000000000000100000000000001011110011000000000000 +.logic_tile 8 1 +100010100000000011100000001000000000000000000100000010 +000000000000000000000000000101000000000010000000100000 +101000000000000000000000000000000000000000000100100000 +100000000000000000000000000001000000000010000000000001 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 000000000001000011100000000000000000000000000000000000 000000000000100000100000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000011000000000010000000000000 -000000000000000001000000000000000000000000000100100001 -000000001010001111100000000001000000000010000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000101000000000010000000100000 -000000000001000000000000000000000000000000000100000000 -000000001000000000000000001101000000000010000001000000 -.logic_tile 8 1 -000001000000000000000111100101100000000001000000000000 -000010000000000001000111101011100000000000000000000000 -111000000001001111100000000101000000000001000001000000 -000000000000000001000000000101100000000000000000000000 -010001000000000111100110001011111010010000000000000100 -010000000000001001000000001101011000000000000010100000 -000000000000001000000011010001111111100000000000000000 -000000000001000001000010001001001110000000000000000000 -000000000000000000000110101011011101010000000000000000 -000000000000000000000011010011111101000000000000000000 -000010000110100101100110110000000000000000000000000000 -000001000000011001000010100000000000000000000000000000 -000000000000000000000000000101100000000001000000000000 -000000000000000000000000000101000000000000000000000000 -010000000000011101100000001000000000000000000100000110 -100100000000100101000000000001000000000010001100000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000100000010 +000000100000000000000000001111000000000010000001000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000010010101000000000010000000100000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 .logic_tile 9 1 -000000000000000000000010010101100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111010100000001001100110010000000000000000001000000000 -000001000000000001000010000000001001000000000000000000 -010100000000000000000000000000001000001100111100000100 -100100000000000000000000000000001001110011000000000100 -001000000100000000000000000000001000001100111101000000 -000000000000000000000010010000001101110011000010000000 -000000000000000000000110000000001001001100111100100100 -000000000000000000000000000000001000110011000000100000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000100 -001000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000100 -010000000001000000000000000000001001001100111100000101 -000000000000100000000000000000001001110011000000000000 +100000000000000011100000000000000000000000000100000010 +000000000000000101000000000001000000000010000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000001000000000000000001101000000000010000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000000001000000000010000000000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 @@ -392,39 +392,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 1 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111001000000001000000000000000000000000000000101000000 -000010001000001101000000000101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000001000000 -000000100000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 1 +101000000000000000000000000000000000000000000000000000 100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000110000000 -000000000000000000000000001111000000000010000000100000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000101000000 +000000000000000000000000001101000000000010001100000100 +.logic_tile 12 1 +000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +101000000000000101000110000000000000000000000000000000 +100000000000001101100000000000000000000000000000000000 +110000000000000000000010100011100000000000000000000000 +110000000000000000000100001101000000000001000000000000 +000000000000001011000010100101100001000011000000000000 +000000000000000001000100000001001000000011110000000100 +000000000000000000000000001001100000000011000000000000 +000000000000000000000000001101100000000000000000000000 +000000000000000001100000001001111010000100100000000000 +000000000000000000000000000101001000000000000000000000 +000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000011000000000000000000000000000000 -000100100000100000000000000000000000000000000000000000 +110000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000100000000 .io_tile 13 1 000000000000000000 000000000000000000 @@ -432,7 +432,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000001000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -446,7 +446,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000011000 000000000000000000 000000000000000000 000100000000000000 @@ -460,167 +460,48 @@ 000000000000000000 000000000000000000 .logic_tile 1 2 -000000000000000000000000000101000000000000001000000000 -000000000000000000000010110000000000000000000000001000 -000000000000000101000010100000000001000000001000000000 -000000000000000000100110110000001001000000000000000000 -000000000000000101000000000000000001000000001000000000 -000000000000001101100000000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000001101000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000001 +100000000000000000000000000000000000000000000100000000 +000000000000000000000000000111000000000010000000000100 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 2 -000000000000001111000000000001100000000000001000000000 -000000000000000111000000000000100000000000000000001000 -111000000000000011000010000101000000000000001000000000 -000000000000000000000100000000101110000000000000000000 -010000000000000000000010000001001000001100111000000011 -100000000000000000000100000000001101110011000001000000 -000000000000000001100000000101101000001100111000000000 -000000000000001101000000000000001110110011000000000001 -000000000000000000000000000000001000111100000000000000 -000000000000000011000011110000000000111100000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000001000000000000000000000000000000100000010 -000000000000000111000000000011000000000010000000000000 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000001000000000011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000001000000 +000000000000000000000000000111000000000000000000000000 +000000000000000001010010000000000000000010000010000000 +000000001010000000100100000101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000001000000 +000000000000001011000000001101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 2 000000000000000000000000000000000000000000 -000000010000000000000011011001000000000000 -111000000000000000000000000000000000000000 -000000010000000000000000000101000000000000 -000000000000000000000000000000000000000000 -000000000000001011000000000111000000000000 -000000000000000000000110101000000000000000 -000000000000000000000000000111000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000001101000000000000 -000001100000000101100000001000000000000000 -000010100000000000000000001111000000000000 -000100000000000101100000001000000000000000 -000100000000000000000000001111000000000000 -110000000001001111100110111000000000000000 -110000000000000101100010100011000000000000 -.logic_tile 4 2 -000000000000000011000010001001100000000001100000000000 -000000000000000001000000000001101011000000110001000000 -111000000000100000000011101000000000000000000100000000 -000000000001010001000100000111000000000010000000000000 -010000001010001000000110000000000000000000000000000000 -100000000000000001000000000000000000000000000000000000 -010000000000000000000000000000000000000000000100000010 -000000000000000000000000000101000000000010000010000001 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000111000000000011000000000000 -000000000000100101100000001000000000000000000100000000 -000000000001000000000000000011000000000010000000000000 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000111100000000011000000000000 -000000000000001101100000001001000000000001000100000000 -000000000000000101000000000011000000000011000000000000 -.logic_tile 5 2 -000000000010001001100110011011100001000011110000000000 -000000000110000001000010000011001011000011000000000000 -111000000000001101000111101011100001000000000000000000 -000000000000000001100000001101101010000000110000000000 -010000000000000001100000000001111000100101010000000000 -100000000000000101000010100011011101100110100000000000 -000000000000001111000111111101100000000001000000000000 -000000001010001011000111000111001000000011000000000000 -000000000000000101000111111001001100000011100000000000 -000000000000000001000011101101101000000011000000000000 -000000000000000001000000000111011000000100000000100000 -000000000000001011100011110101101011000000000000000000 -000000000000001101000010101101001100000010110100100000 -000000000000000001000000000101001010000000110000000000 -000010100000001000000000000001001110000011100100100000 -000000000000000101000000001011101110000011000000000000 -.logic_tile 6 2 -000000000000001001100011101001001001010100000000000000 -000000000000000001100011010111011101110000000000000000 -000000000000001001100000001001000000000010000000000000 -000000000000000111100000001101000000000000000000000000 -001000000000000001100110011001111111010100000000000000 -000000000000001101000010000001001101110000000000000000 -000000000000000011100000010011011111010100000000000000 -000000000000000101100010000011011111110000000000000000 -000010100000001101000111101001000001000000110000000000 -000000000000000111100100000111001010000000000000000000 -000000000000000000000000010101000000000010000000100000 -000000000000000000000010101101100000000000000000000000 -000000000000000011100000000011001111010100000000000000 -000000000000000101100000000111101101110000000000000000 -000000000000000011110010011101001000001100000000000101 -000000000000000101000010100101011010101100000000000010 -.logic_tile 7 2 -000000000000000000000000010011100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111000000000000001000000000111100000000000001000000000 -000000000000000000010000000000001100000000000000000000 -010000000000000000000000000111101000001100110000000000 -100000000000000001000000000000101110110011000000000000 -000000000000001000000110100011000001000001010001000000 -000000001100000001000000000101101000000001100000000000 -010000000000000111100000011011100001000010000000000000 -000000000000000000100011111111001101000000000000000000 -000000000000000101100110111001000000000001000000000000 -000010000000000000000010100101100000000011000000000000 -000000000000000111100000001000000000000010000100000000 -000000000000000000100000001101000000000000000000100000 -000000000010100101100110110000000000000000000000000000 -000000000001010000000010100000000000000000000000000000 -.logic_tile 8 2 -000010000000001111100000011011100000000011000000000000 -000000000000000001000011011101000000000000000000000000 -111000000000001101100010101101000000000000100000000000 -010000000000010101000000000001101011000000000010000000 -110000000000001111100110010001100000000000100000000000 -010000000000000001000010000001101010000001000000000000 -000000000001011101100110000101101000001100110000000000 -000000000000100101000000000000110000110011000000000000 -000000000000000000000000010001000001000011000000100000 -000000000010000000000011111111001000000011110000000000 -000000001010100001100000000000000000000000000100000000 -000000000000000000000000000101000000000010000100000000 -000000000000000000000111001000000000000000000100000000 -000000001110000000000100000101000000000010000100000000 -010000001111000000000000001101000000000001000100000000 -100000000000000000000000000101100000000011000100000000 -.logic_tile 9 2 -000000000000001001100110010000001000001100111100000010 -000000000000000001000010000000001000110011000000010000 -111000000000001001100110010000001000001100111100000010 -000000000000100001000010000000001000110011000000000000 -010100000000000000000000000000001000001100111100000000 -100000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000001 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000010000000000001001110011000000100000 -010000000000000000000000000000001001001100110100000000 -000000000000000000000000000000001001110011000000000000 -.ramt_tile 10 2 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -629,48 +510,167 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -.logic_tile 11 2 -000000000000000001000010000001000000000000001000000000 -000000000000000000100100000000000000000000000000001000 -111000000000000000000010100011100000000000001000000000 -000000000000000000000100000000101001000000000000000000 -010000000000000000000000000011000000000000001000000000 -100000000000000000000000000000100000000000000000000000 -000000000000000000000000000111100000000000001000000000 -000000001000000000000011010000000000000000000000000000 -000000000000000000000011100011100000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000000000000000111000000001000111100000000000000 -000000000000000000000010010000000000111100000000000000 -000000000000000000000000001001100000000000000000000000 -000000000000000000000000001111100000000001000000100000 -000000000000000001000010101000000000000000000100000010 -000000000000000000000000001101000000000010000011000000 -.logic_tile 12 2 -000000000000000000000000000111100000000000001000000000 -000000000000000000000011010000100000000000000000001000 -000000000000000111000000000001000000000000001000000000 -000000001000000000000000000000100000000000000000000000 -000000000000000000000000000011100000000000001000000000 +.logic_tile 4 2 +000000100000000101000000000001000000000000001000000000 +000001000000000011100010010000000000000000000000001000 +000010000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000001101000010110000001000000000000000000000 +000100000000000000000000000000000001000000001000000000 +000100000000000000000011000000001011000000000000000000 +000000000010000000000000000000000001000000001000000000 +000000000000010000000000000000001100000000000000000000 +000000000100001000000000000000000000000000001000000000 +000000000000001111000000000000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000001000111100000000000010 +000000000000000000000000000000000000111100000000000000 +.logic_tile 5 2 +100000000000000011100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011000000000000001000000000 -000000000010000000000000000000100000000000000000000000 -000000000000001000000011110011000000000000001000000000 -000000000000000011000011010000100000000000000000000000 -000000000000000011100000000011000001000000001000000000 -000000000000000000100011010000001110000000000000000000 -000000000000000000000000000001100000000000001000000000 +101001000000000000000000000000000000000000000000000000 +100010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000011100000000000001000000000 -000000000000001011000011100000100000000000000000000000 -.io_tile 13 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +000000000000000000000000000001000000000010000000000000 +.logic_tile 6 2 +000000000000000111100110000001000000000001000000000000 +000000000000000000100000000011000000000000000000000000 +101000000000001001000011111101111001000110100000000000 +100000000000001011100010001001001011001110100000000000 +000000000000000001100000001011100001000000100000000000 +000000000000000000000000001101101000000000000010000000 +000000000000001001100011111101111001010111000000000000 +000000000000000001000010010001101010000011000000000000 +000000000000001000000000000111100000000001000000000000 +000000000000000111000000000011100000000000000000000000 +000000000000000001000011110001100000000001000000000000 +000000000000000000000111110111100000000000000000000000 +000000000000001000000000000011100001000010010101000000 +000000000000000111000000000101001111000001010000000010 +000000000000000000000011110011100001000010010100000000 +000000000000000000000111111001101101000001010001000100 +.logic_tile 7 2 +000000000000000000000010110000000000000010000000000000 +000000000000000000000010001001000000000000000000000000 +101000000000001101100010101000000000000010000000000000 +100000000000000101000000000001000000000000000000000010 +000000000000001111100000011011100000000001000000000000 +000000000000000101100010100111100000000000000000000000 +000000000000000101000000000000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000110000011100000000001010100000110 +000000000000000000000010101001101011000001100000000000 +000000000000000000000000001101000000000001010110000100 +000000000000000000000000000101001010000001100000000000 +000000000000000111100000001001000000000001010100000100 +000000000000000000100000001001101011000001100000000000 +.logic_tile 8 2 +000000000000001101000111000001000000000000001000000000 +000000000000000101100000000000000000000000000000001000 +000000000000000000000000000000000000000000001000000000 +000000000110001101000000000000001010000000000000000000 +000000000000000000000110100000000001000000001000000000 +000000000000001101000000000000001000000000000000000000 +000000000000001000000000000000000001000000001000000000 +000000001010000101000000000000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000001000000000000000000000001001000000000000000000 +000000000000100000000000000000000000000000001000000000 +000000000001010000000000000000001000000000000000000000 +000000000000000000000000000000001000111100000000000100 +000000000000000000000000000000000000111100000000000000 +.logic_tile 9 2 +000000000000000000000000000000000000000000000000000000 +000000000000001101000011000000000000000000000000000000 +101000000000000001000010001001000001000000010001000000 +100000000000000000000000000001001001000000110000000000 +010000000000000000000000000000000000000000000000000000 +010000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000000101000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000011011101100000000001000000100000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +.logic_tile 12 2 +000000000000000000000000010001100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +101000000000001001100110000101100000000000001000000000 +100000000000000001000000000000101100000000000000000000 +110000000000000000000110000101101000001100110000000000 +110000000000000000000000000000101110110011000000000000 +000000000000000000000010111001000000000001000000000000 +000000000010000000000010000001100000000011000000000000 +000000000000000111000000000001101100001100110000000000 +000000000000000000000000000000100000110011000000000000 +000000000000000000000000001101100001000010000000000000 +000000000000000000000000001001101011000000000000000000 +000000000000000111000111000000000000000000000100000000 +000000000000000000000000001101000000000010000100000000 +110000000000000000000000001001100000000001000100000000 +000000000000000000000000000001000000000011000100000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -698,225 +698,225 @@ 000000000000000000 000000000000000000 .logic_tile 1 3 -000000000000000000000010001000000000000010000000000000 -000000000100000000000000001011000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000001000000001000000000000010000000000000 -000000000000000000100000000101000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000100010010000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000011011011000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000010011001000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000011000000000101000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000111000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000000001000000000010001100000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 3 -000000000000000111000111000000000000000010000010000000 -000000000000000000000110001101000000000000000000000000 -111000000000000001100111001000000000000010000010000000 -000000000000000101000110001001000000000000000000000000 -000000000000000111100010100011000001000000100000000001 -000000001000000000100110011001101000000000000000000000 -000000000000000000000011010000011001001100110000000000 -000000000000000000000010000000001100110011000000000000 -000000000000100000000110001001000000000000000010000000 -000000000000000000000000001111100000000001000000000000 -110000000000001001010000000001100001001100110000000000 -000000000000000001100000000000101011110011000000000000 -000000000001000000000000001001100000000000000100000010 -000001000000100000000000001101000000000001000000000000 -001000000000000000000110000011101010111100110100000000 -000000000000000000000000000001001011010100110000000000 +000000000000000111000011000001100000000000001000000000 +000000000000000001000010010000000000000000000000001000 +000000000000000011000000000001100000000000001000000000 +000000000000001001000011100000101000000000000000000000 +000000000000000111000000000001001000001100111000000000 +000000000000000001000000000000101010110011000000000000 +000000000000000000000000000001001000001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000111001000001100111000000000 +000000000000010000000000000000001010110011000000000000 +000000000000000000000000000001001000001100111000000000 +000000000000000000000000000000101000110011000000000000 +000000000000000000000000000101001000001100111000000000 +000000000000001001000000000000001010110011000000000000 +000010100000000000000000000001101000001100110000000000 +000001000000000000000000000000001000110011000000000010 .ramb_tile 3 3 -000000000000100001000111101001000000000000 -000000000001010000000000001111100000010000 -111000000000000011000010000000000000000000 -000000000000000000000100000001000000000000 -111100000000000000000000000001100000000010 -110100000000000001000000001011000000000000 -000000000000000000000000001000000000000000 -000000000000001111000000001001000000000000 -001000000000100000000000010101100000000000 -000010000001010111000011110111000000010000 -000100000000000011000011101000000000000000 -000000000000000000110000001011000000000000 -000000000000000111010110100101000000000000 -001000000000000000010100000011000000000100 -110010000000000001000010001000000000000000 -110001000000000000100100000011000000000000 +000000000000000000000000000000000000000000 +000001010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 3 -000000001100000000000011100111000000000000001000000000 -000001000000000000000100000000000000000000000000001000 -111000000000000000000110010000000000000000001000000000 -000000000000000000000010000000001111000000000000000000 -000001000000000000000000000000000001000000001000000000 -000010000000000000000000000000001010000000000000000000 -000000000000000001100111100000000000000000001000000000 -000000000000001101000010110000001001000000000000000000 -000000000000001000000000000000001000111100000000000000 -000000000000000111000000000000000000111100000000000100 -000000001110000000000000001000000000000010000000000000 -000000000000000000000000001111000000000000000000000000 -000000000000001000000000010001100000000001000010000000 -000010000000001111000011110011100000000000000000000000 -000000000000000000000000011001111011001100000100000000 -000000000000000000000010001101111010101101010000000000 +000000000000000000000111100000000000000010000000000000 +000000000000000000000100000011000000000000000000000000 +101000000000000000000011010000000000000010000000000000 +100000000000000000000111101001000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000101000110010101100001000011010001000001 +000000000000000000100010000011101001000011110000000100 +000000000100001111000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000001101100001000010010100000010 +000000000000000000000000000111101010000001010000000000 +000000000000000101000000000000000000000010000100000000 +000000000000000000000000000011000000000000000000000100 .logic_tile 5 3 -000010000000000111100011011101100000000010100000000000 -000001000010001101000110001011101001000010010000000010 -111000000000000101100111100111100000000010100001000000 -000000000000000011100011010111101011000010010000000000 -110000000000001111100000010001100000000001000000000000 -110000001110001111000010000101000000000000000000000000 -000000101100001101100011111011011010010110100000000000 -000001000000001111100011000101001000100110100000000010 -000000000000000111100000001011011000010110100000000000 -000000000000100011000000001101001010100110100000000000 -000000000000000000000000001001111010010110100000000001 -000000001010000000000011111111101000100110100000100000 -000000000000001000000010000101000001000010010110000000 -000000000000001111000000000001001000000001011000000000 -110000000000000001100110101111100000000010010100100000 -000000001000000000000010001001001001000010101000000010 +000000000000000001000000010111000000000010000000000000 +000000000000000000100010001001101111000000000000000000 +101000000000000000000110010000000000000000000000000000 +100000000000000000000010000000000000000000000000000000 +010000000000001000000011100101111100001100110000000000 +110000000000000001000000000000100000110011000000000000 +000000000000000000000000011001100000000000000000000001 +000000001100000000000010001001101010000000110001000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110110001000000000011000100000000 +000000000000000000000010101001000000000010000000000000 +110000000000000000000000001001100000000000110101000000 +000000000000000000000000001001101101000000000000000000 .logic_tile 6 3 -000010000000000101100000001011100000000010000000000000 -000001000000000001000010000011000000000000000000000000 -111000000000000001000010001101100001000000110000000000 -000000000000000000100100001001001100000000000000000000 -010000000000000011000011100101111010000011010000000000 -110000000000000000000000000001011110000011110000000010 -000000000000001011000010011001000000000000110000000000 -000000000000000101000111110101001100000000000000000010 -000010101000000101100000000001100000000010010110000000 -000001000000000000000010111011001010000001011000000000 -000000100000001101100000001001000000000010010100100000 -000000000000000101000000000111101110000001011000000100 -001000000110001101100000000101100000000010010100000000 -000000000000100101000000000001001110000010101001000000 -110000000000000000000000000101100001000010010100000000 -000000000000001101000000000001101100000001011001000000 +000000000000000111100000000101100000000000001000000000 +000000001010000000000011100000100000000000000000001000 +101000000000000011100010110101000001000000001000000000 +100000000000000000100010010000001000000000000000000000 +110000001110000011000110010011101000001100111000000000 +110000000000000000000010000000101001110011000000000000 +000000000000001101000010010011101001001100110000000000 +000000000000000001000110100000101000110011000000000000 +000000001110000000000010011001101101100000000000000000 +000000000000000000000111011101111001000000000000000000 +000000000000000000000110010101100001000000010000000000 +000000000000000000000010000001001010000000000000100000 +000000000000000000000011010111000000000011000100000000 +000000000000000000000011011101100000000010000000000000 +110000000000000000000000000011000000000011000100000000 +000000000000000000000000001101100000000010000000000000 .logic_tile 7 3 -000000000000001111000000011101000001000000010000000000 -000000000000001101100011110001101100000000000001100000 -111110100000001000000111101011000000000000010000000000 -000001000010001101000110010011101000000000000000000000 -010000000000000000000000010001000001000000000000000000 -100000000000000000000010001001001001000000010000000000 -000000000000000000000000011001000001000000010010000000 -000000000000001101000010000011001110000000000000000000 -000000000000100000000000011101100000000000010000100100 -000000000001010000000010100001101101000000000000000001 -000000100000001101110000001001011001000000000000000000 -000001000010100101000000001001011111100000000000100000 -000000000000100000000000000001100001000000010000000000 -000000000000010000000000000001101001000000000000000000 -000000000000001000000000011000000000000000000100000000 -000000000000000101000010100101000000000010000000000001 +000000000001001111100110010111100000001100110000000000 +000000000000100001100011110000101011110011000000000000 +101001100000011011000110000001100000000010000000000000 +100000000000001011100000000001000000000000000000000000 +000000000000000001100110011001100000000000010010000000 +000000000000000000000011110111101110000000000000000000 +001000100000001001100110001101100000000001000000000000 +000001001110000001000000000001100000000000000000000010 +000000000000000000000000010001000001000001010000000000 +000000000000000000000011110001101010000001100000000000 +000010001100000101100000000001000000000000000000000000 +000001000000000000000000000001100000000001000000000001 +000000000000000011000000000011101010100101010110100010 +000000000000000000100010010101011101101001010000000001 +000000000001000101100000001101100000000001010100100000 +000000000000000000000010000101101111000010010001100000 .logic_tile 8 3 -000000001000000000000110010111101100100000000000000000 -000000000000001001000010001111001001000000000000100000 -000000000000000000000011000101101011000000000001000000 -000100000000010000000100000101101011100000000000000000 -000000000000000101100000000111101110010000000000000000 -000000000000001001100010001011101110000000000000000000 -000000000000100000000011000011001101100000000000000000 -000000000001010001000111000111101010000000000000000000 -000000000000000001110000010101101010000100000000000000 -000000000010011111000011110001011100000000000000000000 -000000000000001101100000010011101111000000000000000000 -000000000000000101000010100101011001100000000000000000 -000000000000000111100110110011101001100000000000000000 -000000000000000001100010101111011101000000000001000000 -000000000001011000000000000111001100000000000000000010 -000000000000000101000010000111111111100000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +101000000000000000000110010000000000000010000000000000 +100000000000000000000010001101000000000000000000000000 +110000000000000000000011111011100000000001000000100000 +000000000000000000000010001101100000000000000000000000 +000000000000000001100111100000000000000000000000000000 +000000000010000000000111010000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000001100010101111000000000001000000000000 +000000000000000000100100001101000000000000000000100000 +000000000000000111100000001011100000000001000000000000 +000000000000000000100000001101000000000000000000000100 +011001000000000000000000000101000001001100110101000000 +100111100000000000000000000000101001110011000000000010 .logic_tile 9 3 -000000000000001101000010100011101101100000000001100000 -000000000000000111000010101101001111000000000000000000 -111000000000000101100010110001001100010000000010000000 -000100000000000000100010000101001110000000000000000000 -110000000000000011100110001101000000000000010000000000 -110000000000000111000010101001101101000000000000000000 -000000000100000101000000001101011011000100000000000000 -000000000100000101000000000101001001000000000000000000 -000000000000101011000000001001001000010000000000000000 -000000000001010011100010001111011001000000000000000000 -000000000010000001100000000011000000000000000000000000 -000000000000000000000000001101100000000001000000000000 -000000000000001000000111001001000000000000000000000000 -000010000000001101000000001111000000000001000000000000 -010000000000000000000011001000000000000000000100000000 -100000000000000000000000000001000000000010000000000001 +000000000000000001000000001101011000100000000000000000 +000000000000000111000011110001101001000000000000000000 +000000000000001001100111010101100001000000010000000000 +000000000000001111000010000001101001000000000000000100 +000000000000000011000011010011000000000000000000000000 +000000000000000111000011110001100000000001000010000000 +000000000000000011100110011001101101100000000000000000 +000000000000001001100011110101111011000000000000000100 +000000000000000000000111111001100000000001000000000100 +000000000010000000000110001001000000000000000000000000 +000010100000000000000010000101001010000000000000000000 +000001000110000000000000001011101010100000000000000000 +000000000000000001000000011011101110100000000000000000 +000000000000000000000010110101111110000000000000000000 +000000100000000000000000001001000000000000010000000000 +000000000000000000000000001101001101000000000000000000 .ramb_tile 10 3 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000010000000100000000000000000000000000000 -000000000000100000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 11 3 -000000000000100001100000001001100000000010000001000000 -000000000001011011000011111111100000000000000000000001 -111000000100000000000110001011100001000000010000000000 -000000000000000001000010001111001110000000000000000000 -010000001010000000000000000101100001000000000000000000 -010000000000000000000000000111101011000000010000000000 -110000000000000101000111100101100000000000100000100100 -100000000000000011000110100001001110000000000000000000 -000000001010001111000000011011100001000000010000000000 -000000000000001011000010001001101000000000110000100000 -010001000000001000010110101011000000000000010000100000 -000000000000000101000000000101101111000000000000000000 -000000000000001111100000010011000000000000010000000100 -000000000000000101000011110001001100000000000000000000 -010000000000000000000110111000000000000010000101000000 -000000000000000001000010001001000000000000000010000000 +000000000000001001100000001111111101000100000000000000 +000000000000000001000010011001101111000000000000000000 +000000000000001001100011000001001100000000000000000000 +000000000000000001000011101011111111100000000000000000 +000000000000001001100000000101111110100000000010000001 +000000000000000001000000000011001000000000000000000100 +000000000000001011000110000101100000000000010000000001 +000000000000000001000011110001101010000000000000000000 +000000000000000000000010111001100000000000000010000000 +000000000000001011000011010101100000000001000000000000 +000001000000001101000000001111111001010000000010000000 +000000000000000101000000000011111111000000000000000000 +000000000000000000000000000001100001000000010000000000 +000000000000000000000010100101001000000000000000000000 +000010000000000000000010101011100000000010000000000000 +000000000000001111000010101101000000000000000001000010 .logic_tile 12 3 -000000000000000111100000010000001000111100000000000000 -000000000000000000100011110000000000111100000000010000 -111000000000100000000110000011100000000000000001000000 -000000001001010000000000000001100000000001000000100100 +000000000000100000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +101000000000001001000010001001100001000000010001000000 +100000000000000001000011000001101001000000110000000000 010000000000000000000000000000000000000000000000000000 -100000001010000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000010000010000000011111011000000000000000000 -000000000000100000000000001001011101100000000000000000 -000000000000000111000110010111000001001100110010000110 -000000001010000000110111000000101101110011000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000111000001001100110110100000 -000000000000000000000000000000101001110011000000000000 +110000000000000000000000001000000000000010000100100000 +000000000000000000000000000001000000000000000000000000 +000100001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 +100010100000000000000000000000000000000000000000000000 .io_tile 13 3 000000000000000000 000100000000000000 -000000000000000000 -000000000000000000 +000010000000000000 +000000110000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000011000010 +000000000000000010 000000000011000000 000000000000000000 000000000000000001 -000000011000000001 +000000000000000001 000000000000000000 .io_tile 0 4 000000000000000000 @@ -936,222 +936,222 @@ 000000000000000000 000000000000000000 .logic_tile 1 4 -000000000000000000000010000001100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000000001000000000000000000000000001000000000 -000000000000000000100000000000001001000000000000000000 -000000000000000101000000000000001001001100111000000000 -000000000000101101100010110000001111110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000010100000001000001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000100 -000000000000000011000000000000001000001100111000000000 -000000000000000000100000000000001110110011000000000100 -000000000000000000000110100000001000001100110000000000 -000000000000000000000100000000001011110011000000100000 +000000000000001000000010110000000000000000000000000000 +000000000000001011000110000000000000000000000000000000 +101000000000001011100000001001000000000011000000000000 +100000000000001111100000000001001001000011110000000010 +010000000000000000000010001111100000000011000000000000 +110000000000000000000000001101100000000000000000000000 +000000000000001001100110001101100001000000100000000000 +001000000000000001000010111101001010000000000000000000 +000000000000000000000000000001100000000000100000000000 +001000000000000000000000001001001000000001000000000000 +000000000000000000000000000101111000001100110000000000 +000000000000000000000000000000100000110011000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000100000000 +110000000000000000000000010101100000000001000100000000 +000000000000000000000010001001000000000011000100000001 .logic_tile 2 4 -010001000000000001100110010101100000000000010000000000 -000000000000001011000011011001101100000000000001000001 -111000000000000001100110001011100000000001000000100000 -000000000000000011000010000011100000000000000000000010 -000000000000000111100110000111000001000001010010000100 -001000001000001011000000000101001001000001100000000000 -000000000000000000000110011101100000000001000000000000 -001000000000000011000011100011100000000000000000000010 -000000000000000000000010000001001100001100110010000000 -000000000000000001000100000000110000110011000000000101 -010000000000001111000000011011100001000000000010000101 -000000000000000001000010001001101100000000110000000000 -000000000000000000000000000001011001000011000100000000 -001000000000000011000000000001001000101011010000000000 -000000000000000000000000000101011101001100000100000000 -000000000000000000010000000101101010101101010000000000 +000000000000000000000000001001100000000001000101000000 +000000000000000000000010100011000000000000000000000010 +101010100000000101000000001101000000000001000100000000 +100001000000000000000010101011100000000000000000000010 +110000000000000000000010100000000000000000000000000000 +110000001000000001000000000000000000000000000000000000 +000000000000000011000000001001100000000001000110000000 +001000000000000101000000001011100000000000000000000100 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000100001010000000010000101100001000000110100000000 +000001000000100001000000000101001101000000000000000001 +000000000001000000000011101101100000000001000101000010 +000000000000000000000000000011000000000000000000000000 +110000000000000000000000001001000000000001000100000000 +000000000000000000000000001011100000000000000010000001 .ramt_tile 3 4 -000100001100000111000111000001100000000000 -000110010000000000000100001011100000010000 -111000000000000000000111100000000000000000 -000000010110001001000000000111000000000000 -000000000001010000000000001101100000001000 -000000000000000000000000000011100000000000 -000000000000000000000000010000000000000000 -000000000000000000000011101111000000000000 -000000000000001000000000000001000000000001 -000000000000001011000010010011000000000000 -000000000000000000000111001000000000000000 -000000000000001001000000001011000000000000 -000000001110100000000000001111100000000000 -000000000001010001000010000111000000010000 -010000000000000011100000000000000000000000 -010000000000000111000000001001000000000000 +000000000000100000000000000000000000000000 +000001000001000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 4 -010000000000001000000110001001100001000000000001000000 -000000000000000001000010010001101001000000010000000000 -111000000000000001000110011111100000000010000000000001 -000001000010100101100011111101100000000000000000000000 -000000000000001000000000011101111011100000000000000100 -000000000000000001000010001101101111000000000000000001 -000000000001000111000011111001001001000111110000000000 -001000000000000101000011110011011101000011110000000000 -000001000000100000000000001101011001010111110000100000 -000000100001000000000000000101001101100111110000000001 -000000000000000101100111011001111011001001010000000000 -000000000010000000000111010101111001010100100000000000 -000000000000000000000000001101001001000011010010100000 -001000000000000000000000000101111101000011110000000000 -000110100000000000000110001000000000000010000100000000 -000101001110000000000000001101000000000000000000000000 +000000000000000101000010010011000000000001000000000000 +000000000000000000100111111101100000000000000000000000 +101000000000000000000010100101100000000010000000000000 +100000000000000011000000001001000000000000000000000101 +010000000000000101000010100001001101110000000000000000 +010000000000000011100010010011001100111000000000000000 +000000000000000001100111110001111111000010000001000000 +001000000000000000000011010101011111000000000000000000 +000000000000001001100011011000000000000010000000000000 +001000000000000101000010001111000000000000000000000010 +000000000000010001000000001111001111100011110010000100 +000000000000101001000000000011101001000001110000000100 +000000000000000011000111000101000000000011000000000001 +000000000000000000100100000101101101000001000000000000 +110001000000000000000110011101100000000001000100000000 +000010000000000000000011111101100000000000000000000000 .logic_tile 5 4 -010000000000001101100000001101100000000010100000000010 -000001000000001111000010101011101001000010010000000100 -111000000000000001000010000011100000000001000000000000 -000000000000000000000000000001000000000000000000000000 -010010100000001001100110000101100000000010100000000000 -110001000000001111000000000111001001000010010000000010 -000000000000101111100000001001001101101100000000000000 -001000000000011001100010101001011000111100000000000000 -110000000000000000000000011011100000000010100000000010 -101000000000001111000011100101101001000010010000000010 -000000000000001101000010011101000000000001000000000000 -000000000000001001100011010011000000000000000000000000 -010000000000000111000000000101000000000000000101000000 -001000001110000000100000000011000000000001001000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001001100110001011100000000000100000100000 +000000000000000101000010010101101001000000000000000000 +000010000000000000000000000101100001000010000000000000 +000000000000000000000010010101001101000000000000000000 +000000001110001001100000000001000000000000000000000000 +000010000000000101000000001111001001000000010000000100 +000000000000001011100000010101101001100000000000000000 +001000000000000001100010000101011101000000000000000000 +000000100000001001000000010011000000000011000000000000 +001000000000000001100010000001001101000001000000000000 +001000000000000000000010001011011001000101000000000000 +000000000000000000000100000101011011000110000000000000 +000000000000000000000011101011100000000000010000000000 +000000000001010000000000000101101000000000110000000000 +000000000000000000000000000101011000110001010001000000 +000000000000000000000000000011011001110000000000000001 .logic_tile 6 4 -010010000000000111000000001001000000000000100000000000 -000000100000000111000010000001001000000000000000000000 -111000000000100000000011101111100000000000000000000000 -000000000000000111000011100011100000000001000000000100 -010000000000001111000010010001100001000000100000000000 -111000000000000001000110000101101000000000000000000000 -000000000000000001100110000000000000000000000000000000 -001010000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +101000000000000000000000010000000000000000000000000000 +100000001010000001000011100000000000000000000000000000 +010000000000000000000110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000001100000000000000011001100000000011000000000000 +111000000000000000000010001101101000000011010000000000 +000000000000000000000000001011100000000010000001000010 +001000001010000000000000000001100000000011000000000000 +000000000000000000000011000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000001010111100000001101100000000001100100000100 -000000000000000000000000001101001011000001010000000000 -000000000001010000000000001111100000000001100100000010 -001000000000100000000000001001101001000001010000000000 -010000000000000000010111111101100000000001100100000000 -100000100000000000000110001101101010000001010000000001 +000000000000000001000000000000000000000000000100000000 +000000000000000000110000000111000000000010000000000101 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 .logic_tile 7 4 -010000000000000001010000000001100000000000001000000000 -000000000000000000100000000000000000000000000000001000 -111000000000001001010111000000000000000000001000000000 -000001000000000011100010000000001101000000000000000000 -010000001110000000000011100000001001001100111010000101 -110000000100000000000000000000001010110011000000000000 -000000100000000111100011100000001000001100110000000000 -001000001110000000000011100000001000110011000001000000 -000100000010000000000010000001000001000001010010000000 -000100000000010000000100001111001001000001100000000000 -010000000000000000000000001101100000000000000000100011 -000000001110000000000011101001100000000001000000000000 -000000000100000000000110001000000000000000000100000000 -001000000000000000000000001011000000000010000000000001 -010000000000000000000000001000000000000000000100100000 -010000001010000000000000001101000000000010000001000000 +000001000000010111000110010001100001000000110000000000 +000010000000001111000010011101101001000000000000000000 +101001000000000011000110000001000000000010000000000000 +100010100000001111100010011101100000000000000000000000 +000000001010001001100111000101100001000000000000000000 +000000000000000001000011000001101010000000010000000100 +000000000000000011000010010011111000010000000000000000 +001000000000001111100110001011101000000000000000000000 +000000000000000000000111101001000000000001000000000000 +000000000000000000000000000101100000000000000000100000 +000000101100000000000000001111000001000000000000000100 +000001000001010000000011100101101000000000010000000000 +000000000000000000000111100001000001000000100000000000 +000001000110000000000000001111001101000000000000000000 +000000000001000000000000000101011011110010100100100010 +000000000000100000000000000101011001110000000001000000 .logic_tile 8 4 -000000000000010111100011100101101101100000000000000000 -000000000000001001100110000101011011000000000000100000 -111000000000000111100111011011101101110011000000000000 -000000000000000000010111100001111100000000000000000000 -010000000000000001100010011101011100000010000001000000 -111010000000000000000011001111101000000000000000000000 -000000000010101000000110101001001000110011000000000000 -000000000010000001000111101001011011000000000000000000 -000000000000100000000110001111011011110011000000000000 -000000100001000000000011010011011111000000000000000000 -000000000001010001100000010000000000000000000101000000 -000000000000100111000010001001000000000010000000000000 -000000000000000000000000001000000000000000000110000001 -001010000001011011000000001001000000000010000000000000 -010000000001000000000110000000000000000000000100000000 -100100000000000000000000000001000000000010000000000001 +001000000000000111000110010001000000000010000000000000 +000000000000000001100011010101001011000000000000000000 +000000000000001001100110010011111101110000000000000000 +000000000000000001000010000111011100010100000000000000 +000000000000001001100011101001001101001100000010000000 +001000000000000001000100001001101000101100000000000000 +001000000000000000000110101011000000000010000000000000 +001000000010000000000110000001000000000000000000000000 +000000100000000011000000010111100000000010000000000000 +000001000000001001000010100001000000000000000000000000 +000001000000000101100010011111001001010100000000000000 +001000001110000111100010101101111111110000000000000000 +000000000001010000000011000001101011011100000000000000 +000000001010011001000011001011101011001100000000000000 +000010100000000101110000010011111111110000000000000000 +000001000000100001000011011111001101010100000000000000 .logic_tile 9 4 -010000000000001000000000000101000000000000100000000001 -000000000000010001000011111011001100000000000000000000 -111000000000001111100111001101011101010000000001000000 -000000000000001011000111011011101001001000000000000000 -010010000000000000000010011101000000000000010000000000 -011001000000000111000111100001101000000000110000000000 -000111100000000000010000000011000000000000000000000001 -001110100000000000010000001111001010000000010000000000 -000000000000001000000000010000000000000000000000000000 -000000000110000111000011100000000000000000000000000000 -000000000000001000000010001000000000000000000100100000 -000000000000001111000100000001000000000010000001000000 -000000000000000000000000011000000000000000000101000001 -001000000000000000000011101001000000000010000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000100000000111000110010101111111100000000000000000 +000000000000001011000011111001011011000000000001000000 +101000000001001001000111110101111111000011010000000000 +100000000000000001100111111011001001000011110000000000 +110000000001001111000011000001001101101100000000000000 +001000000000100001100010000001101001111100000000000000 +000000000000001001000011001111100001000000110000000000 +001000000000000001100010100001101001000000000000000000 +000110100000100001100011000111000000000010000000000000 +000100000001010000000000000101100000000000000000000000 +000000000000000000000000000111011001100000000000000000 +001000000000000001000000000001101011000000000000000100 +000000000000000000000011100101001011010000000001000000 +000000000000000000000011111101101100000000000000000000 +000000000000000001100111001111100001000010010100000000 +000010100000000000000111110001101001000001010000000100 .ramt_tile 10 4 -000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000001000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000110100000000000000000000000000000000000 -000101000000000000000000000000000000000000 .logic_tile 11 4 -010000000000000111000110001011000000000010000000000001 -000000000000000011000010001001000000000000000000000000 -111000100110001000000000000101000000000001000000000000 -000010000000001011000010011001100000000000000001000000 -010000000000000111000011001101101011110011000001000000 -011000000000000011000100001011101000000000000000000000 -000000100000001111100110001001011011000010000000000000 -001010000000000001000011011101001100000000000000000000 -000000000000000001110110110001011011000100100100000010 -000000001010000000000010100111001011000000000000000000 -000000000000001111100000001101000000000000100101000000 -000000000000000101100010001111001101000000000010000000 -000000000000000001000000000001000000000000100101000010 -001000000000000000100000000111001101000000000000000000 -010000100000000000000000000011100001000000110100000000 -100000001000000000000010010001001111000001110010100000 +000000000000000000000111101011111111000100000000000000 +000000000000000000000010110011011101000000000000000001 +101000000000000111100000000011000000000000010000000000 +100000000000000000000010100011101101000000000000000000 +110000000000000001100111100111001011000011010001000000 +000000000000000011000100001101001001000011110000000000 +000000000000000001100000001101000001000000010000000000 +001000000000000101000010101011001010000000000001000000 +000000000000001001000110110001000001000000010000000001 +001000000000000011100010101001101010000000000000000000 +000000000000001101100011011111101110000000000000000000 +001000000000000101000010100111011111100000000000000000 +000000000001111000000010100001100001000000110000100000 +000000000000110011000010100011001001000000000000000010 +000000000000001101100000001011000001000010010100000000 +000000000000000101000010010001001011000010100000000100 .logic_tile 12 4 -010010100000000011000000000001100000000000001000000000 -000001000000000000100000000000100000000000000000001000 -111000000001100101100110110000000001000000001000000000 -000010000001010000000010100000001010000000000000000000 -110001000010000000000000000000001001001100111000000000 +000000000000000000000110010011100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +101000000010001001100110010000000001000000001000000000 +100000000000000001000010000000001010000000000000000000 +110001000000000000000000000000001000001100111100000000 +001000000000000000000000000000001001110011000001000000 +000000000000000000000000000000001000001100111101000010 +001000000000000101000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100100000 +001010000000000000000000000000001000110011000000000000 +000000000010000111100000000000001001001100111110000000 +001000000000000000100000000000001000110011000000000000 +000000000000000000000000000000001001001100111110100000 000000000000000000000000000000001001110011000000000000 -000000000000001000000110100000001001001100110000000000 -001000000010000101000000000000001000110011000000000000 -000000000000000011000000000111000001001100110000000000 -000000000000000000100000000000001011110011000000000000 -000000000000000000000000000000000000000000000100100000 -001001000000000000000000000001000000000010000000000000 -000010100000000000000000000000000000000000000000000000 -001000001010001011000000000000000000000000000000000000 -110000000000000101100000000000000000000000000100000000 -110000000000000000100000000011000000000010000000000000 +010000000100000000000000000000001001001100111101100100 +100000000000000000000000000000001001110011000000000000 .io_tile 13 4 000000000000000010 -000100000000000000 +000100000000001000 000000111000000000 000000000000000001 -000010000000000001 -000000110011000000 -001100000000000000 -000000000000000000 +000000000000000001 +000000000011000000 +001110000000000000 +000000110000000000 000000000000000000 000100000000000000 000000000010000010 -000000000001000000 +000000000011000000 000000000000000000 000000000000000001 000000000000000001 @@ -1174,218 +1174,218 @@ 000000000000000000 000000000000000000 .logic_tile 1 5 -000000000000000001100111000111100000000001000000000000 -000000000000000000000010100011000000000000000000000010 -111000000000000001000111000001100000000001000000000000 -000000000000001101100111000111100000000000000000000010 -000000000000001000000010110011100000000001000000000000 -001000000000000001000010000011000000000000000000000010 -000000000000010001000000001101101100101000110101000001 -000000000000100101100000000101011000111100110000000100 -000000000000001000000000000101000001000001010100000001 -000000000000000101000010101101001000000001100000000100 -000000000000001001100000000101101100101000110100000000 -000000000000001101000000001001011011111100110000000110 -000000000000001000000110100001101111101000110100000000 -001000000000000101000010101001011100111100110000000100 -000000000000001000000000001111001101111001110100000000 -000000000000000001000000001001111000110101110000000110 +000000000000000001000000000001000000000000001000000000 +001000000000000000100000000000000000000000000000001000 +101000000000000000000111100001100001000000001000000000 +100000000000000000000110100000101001000000000000000000 +110000000000000000000110010011101001001100110000000000 +110000000000000000000010000000101011110011000000000000 +000000000000000000000111110001100001000010000000000000 +001000000000000000000110000001101101000000000000000000 +000001000000000000000000000000000000000000000000000000 +001010100000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000101000000000001000000000000 +000000000000000000000100001101100000000011000000000000 +110000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000100000000 .logic_tile 2 5 -000000000000000111100000001001000001000011010011000000 -000000000000000000000011011011001100000011110000000100 -111000000001001000000000011011101000011100000010000000 -000000000000101101000011110111111000111000000000000000 -000000001110001000000000001111000000000001000010000000 -001000000000000001000011011001000000000000000000000000 -000100000000000000000110100101100000000001000000100000 -000000000000000000000000000101100000000000000000000000 -000000000000001000000000001101000000000011000000000100 -000000000000001101000000000001101100000011010000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001001000011100000000000000000000000000010 -000000000000001000000000000000000000000000000101000000 -001000000000000011000000001001000000000010000000000000 -010010000000000000000000000000000000000000000000000000 -100001000000001001000000000000000000000000000000000000 +000000000000000000000010000011100000000000001000000000 +000000000000000000000111110000100000000000000000001000 +101000000000001000000000000000000000000000001000000000 +100000000000000001000011100000001001000000000000000000 +010000000000000000000000010000001000001100110000000000 +010000000000001011000010110000001101110011000000000100 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000011100000010011101110001100110000000000 +001000000000001111100011110000010000110011000000000000 +000000000000000001000111100011000000000000000000000001 +001000000000000000100000000011000000000001000010000000 +000000000000000000000000011101000001000000100010000000 +000000000000000000000011100111001001000000000000000000 +110000000000000111000000000001100000000001000101000000 +000000000000000000000000001101000000000000000000000000 .ramb_tile 3 5 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000001000000000000000000000000000000 +000001010000100000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000100110000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100010000000000000000000000000000000 +000010000001010000000000000000000000000000 +001000000000100000000000000000000000000000 000000000000100000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 5 -000000000000001101000110000011011001011100000000000000 -000000000110000011000000000001101100001100000000000001 -111000000000000001100000000101101100101100000000000000 -000000000000000000000011111011011001001100000000000000 -000000000000010001100000001111101010010100000000000000 -000000000000000000000010101011011111100100000000000000 -000000000000000101000000011111011000101000010000000000 -000000000000000011000010000001111000011000010000000000 -000001000000000000000111010001000000000000000000000000 -000010100110000000000011110001000000000001000000100001 -000000000000001000000010101111100000000001000000000000 -000000000000001111000010100101000000000000000000100000 -000000000000000001000111010111000000000000010000000000 -001000000000000000000010000101101110000000110000000000 -000000000000000101100000001011000001000000110100100000 -000000000000001111000000001011101011000001110000000000 +000000000110000101000110010011111001000011110000000000 +001000000000000101000111010001001001000011100000000000 +101000000000000101000000000101011011011100000000000000 +110000100000001111000010011011001011111000000000000010 +000000100000001111000110001001001000110000000000000000 +000000000000000001000111100001011001111000000000100000 +000000000000001111100000010011100000000000000000000100 +001000000000000001100011000111000000000001000000000000 +000000000000000000000111100001100001000000100000000000 +001010100000000000000100001101001110000000000000000000 +000000000000001001000000000101001111011100000000000000 +000000000000000001100000001011001011111000000000000001 +000000000000001011100000001101000000000000000000100000 +000000001110000101000000000101100000000001000000000000 +110000001100000001010000000111101001111100110100000010 +000000000000000000000000000101011010111110110100000011 .logic_tile 5 5 -010000000000100101000111100011101100110011110000000000 -000000000001000000100110000101011001010011110000000000 -111000000000000101100111001111000000000000000000000000 -000000000000000000000100000001001001000000010000100000 -000000000000001101000111110001100000000011010000000000 -001000000000000001000110001101001110000011000000000000 -000000000000001101000110010111111011011100000000000000 -001000000000000001100010001111011011111000000000000000 -000000000000000011100110000101011000111000000000000000 -000000000000000111100000001111111100110000000000000000 -000000000000000001000000000101011010111101110100000000 -000000000000000000000000000001001100111100110000000000 -000000000000000001100110011000000000000010000100000000 -001000000000000000100011010111000000000000000001000000 -000000000000000001000000001101100000000011010100000110 -000100000000010000110000000101001010000011110000000000 +000010100000000000000010111001100001000010000000000010 +000001000000000101000010001001101000000000000000000000 +101000000001010000000010110001001111110110110000000000 +100000000000001101000111000101111100111010110000000000 +110000000000101101000111001001100001000000000000000000 +000000000001010001000000000001101001000000010000100000 +000000000000000001100000000101101111110110110000000000 +001000000000000000000000001001011100111010110000000000 +000010000000100000000000000101000000000000100000000010 +000001000000000000000000000101001011000000000000000000 +000000000000000001100010100001000000000001000000000100 +001000000000000000100000000111000000000000000000000000 +000000000000101000000010101111011100010000000000000000 +000000000001001001000000000111011000000000000000000000 +000000000000000001100000001000000000000000000101000000 +000000000000000000100010111111000000000010000001000000 .logic_tile 6 5 -000000000100000111000111010001000001000000100001000000 -000000000000000001000110001001001101000000110010000001 -111000000000100111000111010011100000000000010000000000 -000000001001110000000011001101101000000000000010000000 -110000000000101011100110010001100001000010000000000000 -111000000001011011100010000001001100000000000000000000 -000000000000001111000110010001100001000000100000000000 -000000000000000001100010000101101001000000000000000000 -000010101100100000000000000001100001000000000000000000 -000000000001000000000000000101101001000000010000000000 -000000000000000011000000000111100001000001100100000100 -000000000100001001000010011001001100000001010000000000 -000000001100100000000010001101100000000001100100000000 -001000000001000000010000000101101011000001010000000100 -010000000001000001100000000101100001000001100100000110 -100000000100100000000000001001101010000001010000000000 +000000000000000011000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000001100001100110000101100000000000000001000010 +100000000001011001000000000001000000000001000001100000 +000000000000000101100110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000011001100000000000000000000000 +001000000010000000100010001101000000000001000000000000 +000000000000000000000010101001100000000001000000000000 +000000000000000000000000000001100000000000000000000000 +000000000000001000000000000011111100001100110000000010 +001000000000000001000011110000010000110011000000000000 +000000000000000000000000000011001000011011110100000000 +000000001100000000000000001101111010101011110000000000 +000001000000000000000000000011101011101000110100000000 +000010100000000000000000001001001000000000110000000000 .logic_tile 7 5 -000000000000101111100110100001100001000000100000000000 -000000000001011111100111110001001011000000000000000000 -111000000000000011100111110001100001000000100001000000 -000000000000000111100010000101101001000000000000000000 -010000000000001111100110001111000000000010000010000000 -011000000000001111100000000011001110000000000000000001 -000000000000000011100111101001100000000000000000000000 -000000000010001111100000000101100000000001000000000000 -000010000000000000000000001111111101000011110000000000 -000000000000000000000000001011011110000011100000100000 -000010100000000000000010000101111010001100000000000000 -000001000110000101000010010001011010001000000000000000 -000000000000001011100000000001100000000010000000000000 -001000000000000001100000000101000000000000000000000000 -010000000000110111000000000101011000000011110100100000 -100000000000100101100000000101101001000011100000000000 -.logic_tile 8 5 -000000000000000101100111111111000000000001000000000000 -000000000000001111000010100111100000000000000000000001 -111000000000010011100000010111011011000011000000000001 -000000000000000000000010110101001010000001000000100110 -010000000000001000000000001011100000000000110000000000 -011000100000000001000000000111001101000000010000000000 -000000000001010101110000001011000000000000000001000000 -000000000010100011000010000001000000000001000000000000 -000001000000101000010000001001111011000010000000000000 -000010000001000001000011111011011001000000000001000000 -000000000001000001000000000001100000000011000000000000 -000000000000000000000010000101101000000001000000000000 -000000000000000001000000000000000000000000000100000110 -001000000000000000100011110001000000000010000000000101 -010000000000000111000000000000000000000000000100000000 -100100000001000000100000001001000000000010000000000001 -.logic_tile 9 5 -000000000000010011000010100011000000000000000001000000 -000000001100100000100010100011000000000001000000000000 -111000000000011000000111110001100000000001000000000000 -000000000000001111000110110101000000000000000000000000 -010000000001000001100010010011100000000010000000000000 -111000000000000101000010101011000000000000000000000000 -000010100000000101100000000001100001000010000000000000 -000001000000000000000011000101101011000011000000000000 -000000000100001011100000000001001010010000000011000000 -000000000000001011100010001001111111000000000000000010 -000000000000000111100000000001111010110000000000000010 -000000100100000000000000000101101111100000000000000000 -000000000000000000000110010001001110010000000000000100 -001000000000000000010010000101011111000000000000000000 -010100000001000000000000001000000000000000000100000000 -100100001110000000000000001001000000000010000000000110 -.ramb_tile 10 5 -000000000000100000000000000000000000000000 -000000010000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000010000000000000000000000000000000 -000011101100000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000101110000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000100000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000010000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101010100000000001000000000000000000000000000000000000 +100010000000000000000000000000000000000000000000000000 +110000001010000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000100000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000001000000000000010000100000001 +001000000010000000000000000001000000000000000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000110000000000000000000000000000000000000000 +001000001010000000000000000000000000000000000000000000 +.logic_tile 8 5 +000000000000001111000010100001011100010100000000000000 +000000000000000111000110110101011111110000000000000000 +101001000000001011100000001001001100000011100000000000 +100000100000001011000000000111111110000001110001000000 +110000000110000101000000000111011110010100000000000001 +000000000000000000100010111001001111110000000000000000 +000000100010000001100000011011000000000010000000000100 +001000000000000000100010011111000000000000000000000000 +000010000000001101000000001001011011000011100000000001 +000000000000000001000011100101111111000001110000000000 +000000000000000001000111110001100000000000000001000000 +001000000000000000000111110011001111000000110000000000 +000000000000001000000111000001111100010100000000000000 +000000000000001111000011100001001111110000000000000000 +000000000000000000000111110011100001000010010101000000 +000000000000000111000010001101101110000001010000000000 +.logic_tile 9 5 +000000000000001101100010100101101011000001110000000000 +000000000000001111000010010101011010000000110000000000 +101000100000101101100111000111000000000000010000000000 +100001001010000101000011110011101000000000110000000000 +110000000000000001100010111011000000000001000001000000 +000000001000001101000011010001101001000011000000000000 +000000000000001101100111000101100001000000000000000000 +001000001110000001000010100101101011000000110000000000 +000000000000000011100110000001000000000010000000000000 +000010100000000000000000000001100000000000000000000000 +000000000000000000000000001101011000000010110000000000 +001000001110000000000000001101111101000000110000000001 +000010100000000000000110001001101111000010110000000100 +000000000000001101000000001111001001000000110000000000 +000000000100000011100111101111100001000010010100000000 +001000000110000000000100001001001011000010100000000010 +.ramb_tile 10 5 +000000000000000011100011100111000000000000 +000000001000000000100110010101100000000000 +101010100000000111000000001000000000000000 +100000000000000000000000000111000000000000 +010000000000000001000000001001000000000000 +010000000010000000000011001111100000000100 +000010000001010000000000000000000000000000 +001001000100000000000000000001000000000000 +000000000000000000000000000001100000010000 +000000000000000000000000001111000000000000 +000000000000000101000111001000000000000000 +000000000000001111000110100011000000000000 +000010100000000000000111011101000000000000 +000000000000000000010111001011100000000100 +110000000000000000000010001000000000000000 +010000000000000101000100001011000000000000 .logic_tile 11 5 -000000000000000111100011001001001001000010000000000000 -000000000000000111100110010001011010000000000000000011 -111000000000000001000011110101100000000001000001000001 -000000000100000011100011111101100000000000000000000000 -010000000000000000000010111101111001000010000000000000 -011010100110000000000110000111111111000000000000000000 -000010001010000111100111100001011001110011000000000000 -000000000000000000100011100011011110000000000000000000 -000000000000000111000000000001100000000001000010000000 -000000000000000000000000001101000000000000000010000000 -001000001110000001000111101000000000000000000100000000 -000000001110000000100100001011000000000010000000000000 -000000000000000000000011000000000000000000000110000000 -001000000000000000000100001111000000000010000000000000 -010100000000100101100110101000000000000000000110000100 -100100000001010001000000000011000000000010000000000000 +000000000000001111000010000011000000000000000000000000 +000010100100000001000100001011100000000001000000000000 +101000000000000001100000000101000000000010000000000000 +100000000000000000000000000101000000000000000001000000 +110000000000000011000000000001100001000000000000000000 +000000000000001011000000000011001011000000010000000000 +000000000000001001100111100001011011000000000010000000 +001000001010001011000100001001011000100000000000000000 +000000000000001101100110110011001100010000000000000001 +000000000000000101000010100011011101000000000000000010 +000000000000000000000110111011111111000100000000000001 +001000000000000000000010100111101101000000000000100000 +000000000000001001000000001111001100100000000010000010 +000000000000000001000000000101001100000000000010000000 +000000000000001101100000000111000001000010010100000000 +001000000000000101000000000001001010000001010010000000 .logic_tile 12 5 -000000000000000101000110101001101101110011000000000000 -000000000000000111100010011011111100000000000000000001 -111001000001001001100110010000000000000010000000000100 -000000101110101011000011100011000000000000000000000100 -110010000000001000000011011001000000000000100001000000 -011001000001001011000111010101001100000000110000000000 -000000000000001101000000001001011000100000000001000000 -000000000000010001100000000101101001000000000000000000 -000010000000001111000011110001101011110011000000000000 -000001000000001001000111111101001001000000000000000000 -000000100000001011100000010000000000000000000101000000 -001000001100101111110011001101000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000000001000000000010000011000000 -010010100101110000000000001000000000000000000101000000 -100010000011110000000000000011000000000010000000000000 +000000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000000010100 +101000000000001001100110010000001000001100111100000000 +100000000000000001000010000000001000110011000001000000 +110000000000000000000000000000001000001100111100000000 +001000001100000000000000000000001001110011000000000000 +000000000000000000000000000000001000001100111100000000 +001000000000010000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000100000000000000000001001001100111100000000 +001000000001010000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000000000 +010000000000000000000000000000001001001100110100000000 +101000000000000000000000000000001001110011000000000000 .io_tile 13 5 000000000000000000 000000000000000000 -000000000000010000 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 -000000000000001000 +000000000000000000 +000100000001100000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -1396,12 +1396,12 @@ 000000000000000000 .io_tile 0 6 000000000000000000 -000000000001100000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000100000000010000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -1412,223 +1412,223 @@ 000000000000000000 000000000000000000 .logic_tile 1 6 -000000000000001000000000000001100001001100110000000000 -000000000000001001000010110000001010110011000000000000 -111001000000001111100110000101100000000001000000000000 -000010100000000011100000000101100000000000000000000010 -000100000000001000000010000011100000000001000000000000 -000000000000001001000110110001000000000000000000000010 -000000000000001001000110001011111011000010000000000000 -000000000000000001000010101101011100000000000000000000 -000000000000000000000000010111000000000000000000000000 -000000000000000111000010101001100000000001000000000000 -000000000000000001100000000101101100111001110101000001 -000000000000000001000000000111011011110101110000000100 -000000000000001000000000011101001111101000110100000100 -000000000000000001000010100001111110111100110010000000 -000000000000000011000000001101001101011000000100000010 -000000000000000000000000000101101001110000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 6 -000000000000000000000110101011000000000000000000000010 -000000000000000000000011011101100000000001000010000000 -111000000000011000000000011001100000000000000000000000 -000000001010000001000010000011000000000001000010000000 -010000000100001011000010001011000000000001000000000000 -010000000000000011100011001001100000000000000000000000 -000000100000000111100011101011101010011100100010000000 -000001000000001011000000001111001100111100000010000000 -000000000000100001000110000011101000000100000000000000 -000000000000000000100000001111111110000000000000000000 -000000100000000001100110000101000000000000000000100000 -000000000000000111100100000101101011000000010000000000 -000000000000100111000110001101100001000001010000000000 -000010000000000000100100000101001011000010010000000000 -000000000001010000000000011000000000000000000110000000 -000000000000100000000011001111000000000010000010000001 +000000000000000001000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +101000000000000000000110110000000000000000000000000000 +100000000000000000000110110000000000000000000000000000 +000000000000001000000000000000011001001100110000000000 +000000000000001111000000000000011100110011000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100001111000000000000000000000000000000000000 +000000000000000000000000000001001011010011110100000000 +000000000000100000000000001011001001000011110000000001 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 6 -000011000100000000000000000000000000000000 -000011100000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100010000000000000000000000000000 000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000101010010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 6 -000000000000000111100011100101100000000000001000000000 -000000000000000001100100000000000000000000000000001000 -111000000000001111100110100000000000000000001000000000 -000100000000001111000100000000001000000000000000000000 -110000000000000000000011010000001001001100110000000010 -110000000110000000000111100000001010110011000000000001 -000000000000001101000000001001100000000000000001000000 -000000000000000111100000000001000000000001000000000101 -000000000000011011100000000101011111011001010100000100 -000000000000000111100000000011101101011010100000000000 -000000000000100000000000000011100001000001010100000000 -000000000001000111000011001001001110000001100000100000 -000001000000000111100111100001100000000001010100000000 -000010000000000000000000001101101110000001100000100000 -000010000000000000000000000001000001000001010100000100 -000001000000001111000000000011001110000001100000000000 +000000000000001001100011010001100000000001000001000000 +000000000000000001100011011101100000000000000000000000 +101000000000101001100110001101100000000010000000000000 +100000000000000111100010010011001011000000000001000000 +000000000100001111000111010000000000000010000000000000 +000000000010000011100011000001000000000000000000000000 +000000001100000001100010000101100000000000110001000000 +000000000000000000000111001011101110000000010000000000 +000000000000000001000010001011001000000000000000000001 +000000001100000000000000000001011001100000000000000000 +000000001010001101100000001011100000000000100000000000 +000000000000001111100000000001001101000000000000000000 +000001000000000000000000011101101011111100110100000001 +000010100000100111000011100111101001111100100000100001 +000000000000000101100000000001001010001100000100000000 +000000000000000000100000001111001000101101010000000000 .logic_tile 5 6 -000000000000000001000110011011111010100000000000000000 -000000000000000000000010001101101111000000000000000000 -111000000000000001000111000111000000000001000000100000 -000010000000000001000010101011100000000000000000000000 -000000000001010001100110001101100000000000100000000000 -000000000000101001000011100101101010000000110000000000 -010000000000001011100111010111100000000000000000000000 -000000000000001111100010000001100000000001000000000000 -110000000000000000000111101001100000000000110000000000 -100000000000000111000010000001001101000000100001000000 -000000000001000111100111100111100001000000000000000000 -000000000000000000000000001001001001000000010000000000 -000000000000000000000111101101001010101100000000000000 -000000000000000000000100001001101100001100000000000000 -010000000000000111100111101101001001111100100100100000 -100000000000000000000000001001111100111100110100000010 +000000000000000000000110010111100000000000001000000000 +000000000000010000000110100000100000000000000000001000 +101010100000000000000000000000000000000000001000000000 +100000000000000000000010100000001111000000000000000000 +000000000000000101000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000100000000000000000000000000000001000000000 +000000000000001101000000000000001001000000000000000000 +000000000001110000000110100000001000111100000000000000 +000001000001110000000000000000000000111100000000000010 +000000000000000101100111001001100000000000110100000101 +000000000000000000000100000101101101000001110001000000 +000000000000001000000000011011101110000011000100000000 +000000000000000101000010101111001010101011010000000000 +000011100000000000000111111000000000000010000100000000 +000001000000000000000110101011000000000000000000000010 .logic_tile 6 6 -000010000000000011100110011101101100001100000000000000 -000001000000000001100010000011111001101100000001000000 -111000000000000000000000001001101010000011110000000000 -000000000000001001000011000101111011100011110000000010 -110000000000000000000010010001000000000000000000000000 -110000001100000000000110000001000000000001000000000000 -000000000000011001100011100101111111000010000000000000 -000000000000100001000011000011101110000000000000000000 -000000000000000001000010000001000001000000000000000000 -000000000000000000100111100111101010000000010000100010 -000000000000001000000011101011000001000010000000000010 -000000000000000001000000001111101101000000000000000000 -000010000000000001000010000011000000000001000000000000 -000001000000000000100100001001100000000000000000000001 -010000000000001001000000001000000000000000000110000000 -010000000000001011000011101111000000000010000000000000 +000000000000000000000000001101100000000000000000000000 +000000000000000000000011101101101110000000110000000100 +101000001000000111100000011111100000000001000000000010 +100000000000000101100010100001000000000000000000000100 +010000000000000000000000001000000000000010000000000000 +010000000000000000000000000101000000000000000000000000 +000010100010001001100010111111001111111100000001100000 +000001001000000011000010100101011000011100000000000000 +000000001100000111100111100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100000000001000000000000 +000000000000000000000000000011100000000000000000000000 +000000000001010111100111001000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000001000000000000000000000000000110000100 +000000000000010000000000001011000000000010000010000000 .logic_tile 7 6 -000000000000001001000011100101000000000001000000100000 -000000000000100111100010100101000000000000000000000000 -111010100000000111100110010001101111000010000000000000 -000100000000000000100110001101101001000000000000000000 -010000000000000001100010011001011000010111110000000000 -010000000000001101000011111101101100011011110000000000 -001000000000001000000110001001111010110011000000000000 -000000000000001011000000000101111111010010000000000000 -000010000000000000000000000000000000000000000100000100 -000000000000000001000000000001000000000010000000100010 -000000100000000000000000000000000000000000000100000000 -000001000000000000000000000111000000000010000000000100 -000000000000000001000000000000000000000000000101100000 -000000000000001011000011101101000000000010000000000010 -010000000001000000000000000000000000000000000100000000 -100000000000100000000000000001000000000010000000000010 +000000000000000001000010000111100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +101000000000000000000011000101100000000000001000000000 +100000000000000000000000000000001001000000000000000000 +110000000000000000000010000001101000001100111010000000 +100000000000000000000100000000101011110011000001100000 +000000000000000101100000000111101000001100111000000001 +000000000000000000000000000000001001110011000000000000 +000000000000000000000110000000001000111100000000100000 +000000000000000000000100000000000000111100000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000001000000001011000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000010000000 +110000000000001001100000000000000000000000000110000000 +010000000000001001000000000001000000000010000000000000 .logic_tile 8 6 -000000000001111001000110001101101011110000000000000000 -000001000000111011100010011111011000000000000000000010 -111000100000001111100011011111011011110011000001000000 -000001000000000111100111011001111100010010000000000000 -010000000000100001000110101011001110010111110000000000 -010000000000000101000011000101101000100111110000000000 -000001000000001001000110001111011000110011000000000000 -000010000000000101000110011101011000000000000000000000 -000100000000100011100011000001001010011100000000000000 -000100100001000111000000001101101010111100000000000000 -000010000000000111000000000000000000000000000100000000 -000000000000000000000000000011000000000010000001000100 -000001000000001011100111000000000000000000000100000010 -000010100000000111100100000011000000000010000000000000 -010000000010100000000111001000000000000000000100000000 -100000001010000000000100000001000000000010000001000000 +000000000000000001100110000101100001000000000000000000 +000000000000000000000000000101001100000000110000000000 +101000000000001000000010100101100000000010100000000000 +100000000000000011000000001111001101000010010000000000 +110000001000000001100000001001100000000010100000000000 +000000000000000011000010001011101111000010010000000000 +000001000000001001100110000101100000000010100000000100 +000011100000000011000000000101101101000010010000000000 +000000000000000000000110100000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000010001000000000001100001000010010110000000 +000000000000001001100011110001001100000001010000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000100000000010000111100000000010010100000000 +000000000001010000000100001001101011000001010010000000 .logic_tile 9 6 -000010101010000111100011110011001111110011000000000010 -000001100000000001100010100001111110000000000000000000 -111001000001010011100111010011101001000100000000000000 -000010000000000000100111110001111001001100000000100000 -110000000010000111100111101001001101010111110001000000 -010001000000000000100100001101101010100111110000000000 -000000001110101111100010001111101111000010000000000000 -000000001001011111100100001111011101000000000000000001 -000000000000100111000011000011111000000110100000000000 -000010100001010000000111100101101011001111110001000000 -000001000000000000000110011000000000000000000101000000 -000010001000000000000010000101000000000010000010000000 -000001000000011000000011001000000000000000000110000000 -000000000000100111000110011001000000000010000000000000 -010000001000000011000000010000000000000000000100000000 -100000000000000000100011010111000000000010000010000000 +000010000000000111100110000001111000010110100000000000 +000001000000000101000011100011101110100110100000100000 +101001000000000011110010100011100000000010100000000000 +100010000000000000000010010011101001000010010001000100 +110000000000001000000111001101111000010110100000000000 +000000000000000101000011000011101110100110100000000010 +000000000000000011100000011101100000000001000001000000 +000001000000000000000011000011000000000000000000000000 +000001000000001001000010000101001100111101000010000000 +000000100000000101000000000001011011111111000000000000 +000000100000001001000000000001000000000000000000000000 +000001000010000101100010011101101000000000110000000010 +000001000000100001000000001101001100111101000100000000 +000010100001010000100000001001011011111111000000000010 +000000000000000000000000000001000000000010010101000000 +000000000000000000000000001101101000000001010000000010 .ramt_tile 10 6 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000001110100000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000010100000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000111100101000000000000 +000000010000000000000100000111100000000000 +101000100000000000000000001000000000000000 +100000010000000000000000000111000000000000 +000000000000000000000000010111100000010000 +000000000000000000000011001011100000000000 +000000000000000000000000000000000000000000 +000000000000000000000010000111000000000000 +000000000001010000000000001001000000000000 +000000000000100000000010010111100000000000 +000000000000000101100010111000000000000000 +000010000000001111000110100011000000000000 +000000000000000001000000001101100000000000 +000000000000000111100000001011100000000001 +110000000000011101000000011000000000000000 +010000000000000101100011101011000000000000 .logic_tile 11 6 -000000000000000001100010011101101111110011000000000000 -000000000000001011000111110011111010000000000000100000 -000010100010001101000111100111101111000110100000000000 -000000000000010111000110101001001110001111110000000000 -001000100001011111100111001001000001000000010000000000 -000000000000001111100000001111001101000000000000100000 -000000000000000101010111101111111000000010000000000000 -000000000000000000000110100001011110000000000000000000 -000000000000010011100011110011100000000000010000000100 -000000000000000000000011100001101011000000000000000000 -000000000000101111100110111101001111000110100000000000 -000100000001010011100010001001011111001111110010000000 -000000000001001001000011101011001100000110100000000000 -000000001110001011100010001101011101001111110000000000 -000000100000000011000110000001011001010111110000000000 -000000000000001111000011010101101100100111110000000000 +000000000000000000000000001101101100010110100000100000 +000000000000000000000011000101111011100110100000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000011010000000000000000000000000000 +110000000000000000000010100000000000000000000000000000 +100000001110000000000011010000000000000000000000000000 +000000000001000011100000010001000000000010100001000000 +000000000000000000100011000111101011000010010000000001 +000000000000000000000111101000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000000 +000000000000001000000000000000000000000000000100000000 +000000000000001001000000000000000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 6 -000000000001000111100111011001011100110011000000000000 -000000000000100000000110001111001100000000000000000000 -000000000001001000000111011011001100000010000000000000 -000010000010001011000110001001011000000000000000000000 -000000000000011000000011101111111101110011000000000000 -000000000000100001000000001011011011000000000000000000 -000000001110001111100111001001101000100000000000000000 -000000000100000001000100000101111010000000000001000000 -000000000000000011100000011001001100110011000000000000 -000000000000001011000011000001101101000000000000000000 -000000000000001111100111000011111010000010000000000000 -000000000010000111100111001001001111000000000000000000 -000011100000001111000000010111011111110011000000000000 -000011000000001011000011011101111100000000000000000000 -000000000000101001100011110111101110000110100000000000 -000000000001011111100011000111001001001111110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 6 000000000000000010 000100000000000000 -000000000000000000 +000000011001100000 000000000000000001 -000000000001000001 -000000000011000000 -001100000000010000 +000010000000000001 +000000010011000000 +001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000010000011000010 -000000010001000000 -000000011000010000 +000000000010000010 +000000000001000000 +000000000000000000 000000000000000001 000000000000000001 000000000000000000 @@ -1650,221 +1650,221 @@ 000000000000000000 000000000000000000 .logic_tile 1 7 -000000000000101000000000000101100000000001000000000100 -000000001000000011000000000011000000000000000000000000 -111010000000001001100111010001111011100000000000000000 -000000000000000001000111000101011001000000000000000000 -010000000000001001100010010001100000000001000000000000 -110000000000000001000011000101000000000000000000000010 -000000000000001000000010110000000000000000000000000000 -000000000000000011000011010000000000000000000000000000 -000000000000000000000000000101101011100000000000000000 -000000000000000001000000000001111001000000000000000000 -000000000000000111100111101111000000000001000000000010 -000000001010000000100100000011000000000000000000000000 -000000000000000011100000001011100000000011000000000000 -000000000000000000100000001111101100000011010001000000 -000000100000000000000110001000000000000000000101000000 -000001000110000000000000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 7 -000000101110001011010011110000000000000000000000000000 -000000000000001011000010000000000000000000000000000000 -111000000000001000000111001000000000000010000000000000 -000000000000001111000011001101000000000000000000000100 -010010100000001111100000001001100001000000000000000000 -010001000010000011000000001011101000000000010000000000 -000000000000001000000110110101100000000001000000000010 -000000000000000011000011100101100000000000000000000001 -000000000000000000000000000101100000000000000000100000 -000000000000000000000000000101000000000011000000000101 -000000000000100000000000010001011000111100000010000000 -000000000000000000000011010001011010011100000000100001 +000100000000001111100111100000000000000000000000000000 +000100000000001111100111000000000000000000000000000000 +101000000000000001000000001000000000000010000000000000 +100000000000000001100000000001000000000000000000000100 +110000000000000111100111100011000001000011000000000000 +010000000000000000100100000001001000000011010000000000 +010000000000001001000000000000000000000000000000000000 +110000000000001011100000000000000000000000000000000000 +000000000000000111000000010000000000000000000000000000 +000001000000000000100010000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000110000000000000000000000000000000000110000000 -000000000000000000000000001001000000000010000000000110 +000000000000000000000000001101101000101001010100000000 +000000000000000000000000000101011010100101010000000000 +110000000000000000000000001001001010101001010100000000 +000000000000000000000000000011111000100101010000000000 .ramb_tile 3 7 +000000000000000000000000000000000000000001 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000100001100000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000000000000000100000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 +110000000000000000000000000000000000000001 +110000000000000000000000000000000000000000 +000000000000000000000000000000000000000001 +000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 +000001000000000000000010000000000000000000 +000010100000000000000100000000000000000000 +000000000000000000000000000000000000000100 000000000000000000000000000000000000000000 .logic_tile 4 7 -000010100000110111100000000111100000000000001000000000 -000001000001110000100011110000100000000000000000001000 -111010000000001111100011100111100001000000001000000000 -000000000000001011000000000000101100000000000000000000 -010000100000000011000010110111101001001100111000000000 -010000000000000000100010000000001110110011000010000000 -000000000000000000000000000101001001001100110000000000 -000000000000000000000000000000001100110011000001000000 -000000000000000000000000000001000000000000100000000000 -000000000000000000000000000111001011000000000000100000 -000000000000000111000000000000000000000010000000000001 -000000000000000000000000001101000000000000000000000000 -000000001010000111000000001001100000000001000000000000 -000000000000000000100000000001000000000000000001000000 -000000000000001111000110111000000000000000000100000010 -000000000000000101000010101001000000000010000010000010 +000000000000001000000000000101111000000010000000000001 +000000000000001011000011010001001001000000000000000000 +101000000100000111000000001111011010000100000000000000 +100000000000000000000010100011101111100000000001000000 +000000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000001100000000000000000001100110001000000 +000000000000000001100000000000001010110011000000000000 +000100000000000000000000001000000000000000000100000000 +000100000000000000000000001001000000000010000001000000 +000000000000010101100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000110010000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 7 -000000000000000001100110101001000000000000000000000000 -000000000000000000000010000101000000000001000000000001 -111000000000000000000011011101111101000100000000000000 -000000000000100011000110001101111010000000000000000000 -010000000000000111100110001000000000000010000001000000 -010000000000000000100000001011000000000000000000000000 -000000000000001001100110010001111100001100110000000001 -000000000010000001000010000000100000110011000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000001000000000010000000000000000000100100000 -000000000000001011000011001001000000000010001000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010001001000000 -010000000000100000000000001000000000000010000100000000 -010000000001000000010000001001000000000000000001000000 +000000000000000111000000000001100001000000100000000000 +000000000000000000000011001011101000000000000000000000 +101000000000001000000111110101100000000001000001000000 +100000000000001011000110000101000000000000000000000000 +010000000000001101100010001111000001000000000000000000 +010000000000000001100111011101101000000000010010100000 +000000101110001001100111100001100001000010000000100000 +000000000000000001000111010111101010000011000000000000 +000000000000000000000111101001000001000000100000000000 +000000000000000000000011111011001100000000110000000000 +000001000000000000000010011101000000000000000000000000 +000010100000001111000010101011100000000001000000000000 +000000000000001000000000001101011010010000000000000000 +000000000000000011000011111111111010000000000000000000 +000100000000000000000110100000000000000000000100100101 +000100000000000000000000001011000000000010000000100000 .logic_tile 6 7 -000000000000000000000111101001111111010100000000000000 -000000000000001001000110011001101110100100000010000000 -111000000000001001010110000000000000000000000000000000 -000000000000000011100111100000000000000000000000000000 -001000000000000000000000001001100001000001010000000000 -000000000000000000000011010001001110000001100000000100 -000001000000001000000111000011001001110010100000000100 -000000100000000011000000001101011010110011110000000000 -000001000010000001000000011011011110000011010000000010 -000000100000000111100011101101001000000011000000000000 -000010100010000000000000000000000000000000000000000000 -000001000010000000000000000000000000000000000000000000 -000000000000001000000000001001011111101000000000000100 -000000000011011001000000001101101110011000000000000000 -000000000000000101000000000011000000000001000100100010 -000000000000000101000000000111100000000011000000000001 +000000000000000000000010000001100000000001000000000000 +000000000000000101000110010101000000000000000000000001 +101000000000000001100000000101100000000001000000000000 +100000000000000111000000000101000000000000000010000000 +010000000000001111100010010111100000000001000000000000 +010000000000000101100010000001100000000000000000000000 +000000000000000001100000000011000000000001000000000000 +000000000000000000000010000101100000000000000000000000 +000000000000000000000010010101000000000001000010000000 +000000000010000000000110000101100000000000000000000000 +000001000000000000000000001001000000000000000000000000 +000010100000000000000000001111000000000001000000000000 +000000000000000000000000001001001100000010000001000000 +000000000000000000000000000001111011000000000000000010 +001000000000000000000000011000000000000000000100000000 +000000001000000000000010111101000000000010000000000100 .logic_tile 7 7 -001000001100001001100111111111001111000010000000000000 -000000000000000111000010000101011101000000000000000000 -111000000001000101000011111101000000000000000000000000 -000010000000101101100011100011101001000000010000000000 -110000000000000111100111100101100001000000100000000000 -110000000000000000000110100111101001000000110000000000 -000000000000000101000011111111101000000000000001000000 -000001000000001101000011110101011011100000000000000000 -000000000000001011100000001011011010000011010000000001 -000000000000001111100000001111001010000011000000000000 -000000000000000011100110001011011001101000000000000000 -000000000000000000100011101011001000011000000000000100 -000000000000000011100011111111100000000001100100000000 -000000000000100001100011111101101000000001010000000000 -010000000000000101100110011111100000000001100100000000 -100000000100000000000010001001101000000001010000000000 +000000000000001011100110011111100000000000100000000010 +000000000000000111000010000001101010000000000000000000 +101000000000001101000011101001101000000011000000000000 +100000000000010001100011101001111001000001000000000000 +010000000000001001100110110101001101111100000000000000 +110000000000000011010011000011111011011100000000000000 +000000000100001101000111010101100001000000100000000000 +000000000000001111100010100001001010000000000000000000 +000000000000101000000110100111111101101100000000000000 +000000000001010011000100000001001011111100000000000000 +000000000000001101000000000011100001000000000000000000 +000100000000001101000000001111001011000000010000000000 +000000000000000000000000010101011000111100000000000000 +000000000000001001000011010001001100011100000000000000 +000000000000001000000000010000000000000000000100100001 +000001000000010011000010000111000000000010000000100000 .logic_tile 8 7 -000000000000101001100111110001111111000110100000000000 -000000000001011011000010001001101010001111110000000001 -000000000000000000000010100111101011000110100000000000 -000000000000001001000010011101101011001111110000000000 -000010000000000111000110111011100000000001000000000000 -000001000000001011100110000001100000000000000001000000 -000010000000000111000000000111111000000110100000000000 -000001000010010000010010010111011001001111110000000000 -000001000000001001000111010011101010000110100000000000 -000010000000000011100011110011001000001111110000000000 -000000000010001001100011010011101100000110100000000000 -000000000000000001100011001011111111001111110000000000 -000010100100001000000110001001000000000000010000000000 -000001000000000011000011010011001011000000000000000010 -000100000000000011100111100111000000000001000000100000 -000100000101011111100100000011100000000000000000000000 +000000000000001111000000010101101101111100110000000000 +000000001000001111000010000001001001010100110000000000 +101000000000001101000000001111001100010000000000000010 +100000000000000011100011101011101010000000000000000000 +010001000000000000000011111011100001000000100000000000 +110010000000000011000110000001101100000000000000000000 +000000000000001101100000011001111010000100000000000000 +000000000000000001000010000001001000000000000000000000 +000000000000001001000000001101000001000000000000000000 +000000000000000001000000000111101001000000010000000000 +000000000000001101000111101001000001000010000000000000 +000000000000001111100100000011101011000011000000000100 +000000000000000101000110001011000000000000100000000000 +000000000000000111000000000001001110000000000000000000 +000000000100000101000000000000000000000000000101000110 +000000000000000000100000000111000000000010000000000000 .logic_tile 9 7 -000010000000000000000010000111011001000100000000000001 -000000000000000111000010010001111010001100000000000000 -111000100000000001100111011011000000000001000000100000 -000000001000001001000010111111000000000000000000000000 -010000000000000001000011110101101001110011000000000000 -010000000000000111100010110101111100000000000000000000 -000000000000000101100010000011101111000110100000000000 -000000000000000011100110000101101111001111110001000000 -000000000000001111000000011011111000100000000000000010 -000000000000001011100010001001001101000000000000100000 -000001000000000111000000001111011010110011000000000000 -000000000000001111110011110101111011000000000000000000 -000000000000000011000111010000000000000000000100000100 -000000000000000000100111011101000000000010000001000000 -010000000100000000000000010000000000000000000100000100 -010000000000000000000011000011000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +101000000001000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000010000010000000 +100000001110000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000001 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000001010000000000000000000000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000010 .ramb_tile 10 7 000000000000000000000000000000000000000000 -000000010000010000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000110000000000000000000000000000000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000101000000000000000000000000000000000 -000000100000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 .logic_tile 11 7 -000000000000001000000110001111111111000110100001000000 -000000000000001011000010010011011111001111110000000000 -111000000000000000010110010101011110110011000001000000 -000000000000000111000010001111101100000000000000000000 -010000000000000000000110000001101001000010000000000000 -010000000000000000000010110001111001000000000000000010 -000000001100100101000000001000000000000000000100000000 -000000000110001101100000000101000000000010000010000000 -000000000000000011100000001000000000000000000100000000 -000000000000000000000000000001000000000010000000100000 -000000000000100000000010001000000000000000000100000010 -000000100000010101000100001011000000000010000000000000 -000000000000000000000000001000000000000000000100000000 -000000000001000000000010000101000000000010000010000000 -010001000000001001100111010000000000000000000101000000 -100000000000010001000011001101000000000010000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000101000000 +000000000001000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .logic_tile 12 7 -000000000000010101000010101011000001000000000010000000 -000000000000100000100110111001001101000000010000000000 -111100001110000000000000011111000000000001000001000000 -000000001110001111000011110111000000000000000000000000 -110000001110000000000000001000000000000000000100000000 -000000000110000000000000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000001010000101000000001000000000000000000100100000 +100000000000000000100000000001000000000010000000000000 +110000000000000101000000000000000000000000000000000000 +100000000001011101100000000000000000000000000000000000 +000000000000100111000000000000000000000000000100000000 +000000000001000000100000000101000000000010000000000000 000000000000000000000000000000000000000000000100000000 -000010100000000000000000001111000000000010000000100000 -000010000000001011100000000000000000000000000100000000 -000001000000000111000000000011000000000010000000100000 -000010000000001000000000000000000000000000000100000000 -000000000000001101000011110001000000000010000000000000 -000000000001000111100000000000000000000000000100100000 -000000000000100000000011011001000000000010000000000000 -010010100000101000000000000000000000000000000100000000 -010000001001010011000000001001000000000010000000100000 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100001000000010100000000000000000000100000000 +000000000000001011000100001111000000000010000000100000 +010000000000000000000000000000000000000000000100000000 +110000000000000000000000000111000000000010000000100000 .io_tile 13 7 000000000000000010 000100000000000000 -000000000000010000 -000011110000000001 +000010000000000000 +000010110000000001 000000000000000010 000000000000110000 001000000000000000 000000000000000000 -000000000000000000 +000000000000000010 000100000000000000 -010000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000001 @@ -1881,225 +1881,224 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -010011010000000000 +100010000000000000 +000010110000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 .logic_tile 1 8 -000000000000000000000110000101100000000000001000000000 -000000000000000000000011010000000000000000000000001000 -111000000000011001100110010000000000000000001000000000 -000000000000000001000010000000001011000000000000000000 -010000000000000000000000000000001000001100111100000010 -010000000000000000000010110000001101110011000010000000 -000010000000000000000000000000001000001100111100000000 -000000000000001101000000000000001001110011000010000000 -000000000010000000000000010000001001001100111100000000 -000000000000000000000010000000001000110011000000000001 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100111100000000 -100000000000000000000000000000001001110011000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 8 -000000001000000001100110110001001111000100000000000000 -000010000000000000000011100101101000000000000000000000 -111000001010001101100110111001100001000010000000000000 -000000000000000101000010100001101001000000000000000000 -110001000000000111100000000000000000000000000000000000 -110000100000010000100000000000000000000000000000000000 -000000100001010000000000001011001100010000000000000000 -000000001110100000000000000111101000000000000000000010 -000000000000001000000000000000000000000010000010000001 -000001000000000001000010111001000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000001000000000111001000000000000000000100000001 -000000000000001101000100001001000000000010000000000000 -110000000011010000000000001000000000000000000100000001 -010000000000001101000000000001000000000010000000000000 +000000000000001001000000000000000000000010000000000000 +000000000000000011000010100001000000000000000000000000 +101000000000000101100000010101000001000010000000000001 +100000000000000111100011111101101000000011000000000000 +010000000000000000000010000000000000000000000100000000 +010000000000000000000011000001000000000010000000000000 +000000000000000001000000010000000000000000000100000000 +000000000000001001100011110001000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000010 +000000000001010001000010001001101111001100110100000000 +000000000000100000000010000101101101101100100000000000 +000000000000000001000000001101100001000011000100000000 +000000000000000000100000000101101101000010010000000000 +110000000000000000000000001000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 .ramt_tile 3 8 -000000001110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000 +000000010010000000000000001111000000000000 +101000000000000000000110100000000000000000 +100000011110001001000000001101000000000000 +000000000000000011100110110000000000000000 +000000000000000000100010100101000000000000 +000000000000000101100000011000000000000000 +000000000000000000000010101001000000000000 000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000110000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000000000000000000000000001101000000000000 +000000000110000000000000001000000000000000 +000000000000000000000000001101000000000000 +000000000110000000000000001000000000000000 +000000000000000000000000000101000000000000 +010000000000000000010110011000000000000000 +010000000000000000000110011111000000000000 .logic_tile 4 8 -000000000000001011000110001001000001000001010000000000 -000000000000000001100010010011101110000010010000000000 -111000000000001001100010011011000000000001000000000000 -000000000000001111000110001101100000000000000000000010 -010000000000101111000010011001111010010000000000000000 -110000000001000011000011001001001000000000000000000010 -000000100001000011100111011101011010111001110000000000 -000000000000000001000011011011011010110101110000000000 -000000000000100111000000000001101011111001110000000000 -000000000001010000100010001111111010110101110000000000 -000100000000001001000110101001101110010100000000000000 -000000000000000001100100000011001100011000000000000000 -000000001100000101100011100111001111010100000000000000 -000000000000001111000010011001011110011000000000000000 -000000001100000111000000000000000000000000000100100110 -000000000000000000100000000001000000000010000000000000 +000000000000000011100010000000000000000010000000000001 +000000000110000001000100000001000000000000000000000000 +101000000000000000000000001000000000000010000000000000 +100000000000000000000000000111000000000000000000100000 +010000000000000000000000001001000001000010100000000000 +000000000000000000010000001011001111000010010000000000 +000000000000000000000011010000000000000010000000000000 +000000000000000000000110000011000000000000000000100000 +000000000000000111100000001011100001000010100000000000 +000000000000001001000000000011101011000010010000000000 +000000000000001001100011111111100001000010100000000000 +000000000000001001100010010011001011000010010010000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +110000001100000011000110000000000000000000000100000000 +000000000000000011100000001001000000000010000000000000 .logic_tile 5 8 -000010100000001001100000001001000000000001000000000001 -000001000000001111000000001011000000000000000000000000 -111000100000010111100111101001000000000000000000000000 -000000000000000000100100000001000000000001000000000000 -011000000000001000000010001001000000000001000000000100 -110000000000001111010000001101000000000000000000000000 -000000000000000111100111000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -000000000000000000000000001011100000000001000000100000 -000000000000000000000000001011000000000000000000000000 -000010100000000001100000011001000000000001000000000100 -000001000000000000000010010001000000000000000000100000 -000000000000100000000000001101000001000000100000000000 -000000000001000000000000000101001101000000000001000000 -000000000000000000000000001000000000000000000100000100 -000100000000000000010000001001000000000010000001000000 +000100001000000001100010011111101100000011010000000000 +000100000000000111000010000111011011000011110001000000 +101001001010000101000000001101101101101011110000000000 +100000100000001001000010010101101101100111110000000000 +110000000000100011100110010111011101010100000000000000 +010000000001000000100010100001001101011000000000000000 +000110000000001101000111110001001110100100000000000000 +000001000000000101000111100001111001010100000000000000 +000000000000000011100110110101011111010100000000000000 +000000000000000001000111100111111000011000000000000000 +000000000000000111100010000001111010010100000000000000 +000000000000000000100010000011101001011000000000000000 +000001000000000101000011000001100000000001000010000000 +000010100000001101100000000101000000000000000000000000 +000000000000101001100000011000000000000000000100000000 +000000000001010001000010101101000000000010000000100100 .logic_tile 6 8 -000010100000001000000000001101011001101100000000000000 -000001000100001111000000001011101000001100000000100000 -111000000000000101000111011001111010001100000000000000 -000000000000001111100010010011101100001000000000000000 -010010100000000000000011011111111000101100000000000000 -110001000000000000000111001101001000001100000000000000 -000000000000001101000111001111011110110001010000000000 -000001000010000111100000000101001011110000000000000000 -000000000000000101100000011001111000101100000000000100 -000000000000000000000010100111101101001100000000000000 -001000000000000101100110101001111011101100000000000000 -000001000000000000000010000111101101001100000000000000 -000000000000000101000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000100000101100000000000000000000000000100000000 -000000000000000001000000001111000000000010000000000100 +000000001101011111000000001001000000000011000000000000 +000000000000101011000000000001000000000010000000100000 +101000000000001001000000000011100000000001000000000100 +100000000000000111010010111111100000000000000000000000 +010000001110001111000110001101000000000010000000000100 +110000001010001111000000001001001010000000010000000001 +000010000000000001000000000011100000000001000000000000 +000000000000000111000010100111100000000000000000000000 +010000000000000000000011101101011000111000000000000000 +110000000000000000000100000011001010011100000000000100 +001000000000101101100000011111111011010000000000100000 +000000001100000101100010000101101011000000000001000000 +000001001100001000000000010011100000000010000000000000 +000010100000001001000010010001000000000000000000000000 +000001000000010000000010000000000000000000000101000000 +000000000000100000000000001011000000000010000010000000 .logic_tile 7 8 -000000000000000101000110010000000000000000000000000000 -000000001100001011100011010000000000000000000000000000 -000000000001001001100011100011001111000100000000000000 -000010001110101111000010010001111000001100000000000000 -000000000000000101000111110101000000000010000000000000 -000000000000001011100111000101100000000011000000000000 -000010000001000000000111010001101011010111110000000000 -000000000000001001000010111111111010011011110000000000 -000000000000001111100000011011001011000100000010000000 -000000000000000101000011100001001011000000000000000000 -000000100000000000000111000001000001000000100000000000 -000001000000000000000100000011001001000001000000000000 -000000100001010000000110110011100000000000000000000011 -000000000000000000000011101001100000000001000000000000 -000000000111010000000000000011000001000000010000000000 -000000001000000000000000001001001011000000000000000000 +000000000000000111100010010111001000010000000000000100 +000000000000000000100010101011111100000000000000000000 +101000001101011101000010011001011110000000000000100001 +100000000000000111000111000101101100100000000000000000 +110000000110100000000010001111100001000000100000000010 +000000000001000000000100001001101010000000000000000000 +000000000000001101000110001001100001000000000001000000 +000000000000001111000000001111101000000000010000000000 +000001000010000011000000001001000000000000000000000000 +000010101010001011000011011101000000000001000000000100 +000000000000001000000000000011001010000100000000000000 +000000000000001011000000000111111111000000000000000000 +000001000000000000000000011001100001000010000101000000 +000010101000001011000011010001101100000011000000000011 +000000000000000101100000010000000000000000000100000100 +000000000000000000000010110001000000000010000000000000 .logic_tile 8 8 -000000000000000111000010011011011101000110100000000000 -000000001000000011000011001001101110001111110000000000 -111000100000001111100010010101101111000110100000000000 -010000000000000111100111011101101000001111110000000000 -110010000000010001100010001111001001000110100000000000 -010001000000001001000010111011011110001111110000000000 -000000000001010001000110010101001010010000000000000000 -000000001010101001100010000011101111110000000000000000 -000011101100000101000010011001111110000110100000000000 -000010100000000000000011010011111000001111110000000000 -000010000000000001000000011001001110000110100000000100 -000100000000001001100010001101111010001111110000000000 -000000000000000011100111011101100001000000010000000000 -000000000010000011000110000001001010000000000000000000 -010000000000000111000000000000000000000000000101100000 -100000000000000000100011010001000000000010000000000000 +000000000001001001000111110001000000000000000001000000 +000000001000000001100111111011001110000000010000100000 +000000000000001000000000010101111111011100000000000001 +000000000000000001000011011011101010111100000000000000 +000000100000101111100110001001000000000000010000000000 +000001000000001111100000000001101010000000000000000000 +000001000000000111000010001001000000000000010000000000 +000000100100001101000111010011101010000000000000000000 +000000000100001000000110110111000001000000010000000000 +000000000000001011000011000011101001000000110000000000 +000000000000000001100111000011001111010000000000000000 +000000000000000000100100000001101101000000000000000000 +000000000000000011100000001011000001000000000000000000 +000000000000000000100010011101101010000000010000000000 +000000000000000001100000011001001011001011100000000000 +000000000000000000000010001101011111001011010000000100 .logic_tile 9 8 -000000000000100001000111111011011000000010000000000000 -000000000000010111000011110001101110000000000000000000 -111000000010000111000111111001001001110011000000000000 -000000000000001001000110110111011101000000000000000000 -110000000100001001100000000101111011100000000001100000 -010000000000101111000000000101011001000000000000000000 -000000000000001001100111110101100000000001000000000001 -000000000000010001000110000111000000000000000000000000 -000000000000000111100111110001011101110011000000000000 -000101000000000000000011001111001011000000000000000000 -000010100000000001000110001111111100000010000000000000 -000001000000001001000011001001111100000000000000000000 -000100000010101101100010001001001111110011000000000000 -000000000000010001100010000011111111000000000000000000 -010001000100000000000010100000000000000000000100000010 -100011100000001111000100000101000000000010000000000000 +000000000000000011100000010000000000000010000000000000 +000000000000001001100011111111000000000000000000000001 +101000000000000011000000000001000000000001000000000000 +100000000010000000000000000101100000000000000001000000 +110000000000001111000000000000000000000010000000000000 +000000000001010101000000000111000000000000000000000100 +000000000001010000000000000111000001000010000000000001 +000000000000100000000000000111001000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000001111100010001001000000000010110100000001 +000000000001010011000000001011001000000000110000000000 +000000000000000000000000011101000000000010110100000001 +000000000000000000000011001111001101000000110000000000 .ramt_tile 10 8 -000000100000000000000000000000000000000000 +000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 -001000000100100000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 -000001000000000000000000000000000000000000 -000010101110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 .logic_tile 11 8 -000000000010001011000111010001101100110011000000000000 -000000000110000011100011010011111000000000000000000100 -111000101001000101100110001001111001110011000001000000 -000101000000101101100010111111101010000000000000000000 -110000000000001000000000010111000000000000000000000000 -110100001100001011000010001001000000000001000001100000 -000000000000001011000000001101111100000110100001000000 -000100000000001011000000000001001010001111110000000000 -000000000000011000000011000111000000000000000010000000 -000000000000100011000000001001100000000001000001000000 -000000000010101000000000000111100000000000000000000000 -000000000001010101000000001001100000000001000000000100 -000001000000001000000111110001000000000000000000000011 -000010100000000011010111111001000000000001000000000010 -010001000000100000000010000000000000000000000100000000 -100000100001010000000010001101000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +101000000001010000000000000011100000000000000000000010 +100000000000100000000000000011101010000000010000000000 +110000000000000000000000010011101100010000000000000000 +100000000000000001000010000001011111000000000000000000 +000000000000000000000011001111000000000000100000000100 +000000000000000000000000001111001100000000000000000000 +000000000000001101000111100101000001000000100000000100 +000000000000001111000000000011101011000000110000000000 +000000000000010111000000000000000000000000000100000000 +000000000000100000100010100101000000000010000000100000 +000000000000000001000010001000000000000000000100000000 +000000000000000000100000001011000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 .logic_tile 12 8 -000100000000000000000000001011000000000000000000100000 -000100001110000000000011100001100000000001000000100000 -111000000000001000000000000011101101000100000000000010 -000000000000001111000011110011001101100000000000000000 -010000000000000000000011101011101110110000000000000010 -110000000000000000000000000011101110000000000000000000 -000000000000000000000000010011101111000100000000000010 -000000000100000000000011010111001101100000000000000000 -000001001000001101100011101011111011010000000000100000 -000000001100000111100000001111011001001000000001100000 -000000000000001111000111000000000000000000000110000000 -000000000000000011100000001011000000000010000000100000 -000000000000001101100000000000000000000000000100000010 -000000000000000111100000001001000000000010000000000000 -010100001100000000000111101000000000000000000100000010 -010000000000000111000100000111000000000010000000000000 +000000100000000101000000000101100000000000000000000010 +000001000000000000100000000111101010000000010000000000 +000000000010000001000000000001100000000000100000000010 +000000000000000001000010110001101100000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +010000000000000000000000001001100000000001000000000000 +110000000000000000000010110001000000000000000000000000 +110000000000000111000000001001000000000000000000000000 +000000000000000000000000001001001110000000010000100000 +001000000000000000000000000001100000000010000000000000 +000000000000000000000000000001101100000000000000000100 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000001000000000000000000000000000000000000000000 +000010100000100000000000000000000000000000000000000000 .io_tile 13 8 -000001111000000010 -000000001000000001 +000000000000100010 000000000000000000 -000000000001100001 -000000000010000101 +000000011000000000 +010000001000000001 +000000000011001101 000000000011000000 -001101111000000000 -000000000000000000 +001100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2108,242 +2107,243 @@ 000000000000000000 000000000000000000 000000000000000000 +000011010000000000 .io_tile 0 9 -000001110000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000100 000000000000000000 +000000000000001100 +000000000000001000 000100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 -000000000000000000 +000011010000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 9 -000000000000001000000011100000001000001100110100000001 -000000000000000001000000000000001000110011000001010000 -111000100000001000000000000000000000000000000000000000 -000001000000000001000000000000000000000000000000000000 -010000000000000000000011111101000000000000000100000001 -110000000000000000010110000001100000000011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000001000001001100110100100000 -000000000000000000000000000000101000110011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000010000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000001111100011110001100000000000001000000000 +000000000000001111000111110000100000000000000000001000 +000000000000000000000110010001000000000000001000000000 +000000000000000000000011110000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000011000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000111100000000001000000001000000000 +000000000000000000000100000000001101000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 .logic_tile 2 9 -000001000000001000000000000101100000000000001000000000 -000010100000000011000000000000000000000000000000001000 -111000000000000011100110000000000000000000001000000000 -000000000000000000100000000000001010000000000000000000 -110000000000001000000000010000000001000000001000000000 -110000000000000011000011010000001010000000000000000000 -000000000001000111100000000000000000000000001000000000 -000000000000100101100000000000001011000000000000000000 -000000000000001111000000000000001000111100000010000000 -000000000000000111000000000000000000111100000000000000 -000000000000000101100000001011000001000010100000000100 -000000000000000000000000000011001001000010010000000000 -000000000000000000000111100011000000000000000010000001 -000000000000000000000100000001000000000011000000000000 -010000000000000000000000001000000000000000000100000000 -100000000000000000000000000001000000000010000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000011100000000111100000000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000001000000000000010000000001000000001000000000 +000000000000000101000011010000001011000000000000000000 +000100000000001000000110110000000001000000001000000000 +000100000000001111000011100000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000100000000111100000000001000000001000000000 +000001000000000000010000000000001111000000000000000000 +000000000100000111000011100000000000000000001000000000 +000000000000000000100100000000001011000000000000000000 .ramb_tile 3 9 -000010100000000000000000010000000000000001 -000001000000000000000011000000000000000000 -000010000111010000000000000000000000000010 -000001000000100000000000000000000000000000 -010000001110000000000000000000000000000000 -010000001110000000000000000000000000000000 -000000100111010000000000000000000000000010 -000001000100100000000000000000000000000000 -000000000000000000000000000000000000000010 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000100000100000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000100100000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000010000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000000000000000000000000000000000000010 .logic_tile 4 9 -000000000000000000000000000000011000001100110000000000 -000000000000000000000000000000011100110011000000100000 -111000000000000001000111010000000000000000000000000000 -000000000000100000000110100000000000000000000000000000 -010000000000000000000111101111100001000010100000100000 -110000000000000000000100001001001010000010010000000100 -000010100001000001100000001000000000000010000000000010 -000001001000000000000000000001000000000000000001000000 -110000000000000000000000001000000000000000000100000000 -100000000000000111000000000111000000000010000000000000 -000010100000100101000000001000000000000000000100000000 -000001000001000000000000000011000000000010000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -010000000000010101000000001000000000000000000100000000 -100000000000100111010000000011000000000010000000100000 +000000000000000001000000000011000001000010100000000000 +000000000000000000000000001101101011000010010000000000 +101000000000000011100111111101100001000010100000000000 +100000000000000000100110000001001100000010010000000000 +010000000000000011100010000001000001000010100000000000 +000000000000000000100100000101001001000010010000000010 +000100000000000111100110001000000000000010000000000000 +000100000001000000100000001001000000000000000001000000 +000000000000001000000111100000000000000010000010000000 +000000000000001011000000000011000000000000000000000000 +000000000100000001100000001000000000000000000100000000 +000000000000000101000000000111000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000010000000010000000011000000000010000000000000 +110000000000100000000000001000000000000000000100000000 +000000000000000101000000000111000000000010000000000000 .logic_tile 5 9 -000000000000000001000110000001100000000001000100000000 -000000000000000001000111001001100000000011000001000000 -111000000000000001100111101101000000000001010100000000 -000000000000001001100010010001001101000010010001000000 -110000000000001001100111111101000000000001010110000000 -100000000000001111100110010101101111000001100000000000 -000000000000000001000010001001000001000000010101000000 -000000000000000000100100000111001100000000110000000000 -000000000000001000000011101101011001111110000110000000 -000001000000001111000000001101011001111100000000000000 -000000000001010101100011101101000001000000010110000000 -000000000000010001000100001011101100000000110000000000 -000001000000000000000011101001001100101000110100000000 -000000000001000000000000000111111100000000110000000001 -010000000000000101100000000011111110101000000100000000 -010000000000010001000000000001001101100100000000000100 +000000000000001101100111001001000000000000100000100000 +000000000000000101000011011011101001000000000000000000 +101000000000000111100111000000000000000000000000000000 +100000001000000111000000000000000000000000000000000000 +110000000000000000000000010011000001000001100100000000 +110000000000000000000010101011101011000001011000000100 +000000000000000001000111000001100001000001100100000100 +000000000000000000000010001101001001000001011000000000 +000000000000000000000111111101100001000001100100000000 +000000000000000000000110010011101011000001011001000000 +001000000000001001110000010011100001000001100100000010 +000000000000001001100011010001101001000001011000000000 +000001000100000000000110100001100001000001100100000010 +000010100000000000000000000001001011000001011000000000 +010100000000000011000000001111100001000001100100000000 +110110001010000000100000000011001001000001011000000000 .logic_tile 6 9 -000010000000000011100010011111000000000010000000000100 -000011000000000000100011101011000000000011000000000010 -111000000000001000000010100000000000000000000000000000 -000000000000001111000110010000000000000000000000000000 -010001000000001000000110001001101100000000000000000000 -010010100000000011000000001111101011100000000000000100 -000100000000100111100010100001111010000100000000000000 -000000000000000000010000000101011001000000000000000000 -000000000000001111000011101001011100000100000010000000 -000000000000000101000000001001011100000000000000100000 -000000000000001000000010000011100000000010000000000000 -000000000000000101000110011111000000000000000000000000 -000000001110001000000000001000000000000010000000000000 -000000000000000101000011110101000000000000000000000101 -000000000000000000000110000000000000000000000100000001 -000000000000000000000000001011000000000010000001000000 +000001001110000001000110001011000000000000000000000001 +000010100000000101100011010111100000000001000000000000 +101000000000000111000010000011011001010000000000000000 +100000000110001101000010000101101001000000000000100000 +010000001010101001100000010001000000000011000000000000 +010000000001010001000010000101001111000001000000000000 +000000000000001000000000000001100000000000000000000000 +000000000000101001000000001111101011000000010000000000 +000001000000001000000010000001101011101100000000000000 +000000100000000001000111001011011001111100000000100101 +010000000000000000000000000001000000000001000000100000 +110000000001010000000000001001000000000000000000000000 +010110000000000000000110100001100000000010000000000001 +110101000000000000000111000011100000000011000000000000 +000000000000000011000000001000000000000000000100000010 +000000000000000000000000000101000000000010000000000000 .logic_tile 7 9 -000000100000000000000011010101100001000011000000000000 -000001000000001001000010000001101011000001000000000000 -111010000010000101000010011111100000000000000000000000 -000000000000000101000111101011001011000000010000000000 -110010000000001000000011110001111000110010100000000000 -110001000000000001000111010111101101110011110000000000 -000000000000001000000110011001011001001010000001000000 -000000001010000001000010000011101010001001000000000000 -000000000000000011000000011111000000000000100000000000 -000000000000000000100011010011001011000000000001000000 -000001000010001001100011100011000001000000100000000000 -000000100000001011000000001001101110000000110000000000 -000110100000000101100011100000000000000000000100000000 -000101000010000111000000000001000000000010000000000000 -000000100000001001000000000001100000000010000110000010 -000000000000000101100000000011101010000011000001000000 +000000000000001111100011111101011000010010100000000001 +000000000100001111100010111001001011110011110000000000 +101000000000100001100111000101100000000010000000000000 +100100000001001001000011101001101111000011000000100000 +010010000000001000000111100111100001000000000000000000 +010000000000001111000110101101101010000000110000000000 +000000000000001001100010010111100001000000100000000010 +000000000000000001000011101001101010000000110000000000 +000000000110000111100000001001000001000011010000000000 +000000000000000000000000000001001010000011000000000000 +000000100000000000000000010011100001000000010000000000 +000001000100000000000010001101101001000000000000000000 +000000000000000000000000010011011011000100000000000000 +000000000000000001000010000001011110000000000000000000 +000010100100000111100110000000000000000000000100100000 +000000000000000000100110000111000000000010000000000000 .logic_tile 8 9 -000000000000001101100000010111000000000000000001100000 -000000000000001111000010000101100000000001000000000000 -111000100000000101100110001001100000000001000000000000 -000000001111010000100011000001100000000000000000000000 -110000000000000111010110101111000001000000100000000000 -010001000000000000000100000111001111000000000000000000 -000000000000000000000000000011001001000110100001000000 -000000000000000101000000000001011001001111110000000000 -000000101010000111000000010001111010010000000000000000 -000000000000000111000011011111101011110000000000000000 -000000001110000000000000000000000000000000000000000000 -000010000000000000010000000000000000000000000000000000 -000000000000000000000110111111100000000000000000000000 -000000000000000001000010100111001000000000010000100010 -010000000000000011100010000000000000000000000100000000 -100000000000001001100000000011000000000010000000000000 +000000000000000001100011110001011001000001110000000000 +000000100000000000000011001001111001000000110000000000 +000000000000000000000110001101011011000011110000000000 +000010000000000001000010110011101010100011110000000000 +000000000000001000000110000000000000000010000000000000 +000000000000000111010010101011000000000000000000000000 +010000000000101011100111000011111010000100000000000000 +110000000001000001000010000111111100100000000000000000 +000000000000000011100000000111001011000010000000000100 +000000000001001111000011000011101100000000000000100000 +000000100000001001000111100000000000000010000000000000 +000001000000001111000110000101000000000000000000000000 +000000000000000000000110000011101011010000000001000000 +000000000000001001000111001001111000000000000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000000000000001101101000000000010000000000 .logic_tile 9 9 -000000000000000001000011111011111001010000000000000000 -000000000000000000100011001001111100000000000001000000 -111011100000001011000010000101100000000000000001000000 -000011100001000001100111110101101111000000010000000000 -110000000000010000000000001111000001000000110000100001 -010000000000100111000000001001101000000000000000000001 -000000000000101000000011101011011100000100100000100011 -000000000010010001000000000101001101000000000000000000 -001000000001110111100011110011001000001100110000100000 -000000000001110111000110110000110000110011000000000000 -000000000000000000000011001001011110000000000000000101 -000000000000001001000000000001111000110000000000000000 -000000000000000000000011100000000000000000000100000010 -000000000000000000000010011111000000000010000010000000 -010000000000000000000010000000000000000000000100000010 -100001000000000000000100000011000000000010000001000000 +000000000000000000000010110101000000000000001000000000 +000000000000000000000010100000100000000000000000001000 +000000000000001101100000010000000001000000001000000000 +000000000000000101000011100000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000101000010100000001001000000000000000000 +000000100000001000000000010000000000000000001000000000 +000000000000000111000011010000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000001010000000010101001101000011100000000000000 +000001000000100000000100001101001001111100000000000000 .ramb_tile 10 9 +000000000000000000000000000000000000001000 +000000000000000000000000000000000000010000 +000000000000000000000000000000000000011000 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +110000000110000000000000000000000000011000 +010000000000000000000000000000000000000000 +000000000000000000000000000000000000000100 +000010000000000000000000000000000000000000 +000010000000100000000000010000000000000101 +000001000000010000000011110000000000001000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -001000000000000000000000000000000000000000 +000000000000000000000000000000000000000010 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010 .logic_tile 11 9 -000000000000000101000111011001100000000000100001000000 -000000000000000000100010001101101001000000110000000000 -111000000000000000000010110011111001000110100001000000 -000000000000000000000011110001111011001111110000000000 -110000000000000011000110010101000000000010000000000000 -010000000000000000000011111101101110000011000000000000 -000011000000100101000011001111111100000100000000100001 -000000000001001011000011000101101001100000000001000000 -000001000000010000000011000000000000000000000100000100 -000010000000100000000010010101000000000010000000000000 -000000000000000011100000000000000000000000000110000000 -000100000000000001100010001011000000000010000000000000 -000000000000000101100000001000000000000000000100000101 -000000000000000000000000000101000000000010000000000000 -010001000000000000000011001000000000000000000100000001 -100000000000000000000100000011000000000010000000000001 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +101000000000000111100000000000000000000010000000000001 +100000000000000000100000000001000000000000000000000010 +010000000000000000000011001000000000000010000000000001 +110000000000000000000000000111000000000000000001000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100111001000000000000000000100000000 +000000000000000000000100000011000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000001000000000000000000100000000 +000000000000000000000000001011000000000010000000000100 .logic_tile 12 9 -000000000000001000000111100011111111010000000000000110 -000000000000001011000100000001111110001000000000000000 -000000000000000000000000000001100000000001000000000000 -000000000000000000000010101101100000000000000000000000 -000010100000000000000111111001011010010000000000100010 -000001000000000000000110001101101111001000000000000000 -000000000000001000000011001001011111010010000000000100 -000000000000001011000100001111001101000000000000000000 -000000000000000011100011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000111100000000000000010000000000000 -000010101000000000000100000011000000000000000000000000 -000000000000000000000011100011011011000011000000100000 -000000000000000000000000001101101011110000000000000000 -000000000000000111100000001001011111000100100000000010 -000000000000000000100011011111001101000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000011101100000000000000000000010 +000000000000000000100010000001100000000011000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000001000000000101000010100001100001000000010000000000 +000000000000000000100100000001101110000000000000000100 +000010100000000000000000000111100001000000100000000000 +000001000000000000000000000101001001000000000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101000001001100110000000000 +000000000000000000000000000000101010110011000000000000 +000000000001001000000000000000000000000000000000000000 +000000000000100011000000000000000000000000000000000000 .io_tile 13 9 000000000000000000 000100000000000000 000000000000000000 000000000000000000 -000000011000000100 +000001010000000100 000000001000000100 000100000000000000 000000000000000000 -000010000000000000 -000101010000000000 -000000000000100010 -000000000000010000 000000000000000000 -000000000001100001 +000100000000000000 +000000000000110010 +000000000000010000 +000010000000000000 +000001010000000001 000000000000000010 000000000000000000 .io_tile 0 10 @@ -2364,209 +2364,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 10 -000000000000000001100000000001111110010000000001000000 -000000000000000000000011101001011010000000000000000000 -111000000000000011110000000001111011000010000000000000 -000000000000000111100000001011011100000000000000000000 -010000000000000001100010000011011000000000000000000000 -110000000000000000000111101001001010100000000010000000 -001000000000000011100011101000000000000010000000000000 -000000000000000000100010111101000000000000000000000100 -000000000000000101100111001000000000000010000000000000 -000001000000000000000000001011000000000000000000000100 -000010000000000011100000001001000000000000000000000000 -000000000000000000100010010001101000000000010010000000 -000000000000100000000000001000000000000000000101000000 -000000000001010000010000000101000000000010000000000000 -010000000000000000000000010000000000000000000101000000 -100000000000000000000010111101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 10 -000000100000000011100011110001000000000010000001000000 -000000000000001111000011000001100000000000000000000000 -111010000001011001000000010001100000000000000001000000 -000000000000000111100011111011100000000001000000000000 -110000000000000011000000010001101010101001010100000000 -110000000000001001100011000011001010100101010000000000 -000000000001000000000000010001101111101001010100000000 -000000000000100000000011001011001111100101010000000000 -000000000000000000000111000101011010001100110100000000 -000000000000000111000100000101011001101100100000000000 -000000000000000000000000000000000000000000000100000000 -000000000110000000000000000001000000000010000000000000 -000000000000000011100111001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -010010100000000000000000001111000000000011000100000000 -100001000000000000000011111111001011000010010000000000 +000000001110001001000010110000001000111100000000000000 +000000000000000001000110000000000000111100000000010000 +101000000000001001000111001011100001000000010000000001 +100000000000001111000000000001001001000000000000000010 +011000000000001101000010010101000001000000100000000000 +110000000000000001100011110011001101000000000000000000 +000000000000001011000000000101100000000010100000000000 +000000000000001111100000001011001011000010010010000000 +010000000000001000000010000000000000000000000010000000 +110000000000001011000000000000000000000000000000000001 +000000000000000000000000010001000000000000000010000000 +000000000000001001000010010001100000000001000000000000 +000000000000000000000000000001011111110000000101000000 +000000000000000000010000000001111000111000000000000000 +110000000000000000000000010101011101110000000100000100 +100000000000000000000010010101011010111000000000000000 .ramt_tile 3 10 -000000000100000000000000000000000000000000 -000000010000000000000011001101000000000000 -111000000000001000000110101000000000000000 -000000010000000101000000001101000000000000 -000000000000000000000110110000000000000000 -000000000000000011000010101001000000000000 -000000000000000101100000010000000000000000 -000000000000000000010010100101000000000000 -000000001001110000000000001000000000000000 -000010100000000000000000000101000000000000 -000000000010000000000000001000000000000000 -000000000000000000000000001001000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000000001000000000000 -110000001110000111100000000000000000000000 -110000000000000000110000001101000000000000 -.logic_tile 4 10 -000000000001010001100111111000000000000010000000000000 -000000000000100001000111011101000000000000000000000100 -111000100000000001100000010111011011101000000000000000 -000001001000000101000011000011001110011000000000000000 -110001000000000101000111000000000000001100110000000000 -110010100000000101100010110000001000110011000001000000 -000000000000001011100110000011100000000010100000000000 -000000000000000111000000001111001001000010010000000010 -000000000001000001000000001101100000000010100000000000 -000000000000100111100000001001001011000010010000000110 -000000100000110000000000000101011110001001000000000000 -000000000000001101000011101101001010000101000000000000 -000000000000000001000011110111100001000010100000000000 -000000000000000000100010011001101000000010010000000110 -000000000000000001000000001011011100000111110100000000 -000000000000000011100010110001011000000011110000000000 -.logic_tile 5 10 -000000000000001000000111100001100001000000100000000000 -000000001000000001000111010111001101000000000000000000 -111000000000000111000011010111011010000010110000000100 -000000000000000000000011010111001001000000110000000000 -110100001000001001100000001101100000000000000000000000 -110100100000000001000000001011101100000000010000000001 -000000000000001111000011000001101001110011110000000000 -000010100000000001000011011011111101110010100000000000 -001000001010001111100010001011001001000010110000000000 -000000000000000111000100001111011010000000110000000000 -000000000000010000000010010101011011010000000000000000 -000010001110000000000010000101011010001000000001000000 -000000001000001111100111100001100000000001000100000000 -000000000000000111000000000001100000000000000000000000 -010000000000000000000110001101100000000010000100100000 -100000000000100000000000000101100000000011001000000000 -.logic_tile 6 10 -000000001110001101010110111101000000000000010000000000 -000000000000001101110010000111101000000000000000000000 -111000000001011111100111110101101001000100000000000000 -000001100000100001010111100101011011001100000000000100 -010000000000001000000110111001011001110011110000000000 -110000000000000001000111111001001000010011110000000000 -000000000000000001100010010001100001000000100010000000 -000000000000000111000110001011101001000000000000000000 -000000000000000111100111000011011011000011100000000000 -000000000000000000000010001111001111000011110000000000 -000010000000000000000111001101101110111100110000000000 -000000000000000000000100001011111001010100110000000000 -000000000000000111100111000001111000000100000000100000 -000000000000000000000000000111101101000000000000000000 -000000100000000011000111011000000000000000000100000010 -000001000000000000000111001111000000000010000000000000 -.logic_tile 7 10 -000000000000001000000110011111011101001100000000000000 -000000000000000001000011011011011110000100000000000000 -000000100000001001100011000001001100010000000000000000 -000000000000000001000100001101001111000000000000000000 -000000000000000101000010011001000001000000010000000000 -000000000000000000100111011101101111000000000010100000 -000000000000000011000110011011101111110000000000000000 -000000000000000000100010001001011111100000000000000000 -000000000000001001000010100011000000000001000000000000 -000000000000001111100010100101000000000000000000000000 -000010100000000000000111010101101010000000000000000000 -000000001100001111000011101011011111100000000000000000 -000000000000000000000111011111101111110011110000000000 -000000000000000001000011100001011010010010100000000000 -000011100000100001000110111011001001010111000000000000 -000011100001001111000010101101111000010110000000000000 -.logic_tile 8 10 -000001000000000101000110110101000000000011000000000000 -000010000000000000100110001101001001000001000001000000 -000000000000001101100011001101101011000011000010000000 -000000000000000001100111000101011011000011010000000000 -000000000000101111000110110001001000000011000000000000 -000000000000001001000110000111011010000011010010000000 -000000000000001001100000010011100001000010000001000000 -000000000001010001000011010011101001000000000000000000 -000001000000000111000000001001100000000000000000000000 -000010000000000000100000001111000000000001000000000000 -000101000000000101000011110111000000000000000000000000 -000000100000000000000111110011100000000001000000000000 -000000000010000111000010001011100000000001000001000000 -000000000000000000100100001001000000000000000000000000 -000000000000000000000111001001100000000000110000000100 -000000000000000000000000001011001011000000100010000000 -.logic_tile 9 10 -000000000000001000000000001101011110000110100000000000 -000000000000001111000011000001001101001111110000000000 -111001001000001000000111101101100001000011000001000000 -000000100000001101000100000101001100000011010000000000 -010000100001000111100000010011000000000001000010000000 -010000000000000101100010101111100000000000000000000000 -000000000000011101100000000101100001000011000010000000 -000000000000100001000000001101001100000011010000000000 -000000100000000000000011110011100000000011000001000000 -000000000000000000000010000001100000000000000000000100 -000010000000000001000110001011000000000011000010100000 -000000000000000000000000000111100000000010000001000100 -000000000000001000000010000111000000000000000000000000 -000000000110000001000000000101000000000001000000000100 -010000000000001000000000001000000000000000000101000000 -100000000000000111000000001001000000000010000000000000 -.ramt_tile 10 10 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000001010000000000000000000000000000 +000000000010100000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000000010101000000000010100000000000 +000000000000000000000011001001001101000010010000000010 +101000000000001000000110001101000001000011110000000000 +100000000000101111000000001001101011000011100000000100 +010000000000000000000110001000000000000000000100000000 +000000001000000011000000000011000000000010000000000000 +000000000000001000000000010001100000000011000110000001 +000000000000001111000010001111000000000010000000000010 +000010100000000000000000011000000000000000000100100000 +000001000000000000000010000011000000000010000000000000 +000100000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000001000000000011101100000000011000100000011 +000000000000000101000010100111000000000010000000000010 +110000000000000101100000000001100000000001000100000000 +000000000000000000000000000111000000000000000010000000 +.logic_tile 5 10 +000000000100001000000111000001100000000000100000000000 +000010100000000111000111100001001111000000000000000000 +101000000000001111000010111011100000000001010000000000 +100000001010001101000011001001101100000001100000000000 +010000000000001011100110011001111010110001010000000000 +110000000000001001000111101101001001110011110000000000 +000000000010001111000111010111000000000001010000000000 +000000000000001101000010100111001100000001100000000001 +000000000000001001000111110011101010010000000000000000 +000000000000000001100110000011001101001000000000100100 +000000100000000001100011111101111000110001010000000000 +000000000000001001000011111101001011110011110000000000 +000000000000000011100011100001000001000001100100000000 +000000000000001001000100000101101111000001011000000000 +110000000000000000000011100001100001000001100100000000 +010000100000000000000000001011101101000001011001000000 +.logic_tile 6 10 +000000001000001101000110000001100000000000000001000000 +000000000000001101000011010111001010000000010000000000 +101000000000001001000011100011100000000000000001000000 +100000000000000001000010110001000000000001000000000000 +010100000000001001100110010011101011000011000000000000 +010100000000000011000010001101101011000011010000000000 +000000000000001000000111001001100001000000000000000001 +000000000000000111000000000101101100000000010000000000 +010010000000001000000000000111100000000000000000000000 +110001000000001111000000000011000000000001000000100000 +000000000000001011000110111111000000000010000000100000 +000000000000001011000111100001001110000011000000000000 +000000000000001011000000001001101010001100000000000000 +000000000000001101000000001011111000101100000000100000 +110000000000001000000000000001100000000011000100000000 +000000000000001011000000001001000000000010000000000001 +.logic_tile 7 10 +000000000000000000000111000001101011000001000001000000 +000000000000000000000110000101011001000011000001000000 +101000000000000101000000000111100000000001000000000000 +100000000000000000100010111011100000000000000001000000 +010000000000001001100110010011100000000001000000000000 +010000000000000011000010001111000000000000000000000000 +000000000000000000000111000011000000000010000000000000 +000000000000010001000010110101100000000000000001000000 +000000000000000000000011000101100001000011010100000000 +000001000000001111000011101101101110000011000000000010 +000000000000000001100000000101001100111001010101000010 +000000000000000000000000001101101001110000000000100000 +000000000001000000000011000001100001000011010110000010 +000000000000000000000000001101001011000011000000000000 +000000000000000011000110011101000001000011010100000011 +000010000000000000100011101111001010000011000000000010 +.logic_tile 8 10 +000000000010000001000000000111000000000000001000000000 +000000000000100101000000000000100000000000000000001000 +000000000000001001000000000011100000000000001000000000 +000000000000001101000011000000001110000000000000000000 +000000001000000000000110000011101000001100110000000100 +000000000000000000000000000000100000110011000000000100 +000010100110000001100000001001011000101100000000000000 +000000000000000000000000000011011101001100000000000000 +010000000000000111000011100001101101110001010000000010 +110000000110000000100011001101001010110000000000000000 +000000000000001011000010000001111001010000000000000000 +000000000000000011000000001011011000000000000000000000 +000000000000001000000000000001000000000000000000000000 +000000000000000011000011001011000000000001000001000000 +000000000000000000000000001000000000000010000000000000 +000000000000001001000000000111000000000000000000000000 +.logic_tile 9 10 +000010000000000000000000000101100000000000001000000000 +000001000000000000000000000000000000000000000000001000 +000000000000001111100010110001100001000000001000000000 +000000000000000111100010100000001000000000000000000000 +000000000000000000000111100000000000000000001000000000 +000000000000000001000100000000001011000000000000000000 +000000000000001000000110100000000000000000001000000000 +000000000000001011000000000000001100000000000000000000 +000000000000000001000000010000000000000000001000000000 +000000000000000000000010010000001101000000000000000000 +000000000000000001100000000000000001000000001000000000 +000000000000000000100000000000001100000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000001110000000000000000000001011000000000000000000 +000000000000000000010000001001101000000000000010000000 +000000000000000000000000001001101010100000000000000000 +.ramt_tile 10 10 +000000000000000000000000001000000000000000 +000000010000000001000000001001000000000000 +101000000000000000000111011000000000000000 +100000010000000000000011011001000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000000101000000000000 +000000000000000011100000000000000000000000 +000000000000000000000000000011000000000000 +000000000000000101100110101000000000000000 +000000000000000000000000000111000000000000 +000000000000000000000110101000000000000000 +000000000000001111000000001011000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000 +110000000000000101100000000000000000000000 +010000000000000000000000001011000000000000 .logic_tile 11 10 -000000000000001000000000011001100001000000100001000000 -000000000000001111000011011001001000000000000000000000 -111000000000001111100000001011000001000010000000000000 -010000000000101101100011010111001010000000000001000000 -010000000000000111100000000111000000000000000010000001 -010000000000000000100000000101000000000001000000000001 -000000000000001000000000000000000000000000000000000000 +000000000000001000000000001101100001000001010000000000 +000000000000000001000000001011101000000001100000000000 +101000000000000011100000001111000000000001000100000000 +100000000000000001000000000001000000000011000000000000 +110000000000001000000000000000000000000000000000000000 000000000000000001000000000000000000000000000000000000 -000000000000001000000111110111101110001100110010000100 -000000000000001101000110100000111101110011000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000010011100000000000000000100000 -000000001110001111000010100001000000000001000000000000 -000000000000000000000000010000000000000000000100000000 -000000000000001011000011011011000000000010000000000000 +110000000000000011100000011000000000000000000100000000 +000000000000000000000010000001000000000010000000000000 +000000000000000000000000011101000000000001000100000000 +000000000000000000000010100101000000000011000000000100 +000000000000000000000000001011000000000001000100000000 +000000000000000000000010010001100000000011000000000000 +000000000000000101100110100000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000001111000000000001000100000000 +000000000000000000000000000001100000000011000000000000 .logic_tile 12 10 +000000000000000001100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000100000000000000010100000000000000010000000000110 -000001000000000000000000000000000000000000000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +101000000000010001000000011101100001000001010000000000 +100000000000000001000010001101001000000001100000000000 +110000000000000000000110011011100001000001100000000000 +000000000000000000010010000001001011000000110000000000 +110000000000000001100110000001100001000001010000000000 +000000000000000000000010100011101000000001100000000000 +110000000000000011100000000000000000000000000000000000 +000000001110000000100000000000000000000000000000000000 +000001000000100001100000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000001000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 .io_tile 13 10 000000000000000000 000100000000000000 @@ -2585,9 +2585,9 @@ 000000000000000000 000000000000000000 .io_tile 0 11 -000000000000100000 000000000000000000 -000000000000100000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2597,242 +2597,242 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000010000 000000000000000001 000000000000000000 000000000000000000 .logic_tile 1 11 -000000001110001111000000010001100000000000001000000000 -000000000000000011100011000000100000000000000000001000 -111000000000000000000111000111100000000000001000000000 -000000000000001111000100000000001101000000000000000000 -010000001110000011100000000001001000001100111110000001 -010000000000000000100000000000001111110011000000000000 -000000000000000000000000010001001000001100111100000000 -000000000000000000000011010000001101110011000000100000 -000000000000000000000011100101101000001100111100000000 -000000000000000000000000000000101111110011000000000000 -000000000000000000000000000101001000001100111100000001 -000000000000000000000000000000001101110011000000000000 -000000000000001000000011100111001000001100111100000000 -000000000000000011000000000000001111110011000000000000 -010000000000000101100000000001101000001100110101000010 -100000000000000000100000000000001101110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000001000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000001101100000000001100101000000 +000000000000000000000000000001101110000001010000000000 .logic_tile 2 11 -000000000000100000010000001111100001000010100000000001 -000000000000000000000011011101101111000010010000000000 -111000001000001000000000001101101011000010000000000001 -000000001010000101000000000101001011000000000000000000 -010100000000100011000010001000000000000010000000000000 -110000000000000101000100000001000000000000000000000000 -000000000000001101100010011000000000000000000101000000 -000000000100000101000010100011000000000010000000000000 -000000100000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000001 -000010000110000011100000001000000000000000000100000000 -000000001110000000100000000011000000000010000010000000 -000000000000000000000000000101000000000010000100000000 -000000000000000000000000001001000000000011001000100011 -010000000000000011100110001000000000000000000100000000 -100000000000000000100010100011000000000010000000000000 +000000000000001001000011100001000001000000100000000000 +000000000000000111100110000001101011000000000000000000 +101000000000000101000011001101011001011000000000000000 +100000000000000000000010011001111100101000000000000000 +110000000000000000000010100001000001000000010000000001 +110000000000000000000110110001101011000000000010000000 +000000000000000101000010001111111000001000000000000000 +000000000000000000000100000011111000001100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010111000000001111011010001000000100000001 +000000000000100000000000000011001110001100000000000000 +000000000000000011000010000101011001011000000100000000 +000000000000000011100100001001101110101000000010000000 +110010100000000111000011000000000000000000000000000000 +100001000000000000000000000000000000000000000000000000 .ramb_tile 3 11 -000000000000000111100010010001000000100000 -000000000000000001000011101101100000000000 -111000000000000000000011100000000000000000 -000000000000000000000100000001000000000000 -010000000000000000000010001111000000001000 -010000000000000000000011110101100000000000 -000000000000000111100000001000000000000000 -000000000000000000000000001001000000000000 -000000000000000111100111100011000000000000 -000000000000001111000000000001100000000000 -000010100000000000000000000000000000000000 -000001000000000000000000001101000000000000 -000000000000101000000000010111000000000000 -001000000001010011000011000011000000000000 -110000000000000001000000000000000000000000 -110000000000000000100000000011000000000000 +000000000000000000000000000000000000000000 +000000010001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 .logic_tile 4 11 -000001000100001011100010100111000001000010100000000100 -000000100000000011100011100101001010000010010000000000 -111000000001001000000000011011000001000001010000000000 -000000000000001011000011110101101011000001100000000000 -010000000000001011100110001111100001000001010000000000 -010001000000000001000000001101101001000001100000000000 -000000100000001111100110010111100000000010100000100000 -000001000000000001100011110001001111000010010000000000 -000000000000000111000010010011100000000001100100000000 -000000000000000000100011111101001110000001011000000000 -001000000000000011100000010011100000000001100100000000 -000000000000001001100010111001101100000001011001000000 -000000000000100111000000011011000000000001100100000000 -000000000001001101100011100001101110000001011000000000 -110000000000000111100000010001000000000001100100000000 -010000000000000000100010000101001100000001011000000000 +000000000000000111100000001001011100001000000100000000 +000000000000000000100010000001011001001100000000100000 +101000000000001000000000010000000000000000000000000000 +100000000000001011000011110000000000000000000000000000 +010000000000000000000111100000000000000000000000000000 +110000000000000000000110110000000000000000000000000000 +000000000000001011000010001101111110001000000100000010 +000000000000001011000100000101111011001100000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000111001101111111001000000100000000 +000000000000000000100100000101011100001100000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000111001001111110001000000100000010 +100000000000000000000100000101111100001100000000000000 .logic_tile 5 11 -000000000000000000000011110101100000000010000000000000 -000000000000000011000111000011000000000000000010100000 -111001000000001011100010110101111000110011110000000000 -000010000000000001100110110011011010010010100000000000 -010000100000000111000011111001101001111100000000000000 -010000000000001101000110001101111100011100000000000000 -000000000000001111000110011011111011101100000000000000 -000000000000000011100010111011001001111100000001000000 -000000000000000011000010100011011110000011010000000000 -000000000000101111000100001101111000000011110000000000 -000000000000001000000110001101011000000100000000100000 -000100000000001111000011101101001011001100000000000000 -000000000000000000000000000001101110001100110100000100 -000000000000000000000011100000010000110011000001000000 -010001000000000000000000011011100000000011000100000000 -100010000000010000000011100001100000000000000000000010 +000000000000000101000010110000000000000000000000000000 +000000001100000000000110000000000000000000000000000000 +101000000000000001000000000001001011100100000000000000 +100000000000001101000000000001111101010100000000000000 +110000000000000000000110000101100001000010100000000000 +010000000000000000000010010011101000000010010000000000 +000001000000000001100110000000011100001100110000000000 +000010100000001101000010100000001001110011000000000000 +010000000000000111100000001101011100001010000000000000 +000000000010100011100011110101111011001001000000000000 +000000000000000000000011010011100001000010100000000000 +000000000000000001010010111111101010000010010000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000001101100000000001100100000010 +000000000000000000000000000001101100000001010000000000 .logic_tile 6 11 -000000000000010001100010101101000001000000110000000000 -000000000000100000100010011101101111000000100000000000 -000001001100001111100110010101011000101011000000000000 -000010100000000001000011010001011010000011000000000000 -000001000000001101100010110011001001000110100000000000 -000010100000001111000010001011011010001111110000000000 -000010000110000001100110010011011111111000000001000000 -000001000000000000010010001011111001110000000000000000 -000000000000000111000111110011101100000010000000000000 -000000000000000000100011011001001111000000000000000000 -000000000000000011000000000011111001010000000000000000 -000000000000000111000000000111001000000000000000000100 -000010100100000000000111100001100001000000010000000000 -000000000000001111000000001101001000000000000000000000 -000000000000000000000111000011100001000000000000000000 -000000000010000000000000000111001011000000110000000000 +000000000000000001000110001001100000000011000000000100 +000000000000000000100000001101101100000001000000000010 +101000000000000000000110010001100000000000100000000000 +100000000000000000000011010101101011000000110000000001 +110000000000000000000000001001001001000100000000000100 +000000000000000001000010101111011010000000000000000000 +000000000000000001100010100000000000000000000100000000 +000000100000000000000000000111000000000010000000000000 +000000001110001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000001000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000001101000000000011000000000010000000000000 +000010100000100000000110100000000000000000000100000000 +000001000000110000000000000001000000000010000000000000 .logic_tile 7 11 -000000000000000011000010110111111111010000000000000000 -000000000000000000100110000111101001000000000001000000 -111000000000100011100110000101100001000000000001000000 -000010000001010000100011010011101111000000010001100000 -110001000000001000000010111001100000000001000000000000 -110010100000000101000110001001100000000000000000000000 -000010100000000000000110001111000000000000100000000000 -000001000000000000000011010111001011000000000000000100 -000010000000000011000000000001100001000000000000000010 -000000000000000000100000000111001101000000010000000000 -000000001110000000000000010011111011010000000000000000 -000000000000000000000010000011111011000000000000000001 -000000000000000011000010000111101011111100010100000001 -000000000000000000100000001101101001111100000000000000 -000001001100000000000000010001000000000001110100000010 -000000100000000001000010001001101000000000110000000000 +000000000000000011100000011011000001000000100000000000 +000000000000000000110011111101001010000000000001000000 +101100000000000011100010110001100000000000000000000100 +100000000000000001000010000011100000000001000000000000 +010000000000001001100000001001100000000000110000000000 +010000000000000101000000000101101010000000000000000000 +010000000000000001100010100011111000000100000000000000 +110000000000000101000000000001101010000000000000100010 +000001000000000011000000000000000000000000000000000000 +000010100000000011000000000000000000000000000000000000 +000000000000000000000000000111000000000001000010000000 +000000000000000000000010010111100000000000000000000000 +000000000000000000000011000101100000000001000000000000 +000000000000000011000000000011000000000000000000000000 +000000000000000000000000001000000000000000000101000000 +000000000000000000000000000001000000000010000000100000 .logic_tile 8 11 -000000000000000111000000001000000000000010000000000000 -000000000000000000110000001011000000000000000000000000 -111000100000010000000110100001100000000000000000100000 -000000001100000000000010010001100000000001000000000000 -111000000111010000000000001011100000000000000010000000 -010000000000100000000000000101000000000001000000000001 -000001000000000001100110111011111111000100000000000010 -000000100000001111110010101001101101000000000001000000 -000000000000010000000000011011111011010000000000000000 -000100000000000000000010011101111101000000000001000000 -000000001100000101100010101000000000000010000000000000 -000000001110000000000110111101000000000000000000000000 -000000000000010000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000011100000001000000000000000000101000000 -000000000000000000000000000101000000000010000000000000 +000000000000000000000111111000000000000010000000000000 +000000000010000000000110100111000000000000000000000000 +000000000000000001010000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000010000001101000001110100001000000 +000000001010000000000100001001011011000110100000000000 +000000000000000000000000001000000000000010000000000000 +000000000110000000000000001111000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +110000000001010000000000000000000000000000000000000000 +000000000000101000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +000000000000000001100000010000000000000000000000000000 +000000001010000000100010010000000000000000000000000000 .logic_tile 9 11 -000000000000000000000000000101000000000000001000000000 -000000000000000001000000000000000000000000000000001000 -111000000000000101000000000000000001000000001000000000 -000000000000000000100000000000001011000000000000000000 -110000000000001000000000010011000001000000001000000000 -110000000000000101000011100000101010000000000000000000 -000000000000000101100000010000000000000000001000000000 -000000000000000101000010100000001011000000000000000000 -000000000000000011100011100000001000111100000000100000 -000000000000000000100000000000000000111100000000000000 -000001000000000000000000001001000001000000010000000000 -000010100000000000000000000001001010000000000000000000 +000000000000000000000110110101100000000000001000000000 +000000000000000000000010100000100000000000000000001000 +101000000000000000000000010000000000000000001000000000 +100000000000000000000010100000001001000000000000000000 +010000000000001000000000000111000001000000001000000000 +010000000000000101000000000000001001000000000000000000 +000000000000000111100000010000000000000000001000000000 +000000000000000000100010000000001010000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000010 000000000000000000000011100000000000000000000000000000 000000000000000000000100000000000000000000000000000000 -000001000000000000000000000000000000000000000101000000 -000000100000000000000000000011000000000010000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000001101000000000101000000000000000000000000 +110000000000000000000000001000000000000000000101000000 +000000000000000000000000001011000000000010001000000000 .ramb_tile 10 11 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -001000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 +001010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 .logic_tile 11 11 -000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000100000000011000000000000000000000010000000000000 -000000000000000000000000000001000000000000000001000000 +000000000000000000010000000000000000000000000000000000 +101000000000000000000000010000000000000000000000000000 +100000000000000000000011010000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000000011000000000000000000110000000 +000000000000000000000011001101000000000010001000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000001001000000000010001000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 110000000000000000000000000000000000000000000000000000 -110000000000000000000011000000000000000000000000000000 -000000000000000000000010101000000000000000000101000000 -000000000000000000000000000001000000000010001000000000 -000000000000000000010000001000000000000000000110000000 -000000000000000000000000001101000000000010001000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000001101000000000010000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -.logic_tile 12 11 000000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -111000000010000000000000001001000000000000000100000000 -000000000000001011000000000111100000000001000000000000 -010000000000000000000000001101100000000000000100000000 -000000000000000000000000000001000000000001000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000001101100010110000000000000000000000000000 -000000000000000000000000001101100000000000000100000000 -000000000000000000000000000001100000000001000000000000 +.logic_tile 12 11 +000000000000000011000000000001100000000000001000000000 +000000000000000000100000000000000000000000000000001000 +000000000000000101000010100000000001000000001000000000 +000000000000000000000010100000001001000000000000000000 +000000000000000000000000000000001001001100111000100000 +000000000000000000000000000000001001110011000000100000 +000000000000000000000000000000001001001100110000000100 +000000000000000000000000000000001111110011000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000100000 +000000000000000000000000000001000000000000000000100000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000001000000000001101100000000000000100000000 -100000000000001111000000000111000000000001000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 .io_tile 13 11 000000000000000010 -000100000000010000 +000100000000000000 000000000000000000 000000000000000001 -000001011000100010 -000000001000010000 +000000110000100010 +000000000000110000 001100000000100000 000000000000000000 -000000110000000000 -000100001000000000 -000000000000010010 +000000000000000000 +000100000000000000 +000001111000010010 000000000000010000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 0 12 -000010000000000010 -000110110000000000 +000000000000000010 +000100000000000000 000000000000000000 000000000000000001 -000000000000000010 +000000000000100010 000000000000010000 000100000000000000 000000000000000000 -000000000000000000 -000100000000000000 +000000011000000000 +000100001000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2840,213 +2840,213 @@ 000000000000000000 000000000000000000 .logic_tile 1 12 -000000000000001111000000000011100000000000001000000000 -000000000000000011100000000000000000000000000000001000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000010000000001000000001000000000 -001000000000000000000010010000001100000000000000000000 -000000000000000000000000010000000000000000001000000000 -000000000000000000000011010000001011000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000001101100000000000000001000000001000000000 -000000000000000101000000000000001011000000000000000000 -000000000000100000000000000000000001000000001000000000 -001000000001000000000000000000001110000000000000000000 -000000000000000111000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000010 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 12 -000000000000000000000000000011100000000000001000000000 -000000000000000111000011000000000000000000000000001000 -111000000000000011100011110000000000000000001000000000 -000000000000000111000111110000001111000000000000000000 -010000000000000000000000000000001000001100110000000000 -011000000000000101000000000000001000110011000000000010 -000000000000000000000111001000000000000010000000000100 -000000000000000000000000000001000000000000000000000000 -000000000000100000000011101000000000000010000000000000 -000000000001000000000011101001000000000000000000000000 -000000000000000011100000001000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000001000010010011111000000000001100110000000 -001000000000001011000111001101001101000001011000000000 -010000000000000000000000000111000000000001100101000000 -010000000000000000000000000101101101000001011000000000 +010000000000001001100000001011100001000000000000000000 +000000000000011111000011001001001110000000010000000000 +101000000000000001000000011111100001000000100000000000 +100000000000000001000011111101001100000000000000000000 +110000000000001111000110011111000000000001100100000000 +010000000000000001100011100001101010000001010000000000 +010000000000001001100110011101000000000001100100000000 +001000001100000001000011110001101000000001010000000000 +010000000000000000000000011101100000000001100100000000 +000000000000000000000011110001001000000001010000000001 +000000000000000111000000001101100000000001100100000000 +001000000000000000000000000001001010000001010000000001 +000000000000000000000000011111100000000001100100000010 +001000000000000000000010000001101001000001010000000000 +000000000000000001000000011101100000000001100100000010 +000000000000000000000010000001101011000001010000000000 .ramt_tile 3 12 -000000000000001111000011101011100000000000 -000000010000001011000000001111100000000000 -111000000000000000000011100000000000000000 -000000010000000000000000001101000000000000 -000000000000000000000000000001000000000010 -000000000000000000000010000001000000000000 -000000000000001001000000000000000000000000 -000000000000000111100000001111000000000000 -000001000001010000000000000001000000000000 -000000100000000111000000000011100000000001 -000000000000000000000010001000000000000000 -000000000000000000000011100111000000000000 -000000001100000000000000001101100000000000 -000000000000000000000011101011000000000000 -010000000000000000000010101000000000000000 -110000000000000111000000001001000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 12 -000000000001011000000111111011100000000001010000000001 -000000000000000011000111110011001010000001100000000000 -111000000000001000000110011101111101010000000000000000 -000000000000001011000110011011111011000000000000000010 -010000000000000111000111001000000000000010000000000000 -011000000000000000000011001111000000000000000001000000 -000000000000001001100110010101100000000000000000000000 -001000000000000011000110011011001001000000010000000000 -000000000000000000000010000101000000000001010000000000 -000000000010001111000011101101101010000001100000000010 -000000000000101111100111011001100001000000100000000000 -000000001110001111000111111011101001000000000000000000 -000000000000000000000000000111100000000001100100000000 -001000001110000000000011100001101101000001011001000000 -010000000000000000000111011011000000000001100101000000 -010000000000000000000110110101001111000001011000000000 +010000000000001000000000001001101111100000000000000010 +001000000010000111000010010101011101000000000000000000 +101010000000001001100110001111000000000000100000000000 +100001000100000111000000001111101100000000000001000000 +110000000000000000000000001001101010010000000000000000 +010000000000000000000011010001111000110000000000000000 +000000000000000111100000000001000001000000000001000000 +001000000000000000100011111011101111000000010000000000 +000000000000000001000011000011000000000000000000000000 +001000000000000000100111000111001111000000010001000000 +000010000000000000000000000011100001000000000000000000 +000001000000000001000010010111001011000000010000000000 +000000000000001001000011000000000000000000000100000000 +001000000000000011000111110111000000000010000000000000 +010000000000000000000000000000000000000000000100000010 +000000000000000000000010001111000000000010000000000001 .logic_tile 5 12 -000000000000000001100111011101000000000000100000000000 -000010100000001011000011000001101010000000000000000000 -000000000000001001000110011011011011000100000000000000 -000000000000000001100010000001101011000000000000000000 -000000000000000001100000011001011011010111000000100000 -001000000000001111000011001011101001111111000000000000 -000100000010001011100010100001001100010000000000000100 -000100000000001111000010010011101000000000000000100000 -000000000000001011000000010011000000000000100000000000 -000000000000001011110011100011001000000000110000000000 -000000000000000000000000000101001000000000000000000000 -000000000000000000000011110111011100100000000000000010 -000100000000011011100000000001100001000000000000000000 -001000000000101011110000000111101011000000010000000000 -000000000000000111100000000111100001000000000000000000 -001000000000000000100011110101001111000000010000000000 +010000000000001101000011111001100000000011110000000000 +000000000000000001000010000111001001000011100000000000 +101000000000010001100010011001000001000000000000000000 +100000000000100001000011011101001001000000010000000100 +110000000000001111000000000111000001000010000000000000 +110000000000000001000000000101101011000000000001000000 +011010100000000011100010010000000000000010000000000100 +111001000000000101110011011001000000000000000000000000 +000000000000000000000010001001000000000000000000000000 +000000000000000111000100001011100000000011000000000010 +000010100001010001000000001101111110000010000000000000 +001001000000100000000010000011011101000000000000000000 +010000000000000011100111101101000001000000010010000100 +110000000000000000000011011001001000000000000000000000 +000000000000100011100111101011001110000111110100100000 +000000000000000000100000000101001000000011110000000000 .logic_tile 6 12 -000010000000001001000010001011111011010010100000000000 -000001000000000111000110011101011111110011110000000000 -111000000010000000000011111111100000000001000000000000 -000010000000000000000011100111000000000000000000000000 -110000000000001111000110110001000000000000000001000001 -010000000000000011000111010101101011000000010000000001 -000000000000001101100011101111000000000000010000000000 -000000000000000001100010101001101010000000000000000000 -000000000000000000000010010011000000000001000000000100 -000000000000010000000011001001100000000000000000000000 -000000000011011001000000000101111001110000000010100000 -000000000000100111000000001111011010100000000001000000 -000000000000000001100010110101101010000000000010000000 -001010000000000000000110000111011000100000000000000000 -110000000000000101100111100000000000000000000100000000 -010000000000001101100100000001000000000010001000000001 +010000000000000000000110001001000000000010000000000000 +000000000010000000000011100001100000000000000001000100 +101000000000000001100110010000000000000000000000000000 +100000001110001001000011110000000000000000000000000000 +010000000000100001000111001101011010010000000010000001 +010000000000010000000110000101101010001000000001000100 +000000001010000001100000000101011001110000000000000000 +001000000000001011000000001101011000000011000000000000 +000000000000000000000111011001111101000010000000000000 +001000000000001111000111111011111100000000000001000000 +000000000000000000000110110011001100001100110000000000 +001000000000000001000010000000100000110011000000000000 +001000000000000000000000000000000000000000000100000010 +000000000000000000000000001101000000000010000000000001 +010000000000010000000000000000000000000000000100000010 +000000000000000000000000001011000000000010000000000000 .logic_tile 7 12 -000000000000001000000110010111111011010000000000100000 -000000000000000101000010100011101110000000000000000000 -111000000010001101100000011101011000000011110001000000 -000000000000001111100010001111001111100011110000000000 -110000000000001001000110100101101111001100000000000000 -010000000000010001000011000011101111001000000000000000 -000000000000001101100011110101100000000010000000000000 -000010000000001111100010000011000000000000000000000000 -000000000000000101000111100101001011010000000000100000 -000000000000000111100110001001011011000000000000000000 -000010100001000000000000000001100000000001000000000000 -000001000000000000000000001011100000000000000000000000 -000000000010100000000000011001011000101101010100100000 -001000000001010001000010000111101000001100000001000001 -000010100000000001110110010111101010111100010100000010 -000001000110000000000011001001111101111100000000000000 +010000000000000011100000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +101000000001010101100011001001011010010000000010000010 +100000000000000000000100001001101000001000000000000000 +010001000000001011100011111001011000000100000000000101 +110000100000001111000011000011001001100000000000000100 +000010100000000101100000000101011101000000000000100000 +001001000000000000000000001101001000110000000000000100 +000000000000000000000000001001011000000100000010000001 +000000000000000000000000000101011001100000000000000000 +000100000010000000000000001011101010010010000010000100 +001000000000000001000011100001001011000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001011000000000010000000100000 +010000000000100000000000000000000000000000000100000010 +000000000000000000000000000101000000000010000000000000 .logic_tile 8 12 -000001000000001011000110000011100000000000001000000000 -000000100000001111000010100000000000000000000000001000 -111000000000001001100000010101100000000000001000000000 -000000000000001011000010000000000000000000000000000000 -010010100000000011000111000101001000001100110000000100 -111000000001001011000110010000101110110011000000000010 -000010000000000111000010101011001001000011000000000000 -000000000000110000100111100001111101000001000001000000 -000000000000010101100000011001000000000001000000000000 -000000000000100000000011111001100000000000000010000000 -000010100000000001000010000101000000000000000000000000 -000011000001010000000000001111001100000000010000000000 -000010000000010011100000011101011011111100000000000000 -001001001110100000100011001101011000011100000000000000 -010000000000100000000000000001000000000011000100000100 -100000000010010000000000000001000000000010000010000000 +000000000000000000000000000000000000000010000000100000 +000000000000000000000000000000000000000000000001100100 +000001000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000010000000000010 +000000000000000000000000000011000000000000000000000000 .logic_tile 9 12 -000000000000001111000000010101100000000000000001000001 -000000000000000011000011001001101000000000010000000000 -111000000000000001100000000111111001000000000000000000 -000000000000000000000011111111011011100000000000000000 -010000100010000011000010000111100001001100110001000000 -111001000000000111000000000000101101110011000000000000 -000000000000000111100110010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000001000000000001100000000001001101000100000010000000 -000010100000000000000000001001001111100000000000000000 -000000000011010000000000000101101110000010000000000001 -000000000000100000000011110101011110000000000000000000 -000000000000000000000111100001100000000000000000000000 -001000000000000011000010000101000000000001000000000000 -010000000000000111000010110101100000000010000100000000 -100000001010000000100111001111100000000000000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 12 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000110000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000010000000000000000000000000000000 .logic_tile 11 12 +000000000000000011100000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +101000000000000000000000001000000000000000000100000000 +100000000000000000000000001111000000000010000001000000 +110000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000110000000 +001010000000000000000011001001000000000010000000000000 000000000000000000000000000000000000000000000000000000 -001100000000001011000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -001000001110000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000001000000 -000000000000000000000000001111000000000001000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011100000001001000000000000000110000000 -000010100000000000100000000011000000000001000010000000 -000000000000000000000000001011000000000000000100000000 -001000000000000000000010000111100000000001000000000000 -010000000000000011100110101111100000000000000100000000 -100000000000000000100000000011100000000001000010000000 +001000000000001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000001011000000000010000000000000 +110000000000000000000000000000000000000000000100000000 +011010000000000000000010000001000000000010000010000000 .logic_tile 12 12 -000000000000000011100000000001100000000000001000000000 -001000000000000000100010100000000000000000000000001000 -000000000000000101100010100000000000000000001000000000 -000000000000000101000000000000001000000000000000000000 -000000000000000101000000000000001000001100111000000000 -001000000000000000000000000000001010110011000000000000 -000000000000000000000000010000001001001100111000000000 -000000000100000000000010100000001011110011000000000000 -000010100000000000000011100000001000001100111000000000 -000001000000000000000100000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -001000000000000000000000000000001001110011000000000000 -000010100000000000000000000000001001001100111000000000 -001001000000000000000000000000001000110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000010000000000000000000000000000000000 +110000000000000000000111000000000000000000000110000000 +101000000000000000000000001011000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000111000000000010000000000100 +000000000000000000000000000000000000000000000100000100 +000000000000000000000011001101000000000010000000000000 +000000000000000000000000000000000000000000000100000100 +001000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +110000000000000101100000000000000000000000000000000000 +111000000000000000100000000000000000000000000000000000 .io_tile 13 12 -000001111000000010 -000000001000000000 +000000000000000010 000000000000000000 +000001110000000000 000000000000000001 000000000000010010 000000000000110000 @@ -3055,18 +3055,18 @@ 000000000000000000 000100000000000000 000000000000000010 -000000000000010000 +000000000000110000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 -.io_tile 0 13 -000010000000000010 -000101010000000000 +.io_tile 0 13 +000000000000000010 +000100000000000000 000000000000000000 000000000000000001 -000000000000000010 -000000000000010000 +000010000000010010 +000001110000010000 000100000000000000 000000000000000000 000000000000000000 @@ -3078,211 +3078,211 @@ 000000000000000000 000000000000000000 .logic_tile 1 13 -000100000000000111000110000001100000000000001000000000 -000100000000001001100100000000100000000000000000001000 -000000000000000011000011000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000011000000000001000000001000000000 -001000000000000000000000000000001101000000000000000000 -001000000000010000000000000000000001000000001000000000 -000000000000100000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000010000000000000000000000000000000000000001000000000 -000001001110000000000010000000001001000000000000000000 -000000000000000000000000000000000000000000001000000000 -001000000000000000000000000000001011000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 13 -000000000000000001000000000101100000000001000000000000 -000000000000000000000011101101000000000000000000000010 -111000000000000111000011111001111010000011010010000000 -000000000000000111100111101001001000000011000000000000 -010000000000000001000110100111000000000000000000000010 -010000000000000000100100001011100000000001000010000000 -001000000000001111000110111001100000000011000000000000 -000000000000000001100011110001101000000001000010000000 -000000000000100011100000000001100000000000110000000000 -000000000001010011000011000101101100000000010001000000 -000000000000001000000010101101111001110000000000000000 -000000000000000011000100001101101101010000000010000000 -000000000000000000000000001000000000000000000100000000 -001001000000000000000011110011000000000010000000000010 -110000000000000111100000000000000000000000000100100000 -110000000000000000110000000011000000000010000000000000 +010000000000001111100111100000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +101000000000000000000111001001001100110011000001000000 +100000000000000000000100000011011001000000000000000000 +110000000000000111000000001101111001010000000000000100 +110000000000000000100000000011011010110000000000000000 +000000000000001111000010000101000000000000100001000000 +001000000000000001000000000111001000000000110000000000 +000000000000000011100000011000000000000000000110000000 +000000000000000000100011111101000000000010000000000000 +000000000000010111100000000000000000000000000101000000 +000000000000101001000000000011000000000010000000000000 +000000000000000000010011100000000000000000000000000000 +000000000000001001000100000000000000000000000000000000 +010000000000010000000000000000000000000000000100000000 +000000000000100000000000001001000000000010000010000000 .ramb_tile 3 13 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000110000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110100000000000000000000000000000 -000100000000010000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000100000000000000000000000000000 +001000000110000000000000000000000000000000 +000000000001010000010000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000001011010000000000000000000000000000 -000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 13 -000000100000000000000011110000000000000010000000000000 -000000001000000111000011110111000000000000000000000010 -111000000000001111100110011011000000000001000000000000 -000000000001011011100011110101100000000000000000000001 -010000000000000011100110000001100000000000010000000000 -011000000000000000100000000101001011000000000000000000 -000000000000000000000110000101100000000001000000000000 -000000000000001111000000001001101111000011000000000000 -000000000000000000000111011000000000000010000000100000 -000000000000000000000011111001000000000000000001000000 -000000000000000000000000000000000000000010000000100000 -000000000000000000000000001011000000000000000000000000 -000000100000000011100000001000000000000010000000100000 -001000000000000000000011100111000000000000000000000010 -010000000001000101100000000001000001001100110100000000 -010000000000000000100000000000001001110011000000000010 +010010000000000001100110101011001001010000000010000000 +000001000000000000100111010001011100110000000000000000 +101000000000001001000000001111101010110000000000000000 +100000000000000111000010100101001011100000000000000100 +110000000000001001100000000101101010110011000000000000 +110000000000000011100011011011101100000000000000000000 +000001000000001000000110001001000000000000100010000000 +001000100000000001000010100001101000000000000000000000 +010001000000000001000011011101001101000100000000000000 +000000000000001011000011111001011101000000000000000000 +000000000000001011100000011111111101110011000000000000 +000000000000001011100011100111011111000000000000000000 +000000000000000000000010001000000000000010000000000000 +000000000000000000000000001101000000000000000000100000 +000000000000000111100000001000000000000000000100000000 +000000000000000001000011110011000000000010000000000000 .logic_tile 5 13 -000000000000000111100010001001000000000000000001000000 -000000000000000000100010001111000000000001000000000000 -111000000100000000000110011011011110101000000001000000 -000000000000000000000010001101111001100100000000000000 -010000000000000001000011001011100000000000110000000000 -011000000000000001100000000111001011000000010000000000 -000000000000000000000000011111000000000000000000000010 -000000000000000000000010001001000000000001000000000010 -000000000000000111100000011011000001000000010000000000 -000000000000000000100010001111001001000000000010000001 -000000000000000111100000000101000000000001000000000000 -000000001100000000100000000101000000000000000001000000 -000000000000000011000000000001100000000011000000000000 -001000000000000000000000000111100000000010000011100000 -000000000000100111100011011000000000000000000100000000 -000000000000000000000111011111000000000010000000000000 +010000100000000111000010011101101100000110100001000000 +000001000000000111000010010001111011001111110000000000 +101000000000001101000000001101111011010000000000100000 +100000000100000111100011010011101000110000000000000000 +110000100001000011000111111001011011000010000000000000 +010001000010000000000110011111111001000000000001000000 +000000000001010011100010010001001010000110100000000000 +001000000000100000000110001011001011001111110000000000 +000000100000000011000011100001011110000110100000000000 +000000000000000000110010011111011001001111110010000000 +000000001010000111000000010000000000000000000100000001 +000000000000000000000011110011000000000010000000000000 +000011101110000000000011101000000000000000000110000000 +000001000000101111000000001011000000000010000000000000 +010010100100000000000110110000000000000000000100100000 +000000000000000000000010010111000000000010000000000000 .logic_tile 6 13 -000000000100000111100010000011111010000011000000000000 -000000000001010001010011010001001011000001000000000000 -111001100000000000000110011011000000000000000000000000 -000001000000001111000010101001100000000001000000000000 -010001000000001001100010000101100000000000000001000001 -011010001100000001010110100101100000000001000000000001 -000000000000001000000000010001100000000011000000000000 -000000000001010101000010100001101011000001000000000100 -000000000110000000000000011101100001000000100000000010 -000000100000000000000011010001101110000000110000000000 -000000000000000111000000000111000001000000000000100000 -000100000000001111000000001001101110000000010000000000 -000110000000000000000110011101101011110000000000000000 -001000001100000000000011010001101100100000000001000000 -010000000000000011100000000000000000000000000110000000 -100000000000001111000000001111000000000010000000000000 +010010100000000001000110100111101011100000000000000000 +000001000000001001000000001101111000000000000000000000 +101000000000001011100111111011101010111001010000000000 +100000000000000001100111111101101010110000000010000110 +110000100000001111000110001111000001000000100000000000 +010000000000000001000011011101101010000000110000000000 +000000000000001111100010001011011111110011000000000000 +001000000000001111110110110101001000000000000000000000 +000000000000000001000111010011001111110011000000000000 +000000000010001001000011010001001111000000000000000000 +000001000000000000000110010111000000000000100000000000 +001011000000000000000011110001101001000000110000000001 +000000000000000011100111001011101100110011000000000000 +000000000000001001100011011001111110000000000000000000 +010001000001010011000011111000000000000000000100000000 +000000100001010000000010001001000000000010000000000001 .logic_tile 7 13 -000000000000101101100111100001001010000000000000000000 -000000000001010111000111100101101001100000000010000000 -111000000000001001100110011001100000000000110000000000 -000000000000000001000111100101001101000000100000000000 -010010100000001001100111001001100000000000000000000010 -111001000000000111000011101011001000000000010000000000 -000001000000001011100111100101100000000000000000100000 -000010001000000111100000001111101011000000010000100000 -000000000000000111100011101001111101010000000000000000 -000000000000000000100000000101101001000000000000000000 -000000000000000111000000010101100000000001000000000000 -000000001010000111100010000011100000000000000000100000 -000000000000000000000011111011100000000000010000000000 -001000000001010000000010010011001111000000110000000000 -010000000000000000000000000000000000000000000100000000 -101000000000000000000000000001000000000010000000000010 +010000000000000101000010100011111011010000000001000000 +000000000000000000000010101101001011110000000000000000 +000000000010001101000011110011011010010000000000100000 +000001000000000111000011111001001011110000000000000000 +000000000000000101010010110101011000010000000000000010 +000000000000000001100010101101011111110000000000000000 +000000000000000001100110000111101010010000000000000010 +001001000000000011000010100011001101110000000000000000 +000000000000001011100010010101101000000110100010000000 +000000000000000011000111001001111101001111110000000000 +000000001110000011100000000111111000000110100000000000 +000000001000000000000011100011111000001111110000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010011000011101011111000000110100000000000 +000000000000000000000000000001101000001111110000000000 .logic_tile 8 13 -000000000000000101000010100001000000000000001000000000 -000000000000000000100100000000101100000000000000000000 -111000000000000101100011100011001000001100111000000000 -000000000000000000100100000000101000110011000000000100 -010000000000001000000010000001101000001100111000000000 -111000000000000011010000000000000000110011000000000100 -000000000010001101100111100000001000001100110000000000 -000000000000001001000000000000000000110011000000000000 -000000000110000000000000011101011101000011100000000000 -000000000000001101000010111101001111000001110000000000 -000000001100000111100000001111011101010000000000000000 -000000000000000000100011110101111001110000000000000010 -000000000000000000000111001111100000000001000000000000 -001000000000000000000100000101000000000000000001000000 -010000000000001000000110000000000000000000000100000000 -101000000000000001000000000101000000000010000010000000 +010010000000000001100000000011111000001100110000000000 +000000000000000000000010110000000000110011000000000010 +101000000010000011100110001101100000000000000000100000 +100000000000000011000000000011100000000011000001000000 +110000000000001000000010101001111111000100000001000000 +010000000000000001000100000101101100000000000000000000 +000000000000000001100110011001100000000000000000000000 +001000000000000000000010001101000000000001000000100000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011011001000000000010000000000000 +000000000000001001100000000000000000000000000100000010 +000000000000000001000000000101000000000010001000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010001000000000 +110000000000001011000000000000000000000010000100000000 +110000000110000011000000000001000000000000000000000000 .logic_tile 9 13 -000000000001011000000010000101000000000001100000000000 -000000000000100001000011011001001101000001010001000000 -111001000001010101110000010101000000000001000000000010 -000000000000000000000010000111100000000000000000000000 -110010100000011000000111001001100000000000000000000000 -111001000000000111000100001001001000000000010000000001 -000000000001100111100110000000000000000000000000000000 -000000000001010101000000000000000000000000000000000000 -000000100000000000000000011000000000000010000000000001 -000001000000000000000011111101000000000000000000000000 -000000000010000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001011000111110011111011001100000000000000 -001000000000000001100011110111001111000100000000000000 -000000000000000000000110000101100000000000110100000100 -000000000000000000000100001111001001000000010000000000 +010000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +101000000101000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000001000000000000000000000000000100000001 +110000000000000000000000000101000000000010000001000001 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000100000000 +000000001000000000000000001001000000000010000001000010 .ramb_tile 10 13 -000001001110000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 13 -000000000000001011100000001001011000111111110000000000 -001000000000001111100000001101011000001111110001000000 -111000000000000111100011001011000000000000000100000000 -000000000000000000000100000001100000000001000000000000 -010000000000000000000000001011100000000000000100000000 -001000000000000000000000000101000000000001000000000000 -000000000000000111100010001111000000000000000100000000 -000000000000000000000000000001100000000001000000000000 -000000000000000101100000011011000000000000000100000000 -000000000000000000000010100101000000000001000000000010 -000000000000001101100000011011100000000000000100000000 -000000000000000101000010100001000000000001000000000000 -000010100000001000000110101111000000000000000100000000 -001001000000000101000000000101000000000001000000000000 -010000000000000000000000001011100000000000000100000000 -100000000000000000000000000001100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 13 -000000000000000011110110100000001001001100111000000000 -001000000000000000100000000000001001110011000000010000 -000001000000000000000000010000001000001100111000000000 -000000000000000000000010100000001000110011000000000000 -000000000000000101100000010000001000001100111000000000 -001000000000000000000010100000001010110011000000000000 -000000000000000101110110100000001000001100111000000000 -000000000000001101000000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -001000001110000000000000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -001000000000000000000000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 13 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -3299,226 +3299,226 @@ 000000000000000000 000000000000000000 .io_tile 0 14 -000000000000000010 +000001110000000010 000100000000000000 000000000000000000 000000000000000001 -000001010000010010 -000000111000010000 -001100000000000000 -000000000000000000 +000000000000010010 +000000000000110000 +001110000000000000 +000000110000000000 000000000000000000 000100000000000000 -000000000000010010 +000000000000000010 000000000000010000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .logic_tile 1 14 -000000000000001001000000000001100000000000001000000000 -000000000000001111000000000000000000000000000000001000 -000000000000000111000000000001000000000000001000000000 -000000000000000000100000000000001111000000000000000000 -000000000000000000000010000000000000000000001000000000 -000000000000000000000000000000001011000000000000000000 -000000000000000101000000000000000000000000001000000000 -000000000000000000100000000000001101000000000000000000 -000000000000000000000011100000000001000000001000000000 -000000000000000111000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000011100000001100000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 14 -000000000000000000000010100001100000000000001000000000 -000000000000000000000110110000100000000000000000001000 -000000100000110000010111100000000000000000001000000000 -000001000001100000000010110000001011000000000000000000 -000000100000000000000000000000001001001100111000000000 -000000000000000000010011010000001001110011000000000000 -000000000000000101000000000000001000001100111000000000 -000000000000001101100000000000001001110011000000000000 -000000000001010011000000000000001000001100111000000000 -000000000000100000100000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000001000000000000000000000000001001001100111000000000 -000000100000000000000000000000001010110011000000000001 -000000000000000000000000000000001000001100110000000000 -000000000000000000000000000000001100110011000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +101000000000000011100011001111101011010000000000100000 +100000000000000000100000001001001000110000000000000000 +010000000000000111000000001000000000000000000111000000 +010000000000000000100000000011000000000010000000000000 +000000000001010000000000000000000000000000000110000000 +000000000000100000000000000001000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000011001000000000000000000100100000 +000000000000000001000000001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 14 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000010010100000000000000000000000000000 -111000000000000111100000001000000000000010000000000000 -000000000110000000100000000011000000000000000001000000 -010000000000010000000000000000000000000000000000000000 -010000000000100000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111000000010000000000000000000000000000 -000000000000000000100010100000000000000000000000000000 -000000000000000000000000001000000000000010000000100000 -000000000000000000000000001011000000000000000000000000 -000000000000000000000000001101100000000010010100100000 -000000000000000000000000000001101100000010100000000100 +000010000000000001100010101001011100011111110000000000 +000000000000001011000010100101001001111111110000000000 +000010100000000011100000001001111110110011000000000000 +000001000000000001100011010111111101000000000000000000 +000000000000101000000010010101101010010000000000100000 +000000000000000001000111101101011110110000000000000000 +010000000000001101010110001101101100000100000001000100 +000000000000000111000011110001111001000000000000000100 +000000000000000000000111001101101110000010000000000010 +000000000000000000000110001001011000000000000000000000 +000000000000001001100111111011111101110011000000000000 +000010000000001111000111111101101111000000000000000000 +000000000000000011100000001011100000000001000010000000 +000000000000000000000011000001100000000000000000000000 +001000000000000000000010000001100000000001000000000000 +000000000000001001000010000101000000000000000000000000 .logic_tile 5 14 -000000000000000101000000010111100000000000001000000000 -000000000000000000100010000000100000000000000000001000 -111000000000101000000000000000000001000000001000000000 -000000000000001111000000000000001110000000000000000000 -110000000000000000000000000000001001001100111000000000 -010000000000000000000000000000001110110011000000000000 -000010100000000000000000000000001001001100111000000000 -000001000000010000000000000000001101110011000000000000 -000000000000000000000000000000001000001100110000000000 -000000000000000000000011100000000000110011000000000000 -000000000000000101100110101001000000000001010000100000 -000000000100000000000000000011101000000001100000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000001101100000010000000000000000000000000000 -000000000000000101000010100000000000000000000000000000 +000000000110000001000011000011011111010000000000100000 +000000000000000000000111011011001001110000000000000000 +000000000000001000000110010101111000000110100000000000 +000000000000000111000010000001001110001111110000000010 +000000000001001001000110000011111011000110100000000000 +000000000110101111100000000101101110001111110001000000 +001000000001011011000010000011111100000110100000000000 +000000000000000111000100000001101001001111110000000000 +000000000000000011000000011111001010000010000000000000 +000000000000000001100011001101001110000000000000000000 +000000000000000111100111101111001011010000000000000000 +000000000000000001100011110101011100110000000000000000 +000001000000000011100000011111111000010000000000000000 +000000100000001011100011100001111100110000000000000000 +000000000100001101000000000001011100000110100000000000 +000000000000000101100011100001101111001111110000000000 .logic_tile 6 14 -000000000000001001000110000101000000000000000000000000 -000000000000000001110011101011000000000001000000000010 -111000000000000101110110001001000000000011010000000000 -000000000000010000000000000011001000000011000000100000 -010000000000001000000110100000000000000000000000000000 -010000000000000011000000000000000000000000000000000000 -000000000000100000000010101101000000000001010001000010 -000000001010000000000100001001101100000001100000000000 -001000000000000101000000011000000000000000000100100001 -000000000000000000100010111101000000000010000000000000 -000000000000000101100000001000000000000000000100100000 -000000000000000000100010110001000000000010000000000100 -000000001100000000000000001000000000000000000100000100 -000000000010000000000000001011000000000010000001000000 -010000000000000000000000000000000000000000000101000100 -100000000000000000000000001001000000000010000000000000 +000000100000001000000110011011011100110011000000000000 +000000000000000001000011011001011111000000000000000000 +101000000000101001000011001101101011010000000000000000 +100000000000010111000111101001111100110000000001000000 +010000000000100111000111001101000000000000000000000000 +010000001100011101000010011011000000000001000000000000 +000000000000001000000111000001001011100000000000000000 +000000000100001111000000001111001111000000000000000000 +010000001010000011000000011111001010000110100000000000 +000000100000001111100010000011011100001111110000000000 +000010100000110011000010110011011001101000000000000000 +000000000000100001000110101001101000011000000000100100 +000000000001000000000111011101000000000010000000000100 +000000000000100011000110101011000000000000000000000000 +010000000000100000010000011000000000000000000101000010 +000000000000010000000010110101000000000010000000000000 .logic_tile 7 14 -000000000000001111000110011001000000000001000000000001 -000000000000001111000010001001000000000000000000000000 -111000000000001000000010000001111011101000100001000100 -000000000000000001000111011001011011110100100000000000 -110000000000000001000110001001001111100110100000000000 -100000000000000000010000000101011001100101010000000000 -000000000000001001100111111111001101000000000000000000 -000000000000000001000110101011011011110000000000000000 -000000000000000011100000001011000000000010000000000000 -000000000000000000010010011111001011000011000000000000 -000000000000000000000011101001000001000011000000000000 -000000000000000000000100000101001011000000110000000000 -000000000000000011100000000111111000001100000100000000 -000000000000000000000010000101011001001101010000100000 -000000000000000000000011100000000000000000000000000000 -000001000000001001000100000000000000000000000000000000 +000000000000000011000110001101101101101000000001000000 +000000000000001001000010011001101000011000000000000001 +101000000000000000000111111111101011000110100000000000 +100100000000000001000011101111001101001111110000000000 +010000000000001001000011100001011010110011000000000000 +010000000000001111000111100111001000000000000000000000 +010000000000000000000111111011111010000010000000000000 +000000000000000111000110001001111010000000000000000000 +000000000000000111000111001011111110000010000000000000 +000000000000000000100011111111001011000000000000000000 +001001000000001101100010010011011101000110100000000000 +000010000000000001000010101101111111001111110000100000 +000001000000000111100000001001000000000001000000000000 +000010000000000000000000000011100000000000000000000000 +010000000000001111000110000000000000000000000100100000 +000000000000000101100011001011000000000010000000000000 .logic_tile 8 14 -000001000000001000000011100111000000001100110000000000 -000010000010000111000011010000000000110011000000000000 -111000000001011011100111000001000000000001000000000000 -000000000000101011100100001001100000000000000000000000 -110000000000001111000010110011011101101011110000000000 -100000000000000111000010001101111000011011110000000000 -000000000000001000000010000001000000000000000001000000 -000000000000000001000111001001100000000011000000000000 -000000000000001000000010101101111000100101010000000000 -000100000000000001000000000111101010100110100000000000 -000010000000000001000010000101111101001100000100000100 -000001000000000000100110010101111010001101010000000000 -000010000000000101100000000011101011110000000100000000 -000001000000001001000000000001011101111000000000000010 -000001000000000101100000000111001101110000000100000000 -000010000000000000000000000101001001111001010000100000 +000000000000001000000000000011000000000000001000000000 +000000000000001011010000000000000000000000000000001000 +101001000100000011000000000101100000000000001000000000 +100000100000000000100010100000101010000000000000000000 +010000000000001000000011000101101000001100111000000000 +110000000000001011000000000000001000110011000000000000 +000000000000000000000010100001101000001100110000000000 +000000000000000101000000000000101010110011000000000000 +000000000000001011000000000000000000000000000100000000 +000000000000000011000000000011000000000010000001000000 +000000000000001000000000001000000000000000000100100000 +000000000000001111000000000001000000000010000000000010 +000000000000000000000000001000000000000000000100100000 +000000000000000000000000000011000000000010000000000010 +010000000001000000000000001000000000000000000100000000 +000000000000000001000000000111000000000010000010000000 .logic_tile 9 14 -000000000000001101100111101101011001101100100000000000 -000000000000000001100100001001101011110101000000000000 -111000000000001011000110011101000000000000000000000000 -000000000000000001000010001111100000000001000000000000 -110000000000000011000110011001000000000001000000000000 -010000000000000000000010001111000000000000000000000000 -000000000000000111100011000011111010001100110000000000 -000000000000000000000111000000001001110011000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000011101111000000000011000001000000 -000010000011001001000000001001000000000011000000000000 -000001000000100111100000001101000000000000000000000000 -000000000000000000000000001111100001000000010000000000 -000100000000000000000000000001001011000000000000000000 -010100000000001000000010011101000001000001000101000110 -100101000000001101000011011001001100000011000000000000 +000000000000001011100111010001111100110011110000000000 +000000000000000001100111110101001110010010100000000000 +101000000001001011100010100011101111110011110000000000 +100000000010000001100011010101001100010010100000000000 +011000000000000011100000010101101101110000000000000000 +010000000000001001100010001011001010000000000000000000 +000000000000001001100011011111011000110000000000000000 +000000000000000101000110001011101011000000000001000000 +000000000000000001100010001001011010110000000000000000 +000000000000000001000110011001111000000000000000000000 +000000000000100001000111011011011100110011000000000000 +000000000001011001000111110111011101010010000000000000 +000010000000000111100111101001011001110011000000000000 +000001000000000000000100001101011111010010000000000000 +010000000000000000000011100000000000000000000100000000 +000000000000001001000000001011000000000010000001000000 .ramt_tile 10 14 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 14 -000000000000000000000000011000000000000010000000000000 -000000000000000000000011001001000000000000000000000000 -111000000000010000000110011000000000000010000000000000 -000000000000000000000010001001000000000000000000000000 -010000000000000000000010000000000000000000000000000000 -010000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000101100001001100110100000000 -000000000000000000000000000000101001110011000010100100 -010000000000000000000000001001100000000000000100000000 -100000000000000000000000001001100000000011000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 14 -000000000000001000000000000000001001001100111000000000 -000000000000000001000000000000001010110011000000010000 -111000000000000011000010110000001001001100111000000000 -000000000000000000000010000000001101110011000000000000 -010000000000000001100000010000001001001100111000000000 -000000000000000000000010000000001001110011000000000000 -000000000000000001100000000000001001001100110001000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000011101011100000000001000000000000 -000001000000100000000110000001000000000000000100000000 -000000000001000000000000001111000000000001000000000000 -000000000000000000000000000101000000000000000100000000 -000000000000000000000011101011100000000001000000000000 -010000000000000000000000000101000000000000000100000000 -100000000000000000000000001111000000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 14 000000000000000000 000000000000000000 @@ -3537,7 +3537,7 @@ 000000000000000000 000000000000000000 .io_tile 0 15 -000000000000011000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -3554,50 +3554,50 @@ 000000000000000000 000000000000000000 .logic_tile 1 15 -000000000000000011000000000101100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000100000000110010000000000000000001000000000 -000000000000010000000010000000001011000000000000000000 -010000000000000000000111100000001001001100111001000000 -110000000000000000000010110000001001110011000000000000 -000000000000000101100000000000001001001100110001000000 -000000000000000000100010110000001001110011000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001011000000000000000000100000 -000000000000000000000010011000000000000010000000000000 -000000000010000000000110111011000000000000000000000010 -000000000000000000000000001000000000000000000101000000 -000000000000000000000000000101000000000010001000000000 -010000000000000000000000000000000000000000000101000000 -100000000000000000000000000001000000000010001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 15 -000000000010000111000010101101100000000001000000000000 -000000000000000000010010010101100000000000000000000000 -111000000000000101000011101011100000000000110000000000 -000000000000000101000110011001101111000001100000000000 -010000000000001001100000001101011101011001010100000000 -010000000000000001000010100001001100011010100000000010 -000000000000000101000010110101111111011001010100000000 -000000000000000000100010111001001011011010100000000010 -000000000000000011000000001001100001000001010100000000 -000000000000001001000000001001001110000001100000000010 -000000000000000011100000000011000001000001010100000000 -000000001100001001100010110001001100000001100000000010 -000000000000000000000000000101000001000001010100000000 -000000000000000000000010110101101110000001100000000010 -000000000000000011100000000101100001000001010100000011 -000000000000000000100000000011101100000001100000000000 +000000100000000111000010000101000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000111100000000101000000000000001000000000 +000000000000000111000000000000000000000000000000000000 +000000000000001011100000000001000000000000001000000000 +000000000000001011100000000000100000000000000000000000 +000000000000000000000000000111000000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000000000000000111100000000000001000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000011000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000111000001100000000000001000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000011000000000000000000000000000000000000 .ramb_tile 3 15 -001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3605,112 +3605,112 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 15 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000011000000000101000001000001010001000001 -000000000000000000000000001001101110000001100000000000 -110000000000000000000011000000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000011000000001101100001000001010001000001 -000000000000000000100000000001001110000001100000000000 -000000000000000000000111000000000000000000000000000000 -000000001110000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000001011000000000010001100000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000001000000000000000000000000000000000000000 -100000000000000101000000000000000000000000000000000000 +000000000000000000000011111101000000000000000001100000 +000000000000000000000010000001100000000001000000000000 +101000000000000001000000001011100001000000110000000000 +100000000000000001100011100001101100000000000000000000 +010000000000000001100000010101011010110001010000000000 +010000000000000000000011011111111010110000000000000000 +011000000000000001000000000000000000000000000100000000 +000000000000000000100010100111000000000010000000000011 +000001000000000000000000001000000000000000000100000100 +000000100000000000000000001001000000000010000010000000 +000000000000000101100000011000000000000000000100100000 +000000000000000000000010000111000000000010000000000000 +000000000000000000000110101000000000000000000100000000 +000000000000001001000000000101000000000010000000000011 +010000000000000000000000000000000000000000000110000000 +000000000000000011000000001001000000000010000000000100 .logic_tile 5 15 -000000000000000111000110001101101000000100000000000000 -000000000000000001000010100001111011100000000000000000 -000000000000000001100110001001000000000000000000000000 -000000000000000101010011001001001010000000110000000000 -000000000000001000000010111011001101110011000000000000 -000000000000000001000010000011011001000000000000000000 -000000000000000001100110001101101001110011000000000000 -000000000000000011000011000111011010000000000000000000 -000000000000001001000010000011101011110000000000100001 -000000000000001111100111100011111011000000000000000000 -000000000000001000000010010011000000001100110000000000 -000000000000000111000011010000101100110011000000000000 -000000000000000000000000001101011000110100000011000000 -000000000000000000000000001101001000111100010000000000 -000000000000101000000000000011100000000011000000000000 -000000000000000111000000000001100000000000000000000000 +000010000000001001100111111001100000000011000000000000 +000001000000000001010111101111000000000000000000000000 +101001000000000111100111001101001000110011000000000000 +100010000000000101100010010011111001000000000000000000 +110010000001000000000110101001101100000010000000000000 +110000000000001001000011010101111001000000000000000000 +000010100000000011100011010001100000000000000000000000 +000001000000000111100010001101001111000000010000000000 +000000000000000011100111101011011100110011000000000000 +000000000000000000110100001001111110000000000000000000 +000000100000001011000111100001011101100000000000000000 +000001000000000001000000000001001011000000000000000000 +000100000001010011100010011101011011110011000000000000 +000100000000000000100110000111001011000000000000000000 +010000000000000001000010000000000000000000000101000010 +000000000000000000100000000011000000000010000000000000 .logic_tile 6 15 -000000000000000101100000000000000000000000000000000000 +000000000000100000000110001001000000000001000000000000 +000000000001010001000010111001100000000000000000000000 +101000000000100111000110011001001011000110100000100000 +100000000001001101100010000011111110001111110000000000 +110000000000101011000010000101011011110011000000100000 +110000000001011111000010110001111011000000000000000000 +000000000000000101000011101111111010000010000000000000 +000000000000000011100010111001001011000000000000000000 +001000000000000111000011001101101100111001010010000001 +000000000110000000000010101111001101110000000000100000 +000000000010000111100000001001101010000110100000000010 +000000000000000000000000000011011010001111110000000000 +000000000000000011000011100011011010000010000000000000 +000000000000000000100000000101111110000000000000000000 +011000000000000011000110101000000000000000000100000010 +000000000000000101000000000001000000000010000000000000 +.logic_tile 7 15 +000010100001000001000010100001100000000000001000000000 +000001000000100000100100000000000000000000000000001000 +000000000001000101100111010000000000000000001000000000 +000010000000101101100010000000001000000000000000000000 +000000000001010000000111000000000000000000001000000000 +000000000000000000010000000000001101000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000010110000001110000000000000000000 +000000000000000000000000010000001000111100000010000000 +000000000000000000000010100000000000111100000000000000 +000000000000100000000111001011101011000110100000000000 +000000000001010000000110111001111101001111110000000010 +000000100000001000000000001111111011000010000000000000 +000001000000100101000000001111011000000000000000000000 +000000000000001000000110100001100000000001000000100000 +000000000000000101000000001001100000000000000000000000 +.logic_tile 8 15 +000000000001010001000000000000000000000010000000000000 +000000000000100000100010101101000000000000000000000000 +101000000000001000000000000000000000000000000000000000 +100000000000000001000000000000000000000000000000000000 +110000000000000000000010100000000000000010000000000001 +010000000000000000000000001001000000000000000000000000 +000000000000000000000110000101111001110011000000000000 +000000000000000000000000000001101101000000000000000000 +000010100000000000000000001000000000000000000100000000 +000001000000000000000011110011000000000010000000000100 +000010000000000000000010000000000000000000000000000000 +000000000000001001000100000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000100100000 +000000000000000000000000000001000000000010000000000000 +.logic_tile 9 15 000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000100100000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100001000000110010000000 -000000000000000000000000000001101010000001100000000000 -.logic_tile 7 15 -000000000000000001100000010001101110010111110000000000 -000000000000000011000010000001011010100111110000000000 -111000000000000001100011111001111101000001110000000000 -000000000000000000000111011011111001000011100000000000 -110000000000000001000000001101101110010100000000000000 -100000001100000000010000001101011010100100000000000000 -000000000000001111000110101011000000000000000000100000 -000000000000000001000000000011100000000001000000100000 -000000000001001000000000010111111111100101100000000000 -000000000000000001000010111111101010001100110000000000 -000000000000000101100010000011100000000000000000000000 -000000000000010111100110000111000000000011000000000000 -000100000000000000000010000001000000000010000100000000 -000100000000000001000010001001000000000000000010000000 -000000000000001000000000010001101101000111110100000010 -000000000000001001000010011111101101000011110000000000 -.logic_tile 8 15 -000000000000000000000010000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000101000111001011001001101011110000000000 -000000000000001101100000000101111001011011110000000000 -110000000000000000000110010000000000000000000000000000 -100000000000000000000011010000000000000000000000000000 -000000000000001000000000000111001001101011110000000000 -000000000000001011000000001101011001011011110000000000 -000010000000000001100000011001101100110000000100000000 -000001000000000000000010101111001001111000000000000010 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000111001001101010110000000100000000 -000000000000000000000100001011011001111000000010000000 -.logic_tile 9 15 -000000000000000001010000000101000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000010001101001001100111000000000 -000000000000000000000010000000101010110011000000000000 -000000000000000000000000000011001001001100111000000000 -000000000000000101000000000000101110110011000000000000 -000000000000001101100000000000001000001100110000000000 -000000000000000001100010100000000000110011000000000000 -000000000000000000000011100101100000000000000000000000 -000000000000000000000010001111100000000001000000000000 -000000000000000000000000000011111100101000000000000000 -000000000000000111000011010001011101110000000000000000 -000000000000000000000011100111111110010100010000000000 -000000000000000000000000001011011111001100010000000000 -000000000000000001100000000000000000000000000000000000 -000000000000000111000011010000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 10 15 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3724,39 +3724,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 15 -000000000000000000000010100001100001000000000000000000 -000000000000000001000110110101101001000000010000000000 -000000000000000101000010110101100001000000010001000000 -000000000000001101100010000101101000000000000000000000 -000000000000001011100000000000000000000010000000000000 -000000000000000001000010011001000000000000000000000000 -000000000000000000000010100000000000000010000000000000 -000000000000001101000110111101000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 -000000001110000000000000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000000000000000000101101001000100000000000000 -000000000000000000000000001001111000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 15 -000000000000000000000110110111000000000000001000000000 -000000000000000000000010100000000000000000000000001000 -000000000000001000000110100111000000000000001000000000 -000000000000000101000000000000101100000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000101100000000111000001000000001000000000 -000000000000000000000000000000101110000000000000000000 -000000000000000001100000000000000001000000001000000000 -000000000000000000100000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000001000000011000000000001000000001000000000 -000000000000001001000000000000001000000000000000000000 -000000000000001000000000000000000000000000001000000000 -000000000000001001000000000000001001000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000110000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 15 000000000000000000 000000000000000000 @@ -3794,42 +3794,42 @@ .logic_tile 1 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000001100000000000000000000000000100000000 -010000000000000000100000000101000000000010001010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010001000000011 -010000000000000101100000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 16 -000000000000000101000000000101100000000000001000000000 -000000000000000101000000000000001000000000000000000000 -000000000000000000000111110001101001001100111000000001 -000000000000000000000111110000101101110011000000000000 -000000000000000101000000000001101000001100111000100000 -000000000000000000000000000000100000110011000000000000 -000000000000001011100111110000001000001100110000000100 -000000000000000001100110100000000000110011000000000000 -000000000000000111100000000001100000000000000000000001 -000000000000000000000000000101100000000011000000000000 -000000000000000000000110011011100000000001010000000001 -000000000000000000000010001101101111000001100000000000 -000000000000000000000000000011000001001100110000000000 -000000000000000000000011100000001010110011000000000000 -000000000000000000000000000101100000000001000000000000 -000000000000000000000000000001100000000000000000000000 +000000000000000000000000000000001000111100000001000000 +000000000000000000000000000000000000111100000000010000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 16 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3843,110 +3843,109 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 16 -000000000000000000000000000111100000000000001000000000 +000000000000000000000000000101100000000000001000000000 000000000000000000000000000000100000000000000000001000 -111000000000000000000110010111100000000000001000000000 -000000000000000000000010000000101100000000000000000000 -110000000000000000000110010001101000001100110000000000 -010000000000000000000010000000101110110011000000000000 -000000000000000000000000011111100001000010000000000000 -000000000000000000000010001111101001000000000000000000 -000000000000000011100000001111000000000000000000000010 -000000000000000000000011111001000000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000001011100000000101000000000001000000000000 -000100100000000101000000001101100000000011000000000000 -010001000000000000000110111000000000000000000100000000 -100010000000000000000010101101000000000010000100000000 +000000000000000001100000000011100000000000001000000000 +000000000000000011000000000000001010000000000000000000 +000000000000001000000000000111000000000000001000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000010011100000000000001000000000 +000000000000000000000011000000100000000000000000000000 +000000000000000000000000000111100000000000001000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000001000010010000000000111100000000000000 +000000000001001000000000000001100001000000100000000000 +000000000000000011000000000011001000000000000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 .logic_tile 5 16 -000000000000100000000110001001011011000100100000000000 -000000000001010000000011110101101110000000000000000000 -111000000000001001100000010000000000000000000000000000 -000000000000001111000010000000000000000000000000000000 -010000000000000000000110100000000000000000000000000000 -010000001100000000000000000000000000000000000000000000 -000000000000000001100110010001111000001100110000000000 -000000000000001001000010000000100000110011000000000000 -000000000000000000000000001101100000000011000000000000 -000000000000000000000000000111001011000011110000100010 -000000000000000000000000001101100000000011000000000000 -000000000000000000000000001101100000000000000000000000 -000000000000000000000000000001000000000001000100000000 -000000000000000000000000001101100000000011000100000000 -010000000100000001100000001000000000000000000100000000 -100000000000010000100000000001000000000010000100000000 +000000000000001011100000000001000000000000001000000000 +000000000000000111000000000000000000000000000000001000 +101000000000000000000000000000000001000000001000000000 +100000000000000000000000000000001111000000000000000000 +110000000000000000000000000000001000001100110000000100 +010000000000000000000000000000001000110011000000000000 +001000000000000000000000001000000000000000000100000000 +000000000000000111000000000101000000000010000000100011 +000000000000000000000011110000000000000000000000000000 +000000000000001001000010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000000011000000000010000000000010 +010000000000000000000000000000000000000000000100000010 +000000000000000000000010001011000000000010000000000000 .logic_tile 6 16 -000000000000000000000110010001100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000000011000000000111100001000000001000000000 -000000000000010000000000000000001111000000000000000000 -110000000000000000000110000101101001001100111000000000 -110000000000000000000100000000101101110011000000000000 -000000000000000000000110010101101001001100110000000000 -000000000000000000000010000000101111110011000000000000 -000100000000000000000010000000000000000010000000000000 -000100000000000000000000000111000000000000000000000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000011110111101001000000000000000000 -000000000000001001000011000011000000000011000100000000 -000000000000000101000000001001100000000010000000000000 -010000000000000101100000000101000000000011000100000000 -100000000000000000000011111001100000000010000000000000 +000000000000000000000011111000000000000010000000000000 +000000000000000000000011000001000000000000000000000000 +101000000000000000000000000011111011110011000000000100 +100000000000000001000000000111011101000000000000000000 +010000000000000011000000001000000000000000000100000000 +010000000000000000100000001011000000000010000000000000 +010000000000000000000110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000100000010 +000000000000001011000000000011000000000010000000000000 +000000000000001000000010000000000000000000000100000000 +000000000000000001000110001001000000000010000000000011 +000000001100001000000000000000000000000000000100000000 +000000001110001011000000000101000000000010000000000000 +010000000000000000000000001000000000000000000100000110 +000000000000000000000000001011000000000010000000000000 .logic_tile 7 16 -000000000000000000000110000001011010001100110000000000 -000000000000000000000011100000110000110011000000000000 -111000000000000101100000001111000001000000000000000100 -000000000000000000000000000011101000000000110000000000 -011010100000001000000010100000000000000000000000000000 -010001000000000001000000000000000000000000000000000000 -000000000000000000000000000101000000000011000101000000 -000000000000000000000010010101100000000010000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000001100000001111000000000000110100000000 -000000000000000000000000000011101100000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010001000000000000000000000000000000000000000000000000 -100010000000000000000000000000000000000000000000000000 +000000000000000000000000001001111101110011000000000000 +000000000000000000000011101111101011000000000000000000 +101000000000001011100110011001111000110011000000000000 +100000000000000001100010000001011101000000000000000000 +010000000000001001100110000011001010100000000000000000 +010000000000000001000111010101001011000000000000000000 +000000000000000011100111101111011111000010000000000000 +000000000000000000100100000111101001000000000000000000 +000000000000000101100010001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000000001100111010000000000000000000100000000 +000000000000000000000111111101000000000010000000000100 +000000000000000000000110101000000000000000000100000100 +000000000000000000000000001011000000000010000000000000 +010000000000001001100111001000000000000000000100000100 +000000000000000101000100001011000000000010000000000000 .logic_tile 8 16 -000000000000000101100111100001000000000000001000000000 -000000000000000000100100000000100000000000000000001000 -000000000000000011000000000101000001000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000000000001111000011000101001000001100111000000000 -000000000000001011100000000000000000110011000000100000 -000000000000000000000011000101001001001100111000000000 -000000000000000000000000000000101111110011000000000010 -000000000001000000000000000001101001001100111000000000 -000000100010000000000000000000001101110011000000000000 -000001000000000000000000010101101001001100111000000000 -000000100000000000000011000000101111110011000000000000 -000000000000000000000000000001001001001100110000000000 -000000000000000000000000000000001101110011000000000010 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +101000000000001000000000001001101010110011000000000000 +100000000000000001000000001011011101000000000000000000 +110000000000000000000110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001011000000000010000000000001 +000000000000000000000111001000000000000000000100000000 +000000000000000000000100000011000000000010000000000100 +000000000000000000000010000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 9 16 -000000000000001000000111100111100000000000001000000000 -000000000000001111000100000000100000000000000000001000 -000000000000001111100000000001100000000000001000000000 -000000000000000111000000000000001001000000000000000000 -000000001110000000000000010101100000000000001000000000 -000000000000000000000011110000100000000000000000000000 -000000000001010000000000010001000000000000001000000000 -000000000000100000010011100000001001000000000000000000 -000000000000000000000000010101000000000000001000000000 -000000000000000000000011110000100000000000000000000000 -000000000000000000000000000011000000000000001000000000 -000000000000000000000000000000101001000000000000000000 -000000000000000000010000000001100000000000001000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000001000111100000001000000 -000000000000000000000011110000000000111100000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000100000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 16 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3955,46 +3954,47 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000101000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 16 -000000000000000000000110010101100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000001001100110010000000001000000001000000000 -000000000000000001000010000000001011000000000000000000 -110000000000000000000000000000001000001100111100000000 -010000000000000000000000000000001001110011000001000100 -000000000000001000000000010000001000001100111100000000 -000000000000000011000011000000001001110011000001000000 -000000000000000000000000010000001001001100111100100000 -000000000000000000000011000000001000110011000001000000 -000001000000000000000000000000001001001100111100100000 -000000000000000000000000000000001000110011000001000000 -000000000000000000000000000000001001001100111100100000 -000000000000000000000000000000001001110011000000000010 -010000000000000000000000000000001001001100110101000000 -100000000000000000000000000000001001110011000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 16 -000000000000000011000000001001101000101100000000000000 -000000000000000000100000000101101100111100000000010100 -111000000000001000000110100000000000000000000100000000 -000000000000000011000000000001000000000010000000000000 -110010000000000000000000000000000000000000000100000000 -000001000000000000000000001111000000000010000000000100 -000000000000000101100000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000000 -000000000000001111100000010000000000000000000110000000 -000000000000001001100010110111000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000011000000000010000000000000 -000000000000000101100110100000000000000000000100000000 -000000000000000000100100001011000000000010000000000000 -010000000000001000000000011000000000000000000100000000 -010000000000001101000010111111000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 16 000000000000000000 000000000000000000 @@ -4082,7 +4082,7 @@ 000000000000000000 .io_tile 5 17 000000000000000000 -000000000000000000 +000000000000011000 000000000000000000 000000000000000000 000000000000000000 @@ -4103,24 +4103,24 @@ 000000000000000000 000000000000000000 000000000000000100 -000000000000001100 +000000000000001000 000100000000000000 000000000000000000 +000010000000000000 +000010010000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000010000000000000 -000010010000000000 000000000000000000 000000000000000000 .io_tile 7 17 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000100 +000000111000000000 +000000001000000000 000000000000001100 +000000000000000000 000100000000000000 000000000000000000 000000000000000000 @@ -4128,7 +4128,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000110000000000 +000000000000000000 000000000000000000 000000000000000000 .io_tile 8 17 @@ -4145,22 +4145,22 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000001100000 000000000000000000 000000000000000000 -.io_tile 9 17 -000010000000000010 -000111110000000000 000000000000000000 +.io_tile 9 17 +000000000000001010 +000100000000000000 +000011111000000000 +000011111000000001 000000000000000001 -000001110010000001 -000000000001000000 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000010 -000000000001000000 +000000000011000000 000000000000000000 000000000000000001 000000000000000001 @@ -4185,38 +4185,38 @@ .io_tile 11 17 000000000000000010 000100000000000000 -000000000000000000 +000001111000000000 +000000001000000001 000000000000000001 -000000000011000001 000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000001010001000010 -000000000011000000 +000001011001000010 +000000001011000000 000000000000000000 000000000000000001 000000000000000001 -000001010000000000 -.io_tile 12 17 -000000011000100010 -000100001000000000 000000000000000000 +.io_tile 12 17 +000010000000100010 +000101110000000000 +000001110000000000 +000000001000000001 000000000000000001 -000000000000000001 -000000000001000000 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000011000010 +000000000000000010 000000000001000000 -000000011000000000 -000000001000000001 +000000000000000000 +000000000000000001 000000000000000001 000000000000000000 -.ram_data 3 9 +.ram_data 3 7 000000040000000a00000003000000020000003f000100000000001e00000000 000000000000000000010000000100010004009f000000060000000500000003 0000001500e7002900e0001900070005000100a1000600090001000500000000 @@ -4233,7 +4233,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 3 +.ram_data 10 5 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4250,7 +4250,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 1 +.ram_data 10 9 000000010001000100e70058004c0053000100010001000100e0003900e10029 0001000100010001002c002a0019003b000100010001000100e200150006003a 0001000100010001002c002a0019003b000100010001000100e200150006003a @@ -4267,1849 +4267,1023 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 11 -0000000000000000000000000000000000000000000000000000000000005555 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -.sym 1 $abc$56607$n33$2 -.sym 2 $abc$56607$n901$2 -.sym 3 $abc$56607$n35$2 -.sym 4 $abc$56607$n1490$2 -.sym 5 $abc$56607$n31$2 -.sym 6 $abc$56607$n1471$2 -.sym 7 CLK$2$2 -.sym 8 $abc$56607$n1018$2 -.sym 54 $abc$56607$n2583 -.sym 177 $abc$56607$n2528 -.sym 178 $abc$56607$n2624 -.sym 179 $abc$56607$n2626 -.sym 181 $abc$56607$n2625 -.sym 182 $abc$56607$n2628 -.sym 183 $abc$56607$n2623 -.sym 184 $abc$56607$n2627 -.sym 293 $abc$56607$n2212 -.sym 294 $abc$56607$n2213 -.sym 295 $abc$56607$n2214 -.sym 296 $abc$56607$n2215 -.sym 297 $abc$56607$n2216 -.sym 298 $abc$56607$n2217 -.sym 405 $abc$56607$n1524 -.sym 406 $abc$56607$n1526 -.sym 407 $abc$56607$n1530 -.sym 408 I2C.byte_counter[4] -.sym 409 I2C.byte_counter[3] -.sym 410 I2C.byte_counter[7] -.sym 411 I2C.byte_counter[2] -.sym 412 I2C.byte_counter[5] -.sym 519 $abc$56607$n2210 -.sym 520 $abc$56607$n1521 -.sym 521 $abc$56607$n1520 -.sym 522 $abc$56607$n1277 -.sym 523 $abc$56607$n1306 -.sym 524 I2C.byte_counter[0] -.sym 525 I2C.byte_counter[6] -.sym 526 I2C.byte_counter[1] -.sym 633 $abc$56607$n1523 -.sym 634 $abc$56607$n1302_1 -.sym 635 $abc$56607$n1301 -.sym 637 $abc$56607$n1303 -.sym 638 $abc$56607$n1529 -.sym 639 $abc$56607$n1305 -.sym 640 I2C.i2c_start_latency -.sym 748 KEYBOARD.init_ram_cnt[2] -.sym 749 KEYBOARD.init_ram_cnt[3] -.sym 750 KEYBOARD.init_ram_cnt[4] -.sym 751 KEYBOARD.init_ram_cnt[5] -.sym 752 KEYBOARD.init_ram_cnt[6] -.sym 753 KEYBOARD.init_ram_cnt[7] -.sym 830 CLK$2 +.sym 1 $abc$27697$n25$2 +.sym 2 $abc$27697$n434$2 +.sym 3 $abc$27697$n29$2 +.sym 4 CLK$2$2 +.sym 5 $abc$27697$n23$2 +.sym 6 I2C.FLT_SCL.RESET$2 +.sym 7 $abc$27697$n741$2 +.sym 8 $abc$27697$n667$2 +.sym 47 I2C.SCLF +.sym 181 I2C.FLT_SCL.out +.sym 292 $abc$27697$n690 +.sym 293 $abc$27697$n1295 +.sym 294 $abc$27697$n689 +.sym 295 $abc$27697$n772_1 +.sym 296 $abc$27697$n1058 +.sym 297 I2C.FLT_SCL.counter[1] +.sym 298 I2C.FLT_SCL.counter[0] +.sym 407 $abc$27697$n1061 +.sym 408 $abc$27697$n771_1 +.sym 411 $abc$27697$n1296 +.sym 412 I2C.FLT_SCL.counter[2] +.sym 750 I2C_OUT_DESC_MASK[4] +.sym 830 $abc$27697$n741 .sym 836 CLK$2 -.sym 860 KEYBOARD.init_ram_cnt[8] -.sym 862 KEYBOARD.init_ram_cnt[1] -.sym 864 KEYBOARD.init_ram_cnt[0] -.sym 944 $abc$56607$n1490 -.sym 974 $abc$56607$n673 -.sym 975 $abc$56607$n674 -.sym 976 $abc$56607$n805 -.sym 977 $abc$56607$n2612 -.sym 978 $abc$56607$n2611 -.sym 979 $abc$56607$n807 -.sym 980 I2C_HID_DESC.real_adress[3] -.sym 981 I2C_HID_DESC.real_adress[2] -.sym 1090 I2C_INPUT_LEN[2] -.sym 1091 I2C_INPUT_LEN[3] -.sym 1092 I2C_INPUT_LEN[4] -.sym 1093 I2C_INPUT_LEN[5] -.sym 1094 I2C_INPUT_LEN[6] -.sym 1095 I2C_INPUT_LEN[7] -.sym 1209 $abc$56607$n2569 +.sym 866 $abc$27697$n1961 +.sym 867 $abc$27697$n854 +.sym 944 CLK$2 +.sym 1095 KEYBOARD.report[0][4] +.sym 1203 COM_TX$2 .sym 1289 COM_TX$2 -.sym 1323 $abc$56607$n2580 .sym 1403 I2C.is_read -.sym 1437 $abc$56607$n2570 .sym 1517 COM_DSR$2 .sym 1522 COM_DCD$2 -.sym 1546 $abc$56607$n1817 -.sym 1547 $abc$56607$n1820 -.sym 1548 $abc$56607$n2613 -.sym 1549 $abc$56607$n276 -.sym 1550 ring_rd[2] -.sym 1551 ring_rd[3] -.sym 1660 ring_rd[1] -.sym 1664 ring_rd[0] .sym 1851 $false .sym 1853 KEYBOARD.ROWS_EN[13] .sym 1856 $false .sym 1858 KEYBOARD.ROWS_EN[14] -.sym 1880 $abc$56607$n1979 -.sym 1881 $abc$56607$n1981 -.sym 1882 $abc$56607$n1983 -.sym 1883 I2C.FLT_SCL.RESET -.sym 1884 rststate[1] -.sym 1885 rststate[3] -.sym 1886 rststate[2] -.sym 2065 $abc$56607$n360 -.sym 2066 $abc$56607$n361 -.sym 2067 $abc$56607$n2529 -.sym 2068 $abc$56607$n2051 -.sym 2069 KEYBOARD.row_counter[2] -.sym 2070 KEYBOARD.kbd_code[4] -.sym 2147 $true -.sym 2184 $abc$56607$n2528$2 -.sym 2185 $false -.sym 2186 $abc$56607$n2528 +.sym 1882 KEYBOARD.COLS_SHADOW[5] +.sym 2064 $abc$27697$n1925 +.sym 2065 $abc$27697$n1926 +.sym 2069 $abc$27697$n1924 +.sym 2185 SCL$2 +.sym 2186 $false .sym 2187 $false .sym 2188 $false -.sym 2190 $auto$alumacc.cc:470:replace_alu$12147.C[3] -.sym 2192 $false -.sym 2193 $abc$56607$n2627 -.sym 2196 $auto$alumacc.cc:470:replace_alu$12147.C[4] -.sym 2198 $false -.sym 2199 $abc$56607$n2623 -.sym 2202 $auto$alumacc.cc:470:replace_alu$12147.C[5] -.sym 2204 $false -.sym 2205 $abc$56607$n2628 -.sym 2208 $auto$alumacc.cc:470:replace_alu$12147.C[6] -.sym 2210 $false -.sym 2211 $abc$56607$n2624 -.sym 2214 $auto$alumacc.cc:470:replace_alu$12147.C[7] -.sym 2216 $false -.sym 2217 $abc$56607$n2625 -.sym 2220 $abc$56607$n2583$2 -.sym 2222 $false -.sym 2223 $abc$56607$n2626 -.sym 2230 $abc$56607$n2583$2 -.sym 2234 $abc$56607$n2615 -.sym 2235 $abc$56607$n2530 -.sym 2236 $abc$56607$n879 -.sym 2237 $abc$56607$n372 -.sym 2238 $abc$56607$n2617 -.sym 2239 $abc$56607$n1977 -.sym 2240 rststate[0] -.sym 2241 I2C.i2c_bit_counter[3] -.sym 2320 $abc$56607$n1521 -.sym 2321 $false -.sym 2322 $false -.sym 2323 $false -.sym 2326 $abc$56607$n1527 -.sym 2327 $false -.sym 2328 $false -.sym 2329 $false -.sym 2332 $abc$56607$n1530 -.sym 2333 $false -.sym 2334 $false -.sym 2335 $false -.sym 2344 $abc$56607$n1529 +.sym 2231 $true +.sym 2232 CLK$2$2 +.sym 2233 $false +.sym 2236 $abc$27697$n1396 +.sym 2237 $abc$27697$n1398 +.sym 2238 $abc$27697$n1400 +.sym 2239 $abc$27697$n1402 +.sym 2240 $abc$27697$n1404 +.sym 2241 $abc$27697$n1406 +.sym 2344 I2C.SCLF .sym 2345 $false .sym 2346 $false .sym 2347 $false -.sym 2350 $abc$56607$n1526 -.sym 2351 $false -.sym 2352 $false -.sym 2353 $false -.sym 2356 $abc$56607$n1524 -.sym 2357 $false -.sym 2358 $false -.sym 2359 $false -.sym 2362 $abc$56607$n1523 -.sym 2363 $false -.sym 2364 $false -.sym 2365 $false -.sym 2369 $abc$56607$n873_1 -.sym 2370 $abc$56607$n2047 -.sym 2371 $abc$56607$n2248 -.sym 2372 $abc$56607$n2045 -.sym 2373 $abc$56607$n358 -.sym 2374 $abc$56607$n880 -.sym 2375 I2C.i2c_bit_counter[1] -.sym 2376 I2C.i2c_bit_counter[0] -.sym 2417 $true -.sym 2454 $abc$56607$n1520$2 -.sym 2455 $false -.sym 2456 $abc$56607$n1520 -.sym 2457 $false -.sym 2458 $false -.sym 2460 $auto$alumacc.cc:470:replace_alu$12152.C[2] -.sym 2462 $false -.sym 2463 $abc$56607$n1521 -.sym 2466 $auto$alumacc.cc:470:replace_alu$12152.C[3] -.sym 2467 $false -.sym 2468 $false -.sym 2469 $abc$56607$n1523 -.sym 2470 $auto$alumacc.cc:470:replace_alu$12152.C[2] -.sym 2472 $auto$alumacc.cc:470:replace_alu$12152.C[4] -.sym 2473 $false -.sym 2474 $false -.sym 2475 $abc$56607$n1524 -.sym 2476 $auto$alumacc.cc:470:replace_alu$12152.C[3] -.sym 2478 $auto$alumacc.cc:470:replace_alu$12152.C[5] -.sym 2479 $false -.sym 2480 $false -.sym 2481 $abc$56607$n1526 -.sym 2482 $auto$alumacc.cc:470:replace_alu$12152.C[4] -.sym 2484 $auto$alumacc.cc:470:replace_alu$12152.C[6] +.sym 2366 $abc$27697$n689 +.sym 2367 CLK$2$2 +.sym 2368 $abc$27697$n23$2 +.sym 2369 I2C_INPUT_LEN[5] +.sym 2370 I2C_INPUT_LEN[3] +.sym 2372 I2C_INPUT_LEN[6] +.sym 2374 I2C_INPUT_LEN[1] +.sym 2375 I2C_INPUT_LEN[4] +.sym 2376 I2C_INPUT_LEN[2] +.sym 2461 I2C.FLT_SCL.out +.sym 2462 I2C.SCLF +.sym 2463 $abc$27697$n771_1 +.sym 2464 $false +.sym 2467 I2C.FLT_SCL.counter[0] +.sym 2468 I2C.FLT_SCL.counter[1] +.sym 2469 $false +.sym 2470 $false +.sym 2473 $abc$27697$n1296 +.sym 2474 $abc$27697$n1058 +.sym 2475 $abc$27697$n772_1 +.sym 2476 $false +.sym 2479 $abc$27697$n1295 +.sym 2480 I2C.FLT_SCL.out +.sym 2481 I2C.SCLF +.sym 2482 $false .sym 2485 $false -.sym 2486 $false -.sym 2487 $abc$56607$n1527 -.sym 2488 $auto$alumacc.cc:470:replace_alu$12152.C[5] -.sym 2490 $auto$alumacc.cc:470:replace_alu$12152.C[7] -.sym 2491 $false +.sym 2486 I2C.FLT_SCL.counter[0] +.sym 2487 $false +.sym 2488 $true$2 +.sym 2491 $abc$27697$n1295 .sym 2492 $false -.sym 2493 $abc$56607$n1529 -.sym 2494 $auto$alumacc.cc:470:replace_alu$12152.C[6] -.sym 2497 $false -.sym 2498 $false -.sym 2499 $abc$56607$n1530 -.sym 2500 $auto$alumacc.cc:470:replace_alu$12152.C[7] -.sym 2504 $abc$56607$n2043 -.sym 2505 $abc$56607$n1321 -.sym 2506 $abc$56607$n1315_1 -.sym 2507 $abc$56607$n1527 -.sym 2508 $abc$56607$n1492 -.sym 2509 $false$2 -.sym 2510 I2C_HID_DESC.last_rd_request -.sym 2590 $abc$56607$n2043 -.sym 2591 I2C.byte_counter[3] +.sym 2493 $false +.sym 2494 $false +.sym 2497 $abc$27697$n771_1 +.sym 2498 $abc$27697$n1058 +.sym 2499 $false +.sym 2500 $false +.sym 2501 $abc$27697$n690 +.sym 2502 CLK$2$2 +.sym 2503 $abc$27697$n23$2 +.sym 2506 $abc$27697$n1288 +.sym 2508 $abc$27697$n1393 +.sym 2509 $abc$27697$n674 +.sym 2510 $abc$27697$n929_1 +.sym 2511 I2C_INPUT_LEN[0] +.sym 2552 $true +.sym 2589 I2C.FLT_SCL.counter[0]$2 +.sym 2590 $false +.sym 2591 I2C.FLT_SCL.counter[0] .sym 2592 $false .sym 2593 $false -.sym 2596 $abc$56607$n2043 -.sym 2597 I2C.byte_counter[4] -.sym 2598 $false -.sym 2599 $false -.sym 2602 $abc$56607$n2043 -.sym 2603 I2C.byte_counter[7] -.sym 2604 $false -.sym 2605 $false -.sym 2608 $abc$56607$n2214 -.sym 2609 $abc$56607$n1301 -.sym 2610 $abc$56607$n1526 -.sym 2611 $abc$56607$n1304 -.sym 2614 $abc$56607$n2213 -.sym 2615 $abc$56607$n1524 -.sym 2616 $abc$56607$n1306 -.sym 2617 $false -.sym 2620 $abc$56607$n2217 -.sym 2621 $abc$56607$n1301 -.sym 2622 $abc$56607$n1530 -.sym 2623 $abc$56607$n1304 -.sym 2626 $abc$56607$n2212 -.sym 2627 $abc$56607$n1301 -.sym 2628 $abc$56607$n1523 -.sym 2629 $abc$56607$n1304 -.sym 2632 $abc$56607$n2215 -.sym 2633 $abc$56607$n1527 -.sym 2634 $abc$56607$n1301 -.sym 2635 $abc$56607$n1304 -.sym 2636 $true +.sym 2595 $auto$alumacc.cc:470:replace_alu$6031.C[2] +.sym 2597 I2C.FLT_SCL.counter[1] +.sym 2598 $true$2 +.sym 2602 $false +.sym 2603 I2C.FLT_SCL.counter[2] +.sym 2604 $true$2 +.sym 2605 $auto$alumacc.cc:470:replace_alu$6031.C[2] +.sym 2608 I2C.FLT_SCL.counter[0] +.sym 2609 I2C.FLT_SCL.counter[1] +.sym 2610 I2C.FLT_SCL.counter[2] +.sym 2611 $false +.sym 2626 $abc$27697$n771_1 +.sym 2627 $abc$27697$n1061 +.sym 2628 $false +.sym 2629 $false +.sym 2632 $abc$27697$n1296 +.sym 2633 $false +.sym 2634 $false +.sym 2635 $false +.sym 2636 $abc$27697$n690 .sym 2637 CLK$2$2 -.sym 2638 $false -.sym 2639 $abc$56607$n874 -.sym 2640 $abc$56607$n1487 -.sym 2641 $abc$56607$n1304 -.sym 2642 $abc$56607$n877 -.sym 2643 $abc$56607$n1276 -.sym 2644 $abc$56607$n1248 -.sym 2645 $abc$56607$n1300 -.sym 2646 I2C.received_byte[0] -.sym 2725 $false -.sym 2726 $true$2 -.sym 2727 $abc$56607$n1520 -.sym 2728 $false -.sym 2731 $abc$56607$n2043 -.sym 2732 I2C.byte_counter[1] -.sym 2733 $false -.sym 2734 $false -.sym 2737 $abc$56607$n2043 -.sym 2738 I2C.byte_counter[0] -.sym 2739 $false -.sym 2740 $false -.sym 2743 I2C.byte_counter[2] -.sym 2744 I2C.byte_counter[3] -.sym 2745 I2C.byte_counter[0] -.sym 2746 I2C.byte_counter[1] -.sym 2749 $abc$56607$n1301 -.sym 2750 $abc$56607$n1304 -.sym 2751 $false -.sym 2752 $false -.sym 2755 $abc$56607$n2210 -.sym 2756 $abc$56607$n1520 -.sym 2757 $abc$56607$n1301 -.sym 2758 $abc$56607$n1304 -.sym 2761 $abc$56607$n2216 -.sym 2762 $abc$56607$n1301 -.sym 2763 $abc$56607$n1529 -.sym 2764 $abc$56607$n1304 -.sym 2767 $abc$56607$n1306 -.sym 2768 I2C.byte_counter[0] -.sym 2769 I2C.byte_counter[1] -.sym 2770 $abc$56607$n2043 -.sym 2771 $true -.sym 2772 CLK$2$2 -.sym 2773 $false -.sym 2775 $abc$56607$n2606 -.sym 2776 $abc$56607$n1283 -.sym 2777 I2C_TRANS -.sym 2778 $abc$56607$n2518 -.sym 2779 $abc$56607$n875 -.sym 2781 I2C.received_byte[4] -.sym 2860 $abc$56607$n2043 -.sym 2861 I2C.byte_counter[2] -.sym 2862 $false -.sym 2863 $false -.sym 2866 $abc$56607$n1523 -.sym 2867 $abc$56607$n1303 -.sym 2868 I2C.byte_counter[0] -.sym 2869 I2C.byte_counter[1] -.sym 2872 $abc$56607$n1302_1 -.sym 2873 $abc$56607$n1529 -.sym 2874 $false -.sym 2875 $false -.sym 2884 I2C.byte_counter[3] -.sym 2885 I2C.byte_counter[4] -.sym 2886 I2C.byte_counter[5] -.sym 2887 I2C.byte_counter[7] -.sym 2890 $abc$56607$n2043 -.sym 2891 I2C.byte_counter[6] -.sym 2892 $false -.sym 2893 $false -.sym 2896 I2C.i2c_start_latency -.sym 2897 I2C.i2c_state_machine -.sym 2898 $abc$56607$n2043 -.sym 2899 $false -.sym 2902 $abc$56607$n2043 -.sym 2903 $false -.sym 2904 $false -.sym 2905 $false -.sym 2906 $abc$56607$n1305 -.sym 2907 CLK$2$2 -.sym 2908 $false -.sym 2909 $abc$56607$n925 -.sym 2910 $abc$56607$n924 -.sym 2912 $abc$56607$n923 -.sym 2913 $abc$56607$n2621 -.sym 2915 KEYBOARD.ram_adr[6] -.sym 2916 KEYBOARD.ram_adr[5] -.sym 2957 $true -.sym 2994 KEYBOARD.init_ram_cnt[0]$2 -.sym 2995 $false -.sym 2996 KEYBOARD.init_ram_cnt[0] -.sym 2997 $false -.sym 2998 $false -.sym 3000 $auto$alumacc.cc:470:replace_alu$12188.C[2] -.sym 3002 $false -.sym 3003 KEYBOARD.init_ram_cnt[1] -.sym 3006 $auto$alumacc.cc:470:replace_alu$12188.C[3] -.sym 3007 $false -.sym 3008 $false -.sym 3009 KEYBOARD.init_ram_cnt[2] -.sym 3010 $auto$alumacc.cc:470:replace_alu$12188.C[2] -.sym 3012 $auto$alumacc.cc:470:replace_alu$12188.C[4] -.sym 3013 $false -.sym 3014 $false -.sym 3015 KEYBOARD.init_ram_cnt[3] -.sym 3016 $auto$alumacc.cc:470:replace_alu$12188.C[3] -.sym 3018 $auto$alumacc.cc:470:replace_alu$12188.C[5] -.sym 3019 $false +.sym 2638 $abc$27697$n23$2 +.sym 2641 $abc$27697$n929 +.sym 2645 I2C.SDA_DIR +.sym 2775 $abc$27697$n847 +.sym 2776 $abc$27697$n259 +.sym 2780 I2C_HID_DESC.real_adress[7] +.sym 2781 I2C_HID_DESC.real_adress[0] +.sym 2909 $abc$27697$n1889 +.sym 2910 $abc$27697$n867 +.sym 2911 I2C_HID_DESC.real_adress[1] +.sym 2912 I2C_HID_DESC.real_adress[4] +.sym 2913 I2C_HID_DESC.real_adress[2] +.sym 2914 I2C_HID_DESC.real_adress[6] +.sym 2915 I2C_HID_DESC.real_adress[5] +.sym 2916 I2C_HID_DESC.real_adress[3] +.sym 3019 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 3020 $false -.sym 3021 KEYBOARD.init_ram_cnt[4] -.sym 3022 $auto$alumacc.cc:470:replace_alu$12188.C[4] -.sym 3024 $auto$alumacc.cc:470:replace_alu$12188.C[6] -.sym 3025 $false -.sym 3026 $false -.sym 3027 KEYBOARD.init_ram_cnt[5] -.sym 3028 $auto$alumacc.cc:470:replace_alu$12188.C[5] -.sym 3030 $auto$alumacc.cc:470:replace_alu$12188.C[7] -.sym 3031 $false -.sym 3032 $false -.sym 3033 KEYBOARD.init_ram_cnt[6] -.sym 3034 $auto$alumacc.cc:470:replace_alu$12188.C[6] -.sym 3036 $auto$alumacc.cc:470:replace_alu$12188.C[8] -.sym 3037 $false -.sym 3038 $false -.sym 3039 KEYBOARD.init_ram_cnt[7] -.sym 3040 $auto$alumacc.cc:470:replace_alu$12188.C[7] -.sym 3041 $abc$56607$n1459 +.sym 3021 $false +.sym 3022 $false +.sym 3041 $abc$27697$n434$2 .sym 3042 CLK$2$2 -.sym 3043 $abc$56607$n35$2 -.sym 3048 $abc$56607$n2229 -.sym 3049 $abc$56607$n1052 -.sym 3050 $abc$56607$n660 -.sym 3051 I2C_OUT_DESC_MASK[5] +.sym 3043 $abc$27697$n23$2 +.sym 3092 $true +.sym 3129 $abc$27697$n854$2 .sym 3130 $false -.sym 3131 $false -.sym 3132 KEYBOARD.init_ram_cnt[8] -.sym 3133 $auto$alumacc.cc:470:replace_alu$12188.C[8] -.sym 3142 KEYBOARD.init_ram_cnt[0] -.sym 3143 KEYBOARD.init_ram_cnt[1] -.sym 3144 $false -.sym 3145 $false -.sym 3154 $false -.sym 3155 $true$2 -.sym 3156 KEYBOARD.init_ram_cnt[0] -.sym 3157 $false -.sym 3176 $abc$56607$n1459 -.sym 3177 CLK$2$2 -.sym 3178 $abc$56607$n35$2 -.sym 3179 $abc$56607$n1099_1 -.sym 3180 $abc$56607$n806 -.sym 3181 I2C_HID_DESC.real_adress[7] -.sym 3182 I2C_HID_DESC.real_adress[0] -.sym 3183 I2C_HID_DESC.real_adress[6] -.sym 3184 I2C_HID_DESC.real_adress[4] -.sym 3185 I2C_HID_DESC.real_adress[1] -.sym 3186 I2C_HID_DESC.real_adress[5] -.sym 3265 I2C.byte_counter[2] -.sym 3266 I2C.byte_counter[3] -.sym 3267 $abc$56607$n674 -.sym 3268 I2C.byte_counter[1] -.sym 3271 I2C.byte_counter[4] -.sym 3272 I2C.byte_counter[5] -.sym 3273 I2C.byte_counter[6] -.sym 3274 I2C.byte_counter[7] -.sym 3277 I2C.byte_counter[2] -.sym 3278 $abc$56607$n806 -.sym 3279 $abc$56607$n674 -.sym 3280 I2C.byte_counter[3] -.sym 3283 I2C_INPUT_LEN[6] -.sym 3284 $false -.sym 3285 $false -.sym 3286 $false -.sym 3289 I2C_INPUT_LEN[5] -.sym 3290 $false -.sym 3291 $false -.sym 3292 $false -.sym 3295 I2C.byte_counter[3] -.sym 3296 I2C.byte_counter[2] -.sym 3297 $abc$56607$n674 -.sym 3298 $false -.sym 3301 I2C.byte_counter[3] -.sym 3302 $false -.sym 3303 $false -.sym 3304 $false -.sym 3307 I2C.byte_counter[2] -.sym 3308 $false -.sym 3309 $false -.sym 3310 $false -.sym 3311 $abc$56607$n1492 -.sym 3312 CLK$2$2 -.sym 3313 $abc$56607$n35$2 -.sym 3314 $abc$56607$n1056 -.sym 3315 $abc$56607$n855 -.sym 3316 $abc$56607$n2610 -.sym 3317 I2C_OUT_DESC_MASK[4] -.sym 3318 I2C_OUT_DESC_MASK[2] -.sym 3319 I2C_OUT_DESC_MASK[0] -.sym 3320 I2C_OUTPUT_TYPE[0] -.sym 3321 I2C_OUT_DESC_MASK[7] -.sym 3362 $true -.sym 3399 I2C.byte_counter[0]$2 -.sym 3400 $false -.sym 3401 I2C.byte_counter[0] -.sym 3402 $false -.sym 3403 $false -.sym 3405 $auto$alumacc.cc:470:replace_alu$12141.C[2] -.sym 3407 I2C.byte_counter[1] -.sym 3408 $true$2 -.sym 3411 $auto$alumacc.cc:470:replace_alu$12141.C[3] -.sym 3412 $false -.sym 3413 I2C.byte_counter[2] -.sym 3414 $true$2 -.sym 3415 $auto$alumacc.cc:470:replace_alu$12141.C[2] -.sym 3417 $auto$alumacc.cc:470:replace_alu$12141.C[4] -.sym 3418 $false -.sym 3419 I2C.byte_counter[3] -.sym 3420 $true$2 -.sym 3421 $auto$alumacc.cc:470:replace_alu$12141.C[3] -.sym 3423 $auto$alumacc.cc:470:replace_alu$12141.C[5] -.sym 3424 $false -.sym 3425 I2C.byte_counter[4] -.sym 3426 $true$2 -.sym 3427 $auto$alumacc.cc:470:replace_alu$12141.C[4] -.sym 3429 $auto$alumacc.cc:470:replace_alu$12141.C[6] -.sym 3430 $false -.sym 3431 I2C.byte_counter[5] -.sym 3432 $true$2 -.sym 3433 $auto$alumacc.cc:470:replace_alu$12141.C[5] -.sym 3435 $auto$alumacc.cc:470:replace_alu$12141.C[7] -.sym 3436 $false -.sym 3437 I2C.byte_counter[6] -.sym 3438 $true$2 -.sym 3439 $auto$alumacc.cc:470:replace_alu$12141.C[6] -.sym 3442 $false -.sym 3443 I2C.byte_counter[7] -.sym 3444 $true$2 -.sym 3445 $auto$alumacc.cc:470:replace_alu$12141.C[7] -.sym 3446 $abc$56607$n1180 +.sym 3131 $abc$27697$n854 +.sym 3132 $false +.sym 3133 $false +.sym 3135 $auto$alumacc.cc:470:replace_alu$6006.C[4] +.sym 3137 $true$2 +.sym 3138 $abc$27697$n853 +.sym 3141 $auto$alumacc.cc:470:replace_alu$6006.C[5] +.sym 3143 $false +.sym 3144 $abc$27697$n851 +.sym 3147 $auto$alumacc.cc:470:replace_alu$6006.C[6] +.sym 3149 $false +.sym 3150 $abc$27697$n850 +.sym 3153 $auto$alumacc.cc:470:replace_alu$6006.C[7] +.sym 3155 $false +.sym 3156 $abc$27697$n848 +.sym 3159 $abc$27697$n1961$2 +.sym 3161 $false +.sym 3162 $abc$27697$n847 +.sym 3169 $abc$27697$n1961$2 +.sym 3172 I2C.byte_counter[2] +.sym 3173 $false +.sym 3174 $false +.sym 3175 $false +.sym 3179 $abc$27697$n1956 +.sym 3180 $abc$27697$n285 +.sym 3181 $abc$27697$n1098 +.sym 3182 $abc$27697$n817 +.sym 3183 $false$2 +.sym 3184 $abc$27697$n847_1 +.sym 3185 I2C_TX_REPORT[3] +.sym 3186 I2C_TX_REPORT[1] +.sym 3314 $abc$27697$n1021 +.sym 3315 $abc$27697$n862 +.sym 3316 $abc$27697$n695_1 +.sym 3317 $abc$27697$n874 +.sym 3319 I2C_TX_REPORT[2] +.sym 3320 I2C_TX_REPORT[0] +.sym 3442 $abc$27697$n25$2 +.sym 3443 KEYBOARD.report[0][4] +.sym 3444 $abc$27697$n1021 +.sym 3445 $false +.sym 3446 $abc$27697$n659 .sym 3447 CLK$2$2 -.sym 3448 $abc$56607$n35$2 -.sym 3451 $abc$56607$n2477 -.sym 3452 $abc$56607$n274 -.sym 3453 $abc$56607$n2609 -.sym 3454 $abc$56607$n2607 -.sym 3455 UART_TX_DATA[5] -.sym 3456 UART_TX_DATA[7] -.sym 3497 $true -.sym 3534 $abc$56607$n2607$2 -.sym 3535 $false -.sym 3536 $abc$56607$n2607 -.sym 3537 $false -.sym 3538 $false -.sym 3540 $auto$alumacc.cc:470:replace_alu$12031.C[3] +.sym 3448 $false +.sym 3449 $abc$27697$n1023 +.sym 3450 $abc$27697$n1015 +.sym 3451 KEYBOARD.report[0][0] +.sym 3452 KEYBOARD.report[0][1] +.sym 3453 KEYBOARD.report[0][6] +.sym 3454 KEYBOARD.report[0][5] +.sym 3455 KEYBOARD.report[0][3] +.sym 3456 KEYBOARD.report[0][2] +.sym 3541 $abc$27697$n12 .sym 3542 $false -.sym 3543 $abc$56607$n2608 -.sym 3546 $auto$alumacc.cc:470:replace_alu$12031.C[4] -.sym 3548 $false -.sym 3549 $abc$56607$n2609 -.sym 3552 $auto$alumacc.cc:470:replace_alu$12031.C[5] -.sym 3554 $false -.sym 3555 $abc$56607$n2610 -.sym 3558 $auto$alumacc.cc:470:replace_alu$12031.C[6] -.sym 3560 $false -.sym 3561 $abc$56607$n2611 -.sym 3564 $auto$alumacc.cc:470:replace_alu$12031.C[7] -.sym 3566 $false -.sym 3567 $abc$56607$n2612 -.sym 3570 $abc$56607$n2569$2 -.sym 3572 $false -.sym 3573 $abc$56607$n2613 -.sym 3580 $abc$56607$n2569$2 -.sym 3584 $abc$56607$n1050 -.sym 3585 $abc$56607$n787 -.sym 3586 $abc$56607$n667 -.sym 3587 $abc$56607$n753 -.sym 3588 $abc$56607$n795 -.sym 3589 $abc$56607$n1073 -.sym 3590 KEYBOARD.ram_adr[4] -.sym 3591 KEYBOARD.ram_adr[7] -.sym 3632 $true -.sym 3669 $abc$56607$n282$3 -.sym 3670 $false -.sym 3671 $abc$56607$n282 -.sym 3672 $false -.sym 3673 $false -.sym 3675 $auto$alumacc.cc:470:replace_alu$12098.C[3] -.sym 3677 $false -.sym 3678 $abc$56607$n280 -.sym 3681 $auto$alumacc.cc:470:replace_alu$12098.C[4] -.sym 3683 $false -.sym 3684 $abc$56607$n279 -.sym 3687 $auto$alumacc.cc:470:replace_alu$12098.C[5] -.sym 3689 $false -.sym 3690 $abc$56607$n277 -.sym 3693 $auto$alumacc.cc:470:replace_alu$12098.C[6] -.sym 3695 $false -.sym 3696 $abc$56607$n276 -.sym 3699 $auto$alumacc.cc:470:replace_alu$12098.C[7] -.sym 3701 $false -.sym 3702 $abc$56607$n274 -.sym 3705 $abc$56607$n2580$2 -.sym 3707 $false -.sym 3708 $abc$56607$n273 -.sym 3715 $abc$56607$n2580$2 -.sym 3721 $abc$56607$n1824 -.sym 3722 $abc$56607$n1827 -.sym 3723 $abc$56607$n1830 -.sym 3724 $abc$56607$n1833 -.sym 3725 $abc$56607$n1836 -.sym 3726 $abc$56607$n1839 -.sym 3767 $true -.sym 3804 $abc$56607$n282$2 -.sym 3805 $false -.sym 3806 $abc$56607$n282 -.sym 3807 $false -.sym 3808 $false -.sym 3810 $auto$alumacc.cc:470:replace_alu$12036.C[3] -.sym 3812 $true$2 -.sym 3813 $abc$56607$n280 -.sym 3816 $auto$alumacc.cc:470:replace_alu$12036.C[4] -.sym 3818 $false -.sym 3819 $abc$56607$n279 -.sym 3822 $auto$alumacc.cc:470:replace_alu$12036.C[5] -.sym 3824 $false -.sym 3825 $abc$56607$n277 -.sym 3828 $auto$alumacc.cc:470:replace_alu$12036.C[6] -.sym 3830 $false -.sym 3831 $abc$56607$n276 -.sym 3834 $auto$alumacc.cc:470:replace_alu$12036.C[7] -.sym 3836 $false -.sym 3837 $abc$56607$n274 -.sym 3840 $abc$56607$n2570$2 -.sym 3842 $false -.sym 3843 $abc$56607$n273 -.sym 3850 $abc$56607$n2570$2 -.sym 3854 $abc$56607$n2545 -.sym 3855 $10\ring_rd[3:0][1] -.sym 3856 report_data_radr[4] -.sym 3857 report_data_radr[3] -.sym 3858 report_data_radr[5] -.sym 3859 report_data_radr[6] -.sym 3860 report_data_radr[2] -.sym 3861 report_data_radr[7] -.sym 3902 $true -.sym 3939 ring_rd[0]$2 -.sym 3940 $false -.sym 3941 ring_rd[0] -.sym 3942 $false -.sym 3943 $false -.sym 3945 $auto$alumacc.cc:470:replace_alu$12117.C[2] -.sym 3947 $false -.sym 3948 ring_rd[1] -.sym 3951 $auto$alumacc.cc:470:replace_alu$12117.C[3] -.sym 3952 $false -.sym 3953 $false -.sym 3954 ring_rd[2] -.sym 3955 $auto$alumacc.cc:470:replace_alu$12117.C[2] -.sym 3958 $false -.sym 3959 $false -.sym 3960 ring_rd[3] -.sym 3961 $auto$alumacc.cc:470:replace_alu$12117.C[3] -.sym 3964 I2C_INPUT_LEN[7] -.sym 3965 $false -.sym 3966 $false -.sym 3967 $false -.sym 3970 I2C.received_byte[5] -.sym 3971 $false -.sym 3972 $false -.sym 3973 $false -.sym 3976 $10\ring_rd[3:0][2] -.sym 3977 $false -.sym 3978 $false -.sym 3979 $false -.sym 3982 $10\ring_rd[3:0][3] -.sym 3983 $false -.sym 3984 $false -.sym 3985 $false -.sym 3986 $abc$56607$n999 -.sym 3987 CLK$2$2 -.sym 3988 $abc$56607$n35$2 -.sym 3990 $abc$56607$n1832 -.sym 3991 $abc$56607$n1835 -.sym 3992 $abc$56607$n1838 -.sym 3993 $abc$56607$n2543 -.sym 3994 $10\ring_rd[3:0][0] -.sym 3995 $abc$56607$n1811 -.sym 3996 $abc$56607$n2691 -.sym 4087 $10\ring_rd[3:0][1] -.sym 4088 $false -.sym 4089 $false -.sym 4090 $false -.sym 4111 $10\ring_rd[3:0][0] -.sym 4112 $false -.sym 4113 $false -.sym 4114 $false -.sym 4121 $abc$56607$n999 -.sym 4122 CLK$2$2 -.sym 4123 $abc$56607$n35$2 +.sym 3543 $false +.sym 3544 $false +.sym 3585 $abc$27697$n1142_1 +.sym 3586 $abc$27697$n869 +.sym 3587 $abc$27697$n682 +.sym 3588 KEYBOARD.report[4][2] +.sym 3589 KEYBOARD.report[4][1] +.sym 3591 KEYBOARD.report[4][3] +.sym 3720 $abc$27697$n870 +.sym 3721 KEYBOARD.report[4][6] +.sym 3722 KEYBOARD.report[4][5] +.sym 3724 KEYBOARD.report[4][4] +.sym 3989 $abc$27697$n1947 .sym 4212 $false .sym 4214 KEYBOARD.ROWS_EN[15] -.sym 4237 KEYBOARD.kbd_code_hid[0] -.sym 4238 KEYBOARD.kbd_code_hid[1] -.sym 4239 KEYBOARD.kbd_code_hid[2] -.sym 4240 KEYBOARD.kbd_code_hid[3] -.sym 4241 KEYBOARD.kbd_code_hid[4] -.sym 4242 KEYBOARD.kbd_code_hid[5] -.sym 4243 KEYBOARD.kbd_code_hid[6] -.sym 4244 KEYBOARD.kbd_code_hid[7] -.sym 4274 $false -.sym 4311 $auto$alumacc.cc:470:replace_alu$12126.C[1] -.sym 4313 $abc$56607$n35$2 -.sym 4314 rststate[0] -.sym 4317 $auto$alumacc.cc:470:replace_alu$12126.C[2] -.sym 4318 $false -.sym 4319 $false -.sym 4320 rststate[1] -.sym 4321 $auto$alumacc.cc:470:replace_alu$12126.C[1] -.sym 4323 $auto$alumacc.cc:470:replace_alu$12126.C[3] -.sym 4324 $false -.sym 4325 $false -.sym 4326 rststate[2] -.sym 4327 $auto$alumacc.cc:470:replace_alu$12126.C[2] -.sym 4330 $false +.sym 4330 KBD_COLUMNS[5]$2 .sym 4331 $false -.sym 4332 rststate[3] -.sym 4333 $auto$alumacc.cc:470:replace_alu$12126.C[3] -.sym 4336 rststate[3] -.sym 4337 rststate[2] -.sym 4338 rststate[1] -.sym 4339 rststate[0] -.sym 4342 $abc$56607$n1251 -.sym 4343 $abc$56607$n1979 -.sym 4344 $false -.sym 4345 $false -.sym 4348 $abc$56607$n1251 -.sym 4349 $abc$56607$n1983 -.sym 4350 $false -.sym 4351 $false -.sym 4354 $abc$56607$n1251 -.sym 4355 $abc$56607$n1981 -.sym 4356 $false -.sym 4357 $false +.sym 4332 $false +.sym 4333 $false .sym 4358 $true .sym 4359 CLK$2$2 .sym 4360 $false -.sym 4437 $true -.sym 4474 $abc$56607$n2045$2 -.sym 4475 $false -.sym 4476 $abc$56607$n2045 -.sym 4477 $false -.sym 4478 $false -.sym 4480 $auto$alumacc.cc:470:replace_alu$12155.C[2] -.sym 4482 $abc$56607$n2047 -.sym 4483 $true$2 -.sym 4486 $auto$alumacc.cc:470:replace_alu$12155.C[3] -.sym 4487 $false -.sym 4488 $abc$56607$n2049 -.sym 4489 $true$2 -.sym 4490 $auto$alumacc.cc:470:replace_alu$12155.C[2] -.sym 4492 $abc$56607$n2529$2 -.sym 4493 $false -.sym 4494 $abc$56607$n2051 -.sym 4495 $true$2 -.sym 4496 $auto$alumacc.cc:470:replace_alu$12155.C[3] -.sym 4502 $abc$56607$n2529$2 -.sym 4505 $abc$56607$n2617 -.sym 4506 $false -.sym 4507 $false -.sym 4508 $false -.sym 4511 $abc$56607$n2248 +.sym 4481 I2C_INPUT_LEN[4] +.sym 4482 $false +.sym 4483 $false +.sym 4484 $false +.sym 4487 I2C_INPUT_LEN[5] +.sym 4488 $false +.sym 4489 $false +.sym 4490 $false +.sym 4511 I2C_INPUT_LEN[3] .sym 4512 $false .sym 4513 $false .sym 4514 $false -.sym 4517 $abc$56607$n2287 -.sym 4518 $abc$56607$n2246 -.sym 4519 $false -.sym 4520 $false -.sym 4521 $abc$56607$n1490$2 -.sym 4522 CLK$2$2 -.sym 4523 $false -.sym 4524 KEYBOARD.RAM.r_data[0] -.sym 4526 KEYBOARD.RAM.r_data[1] -.sym 4528 KEYBOARD.RAM.r_data[2] -.sym 4530 KEYBOARD.RAM.r_data[3] -.sym 4598 $abc$56607$n2045 -.sym 4599 $false +.sym 4560 $true +.sym 4597 I2C.byte_counter[0]$3 +.sym 4598 $false +.sym 4599 I2C.byte_counter[0] .sym 4600 $false .sym 4601 $false -.sym 4604 $abc$56607$n2047 -.sym 4605 $false -.sym 4606 $false -.sym 4607 $false -.sym 4610 $abc$56607$n361 -.sym 4611 $abc$56607$n372 -.sym 4612 $abc$56607$n2529 -.sym 4613 $false +.sym 4603 $auto$alumacc.cc:470:replace_alu$6017.C[2] +.sym 4605 I2C.byte_counter[1] +.sym 4606 $true$2 +.sym 4609 $auto$alumacc.cc:470:replace_alu$6017.C[3] +.sym 4610 $false +.sym 4611 I2C.byte_counter[2] +.sym 4612 $true$2 +.sym 4613 $auto$alumacc.cc:470:replace_alu$6017.C[2] +.sym 4615 $auto$alumacc.cc:470:replace_alu$6017.C[4] .sym 4616 $false -.sym 4617 $false +.sym 4617 I2C.byte_counter[3] .sym 4618 $true$2 -.sym 4619 $abc$56607$n2529 -.sym 4622 I2C.i2c_bit_counter[3] -.sym 4623 $abc$56607$n2043 -.sym 4624 $false -.sym 4625 $false +.sym 4619 $auto$alumacc.cc:470:replace_alu$6017.C[3] +.sym 4621 $auto$alumacc.cc:470:replace_alu$6017.C[5] +.sym 4622 $false +.sym 4623 I2C.byte_counter[4] +.sym 4624 $true$2 +.sym 4625 $auto$alumacc.cc:470:replace_alu$6017.C[4] +.sym 4627 $auto$alumacc.cc:470:replace_alu$6017.C[6] .sym 4628 $false -.sym 4629 $abc$56607$n35$2 -.sym 4630 rststate[0] -.sym 4631 $false -.sym 4634 $abc$56607$n1251 -.sym 4635 $abc$56607$n1977 -.sym 4636 $false -.sym 4637 $false -.sym 4640 $abc$56607$n1290_1 -.sym 4641 $abc$56607$n2617 -.sym 4642 $abc$56607$n361 -.sym 4643 $abc$56607$n1293 -.sym 4644 $true -.sym 4645 CLK$2$2 -.sym 4646 $false -.sym 4647 KEYBOARD.RAM.r_data[4] -.sym 4649 KEYBOARD.RAM.r_data[5] -.sym 4651 KEYBOARD.RAM.r_data[6] -.sym 4653 KEYBOARD.RAM.r_data[7] -.sym 4721 $abc$56607$n874 -.sym 4722 $abc$56607$n878 -.sym 4723 $abc$56607$n879 +.sym 4629 I2C.byte_counter[5] +.sym 4630 $true$2 +.sym 4631 $auto$alumacc.cc:470:replace_alu$6017.C[5] +.sym 4633 $auto$alumacc.cc:470:replace_alu$6017.C[7] +.sym 4634 $false +.sym 4635 I2C.byte_counter[6] +.sym 4636 $true$2 +.sym 4637 $auto$alumacc.cc:470:replace_alu$6017.C[6] +.sym 4640 $false +.sym 4641 I2C.byte_counter[7] +.sym 4642 $true$2 +.sym 4643 $auto$alumacc.cc:470:replace_alu$6017.C[7] +.sym 4721 $abc$27697$n574 +.sym 4722 $abc$27697$n1402 +.sym 4723 $false .sym 4724 $false -.sym 4727 $abc$56607$n2043 -.sym 4728 I2C.i2c_bit_counter[1] +.sym 4727 $abc$27697$n574 +.sym 4728 $abc$27697$n1398 .sym 4729 $false .sym 4730 $false -.sym 4733 $abc$56607$n2237 -.sym 4734 KEYBOARD.row_counter[2] -.sym 4735 $abc$56607$n33$2 -.sym 4736 $false -.sym 4739 $abc$56607$n2043 -.sym 4740 I2C.i2c_bit_counter[0] +.sym 4739 $abc$27697$n574 +.sym 4740 $abc$27697$n1404 .sym 4741 $false .sym 4742 $false -.sym 4745 $false -.sym 4746 $abc$56607$n2045 -.sym 4747 $false -.sym 4748 $true$2 -.sym 4751 I2C.i2c_bit_counter[0] -.sym 4752 I2C.i2c_bit_counter[1] -.sym 4753 $abc$56607$n2043 +.sym 4751 I2C.byte_counter[0] +.sym 4752 I2C.byte_counter[1] +.sym 4753 $abc$27697$n574 .sym 4754 $false -.sym 4757 $abc$56607$n1290_1 -.sym 4758 $abc$56607$n2047 -.sym 4759 $abc$56607$n1293 -.sym 4760 $abc$56607$n880 -.sym 4763 $abc$56607$n1290_1 -.sym 4764 $abc$56607$n2045 -.sym 4765 $abc$56607$n1293 -.sym 4766 $abc$56607$n358 -.sym 4767 $true +.sym 4757 $abc$27697$n574 +.sym 4758 $abc$27697$n1400 +.sym 4759 $false +.sym 4760 $false +.sym 4763 $abc$27697$n574 +.sym 4764 $abc$27697$n1396 +.sym 4765 $false +.sym 4766 $false +.sym 4767 $abc$27697$n422 .sym 4768 CLK$2$2 -.sym 4769 $false -.sym 4844 I2C.FLT_SDA.out -.sym 4845 $abc$56607$n14 -.sym 4846 I2C.FLT_SCL.out +.sym 4769 $abc$27697$n23$2 +.sym 4806 $true +.sym 4843 I2C.byte_counter[5]$2 +.sym 4844 $false +.sym 4845 I2C.byte_counter[5] +.sym 4846 $false .sym 4847 $false -.sym 4850 I2C.FLT_SCL.out -.sym 4851 I2C.FLT_SDA.out -.sym 4852 $abc$56607$n14 -.sym 4853 I2C.wr -.sym 4856 $abc$56607$n1268_1 -.sym 4857 I2C.i2c_state_machine -.sym 4858 $false -.sym 4859 $false -.sym 4862 $abc$56607$n2043 -.sym 4863 I2C.byte_counter[5] -.sym 4864 $false -.sym 4865 $false -.sym 4868 I2C_HID_DESC.last_rd_request -.sym 4869 I2C.wr -.sym 4870 I2C.FLT_SCL.RESET -.sym 4871 $false -.sym 4874 $false -.sym 4875 $false +.sym 4849 $auto$alumacc.cc:470:replace_alu$6037.C[7] +.sym 4851 $false +.sym 4852 I2C.byte_counter[6] +.sym 4856 $false +.sym 4857 $false +.sym 4858 I2C.byte_counter[7] +.sym 4859 $auto$alumacc.cc:470:replace_alu$6037.C[7] +.sym 4868 $false +.sym 4869 I2C.byte_counter[0] +.sym 4870 $false +.sym 4871 $true$2 +.sym 4874 $abc$27697$n675 +.sym 4875 UART.tx_activity .sym 4876 $false .sym 4877 $false -.sym 4880 I2C.wr -.sym 4881 $false -.sym 4882 $false +.sym 4880 $abc$27697$n1116 +.sym 4881 $abc$27697$n1107 +.sym 4882 $abc$27697$n652 .sym 4883 $false -.sym 4890 $true +.sym 4886 $abc$27697$n574 +.sym 4887 $abc$27697$n1393 +.sym 4888 $false +.sym 4889 $false +.sym 4890 $abc$27697$n422 .sym 4891 CLK$2$2 -.sym 4892 $abc$56607$n35$2 -.sym 4967 $abc$56607$n875 -.sym 4968 $abc$56607$n877 -.sym 4969 $false -.sym 4970 $false -.sym 4973 I2C.byte_counter[7] -.sym 4974 $abc$56607$n1276 -.sym 4975 $false -.sym 4976 $false -.sym 4979 $abc$56607$n1300 -.sym 4980 $abc$56607$n877 -.sym 4981 $false -.sym 4982 $false -.sym 4985 I2C.FLT_SDA.out -.sym 4986 $abc$56607$n14 -.sym 4987 I2C.FLT_SCL.out -.sym 4988 I2C.i2c_state_machine -.sym 4991 I2C.byte_counter[4] -.sym 4992 I2C.byte_counter[5] -.sym 4993 I2C.byte_counter[6] -.sym 4994 $abc$56607$n1277 -.sym 4997 $abc$56607$n358 -.sym 4998 $abc$56607$n880 -.sym 4999 $abc$56607$n872 -.sym 5000 $false -.sym 5003 $abc$56607$n1280 -.sym 5004 $abc$56607$n1285 -.sym 5005 $abc$56607$n875 -.sym 5006 $false -.sym 5009 I2C.FLT_SDA.out -.sym 5010 $false -.sym 5011 $false -.sym 5012 $false -.sym 5013 $abc$56607$n1248 +.sym 4892 $abc$27697$n23$2 +.sym 4979 $false +.sym 4980 $false +.sym 4981 $true$2 +.sym 4982 $abc$27697$n1891 +.sym 5003 $abc$27697$n1116 +.sym 5004 $abc$27697$n1130 +.sym 5005 $abc$27697$n652 +.sym 5006 $abc$27697$n916 +.sym 5013 $true .sym 5014 CLK$2$2 .sym 5015 $false -.sym 5096 $abc$56607$n2605 +.sym 5016 I2C_HID_DESC.VAL[0] +.sym 5017 I2C_HID_DESC.VAL[1] +.sym 5018 I2C_HID_DESC.VAL[2] +.sym 5019 I2C_HID_DESC.VAL[3] +.sym 5020 I2C_HID_DESC.VAL[4] +.sym 5021 I2C_HID_DESC.VAL[5] +.sym 5022 I2C_HID_DESC.VAL[6] +.sym 5023 I2C_HID_DESC.VAL[7] +.sym 5096 I2C.byte_counter[7] .sym 5097 $false .sym 5098 $false .sym 5099 $false -.sym 5102 $abc$56607$n358 -.sym 5103 $abc$56607$n880 -.sym 5104 $abc$56607$n887 +.sym 5102 I2C_HID_DESC.last_rd_request +.sym 5103 I2C.wr +.sym 5104 I2C.FLT_SCL.RESET$2 .sym 5105 $false -.sym 5108 I2C.i2c_state_machine -.sym 5109 I2C.i2c_start_latency -.sym 5110 $false -.sym 5111 $false -.sym 5114 UART.tx_bit_counter[0] -.sym 5115 UART.tx_bit_counter[1] -.sym 5116 $false -.sym 5117 $false -.sym 5120 I2C.i2c_start_latency -.sym 5121 $abc$56607$n2043 -.sym 5122 I2C.i2c_state_machine -.sym 5123 I2C.is_read -.sym 5132 I2C.FLT_SDA.out -.sym 5133 $false -.sym 5134 $false -.sym 5135 $false -.sym 5136 $abc$56607$n1283 +.sym 5126 I2C.byte_counter[7] +.sym 5127 $abc$27697$n1288 +.sym 5128 I2C_OUTPUT_TYPE[1] +.sym 5129 I2C_OUTPUT_TYPE[0] +.sym 5132 I2C.byte_counter[0] +.sym 5133 $abc$27697$n1283 +.sym 5134 I2C_OUTPUT_TYPE[1] +.sym 5135 I2C_OUTPUT_TYPE[0] +.sym 5136 $abc$27697$n259 .sym 5137 CLK$2$2 -.sym 5138 $false -.sym 5213 KEYBOARD.init_ram_cnt[2] -.sym 5214 KEYBOARD.init_ram_cnt[3] -.sym 5215 KEYBOARD.init_ram_cnt[4] -.sym 5216 KEYBOARD.init_ram_cnt[8] -.sym 5219 KEYBOARD.init_ram_cnt[5] -.sym 5220 KEYBOARD.init_ram_cnt[6] -.sym 5221 KEYBOARD.init_ram_cnt[7] +.sym 5138 $abc$27697$n23$2 +.sym 5213 I2C.byte_counter[1] +.sym 5214 $false +.sym 5215 $false +.sym 5216 $false +.sym 5219 $abc$27697$n613 +.sym 5220 I2C.byte_counter[0] +.sym 5221 $abc$27697$n602 .sym 5222 $false -.sym 5231 KEYBOARD.init_ram_cnt[0] -.sym 5232 KEYBOARD.init_ram_cnt[1] -.sym 5233 $abc$56607$n924 -.sym 5234 $abc$56607$n925 -.sym 5237 $abc$56607$n2519 +.sym 5225 I2C.byte_counter[1] +.sym 5226 $false +.sym 5227 $false +.sym 5228 $false +.sym 5231 I2C.byte_counter[4] +.sym 5232 $false +.sym 5233 $false +.sym 5234 $false +.sym 5237 I2C.byte_counter[2] .sym 5238 $false .sym 5239 $false .sym 5240 $false -.sym 5249 KEYBOARD.init_ram_cnt[6] -.sym 5250 $false -.sym 5251 $false +.sym 5243 I2C_OUTPUT_TYPE[1] +.sym 5244 I2C_OUTPUT_TYPE[0] +.sym 5245 I2C.byte_counter[5] +.sym 5246 I2C.byte_counter[6] +.sym 5249 I2C_OUTPUT_TYPE[1] +.sym 5250 I2C_OUTPUT_TYPE[0] +.sym 5251 I2C.byte_counter[5] .sym 5252 $false -.sym 5255 KEYBOARD.init_ram_cnt[5] +.sym 5255 I2C.byte_counter[3] .sym 5256 $false .sym 5257 $false .sym 5258 $false -.sym 5259 $abc$56607$n1462 +.sym 5259 $abc$27697$n259 .sym 5260 CLK$2$2 -.sym 5261 KEYBOARD.init_ram_cnt[8] -.sym 5262 I2C_HID_DESC.VAL[0] -.sym 5263 I2C_HID_DESC.VAL[1] -.sym 5264 I2C_HID_DESC.VAL[2] -.sym 5265 I2C_HID_DESC.VAL[3] -.sym 5266 I2C_HID_DESC.VAL[4] -.sym 5267 I2C_HID_DESC.VAL[5] -.sym 5268 I2C_HID_DESC.VAL[6] -.sym 5269 I2C_HID_DESC.VAL[7] +.sym 5261 $abc$27697$n23$2 .sym 5298 $true -.sym 5335 $abc$56607$n2621$2 +.sym 5335 $abc$27697$n857$2 .sym 5336 $false -.sym 5337 $abc$56607$n2621 +.sym 5337 $abc$27697$n857 .sym 5338 $false .sym 5339 $false -.sym 5341 $auto$alumacc.cc:470:replace_alu$12026.C[2] -.sym 5343 $false -.sym 5344 $abc$56607$n2518 -.sym 5347 $auto$alumacc.cc:470:replace_alu$12026.C[3] +.sym 5341 $auto$alumacc.cc:470:replace_alu$5985.C[2] +.sym 5343 $true$2 +.sym 5344 $abc$27697$n1889 +.sym 5347 $auto$alumacc.cc:470:replace_alu$5985.C[3] .sym 5349 $false -.sym 5350 $abc$56607$n2604 -.sym 5353 $abc$56607$n2229$2 +.sym 5350 $abc$27697$n854 +.sym 5353 $auto$alumacc.cc:470:replace_alu$5985.C[4] .sym 5355 $false -.sym 5356 $abc$56607$n2606 -.sym 5363 $abc$56607$n2229$2 -.sym 5366 I2C_HID_DESC.VAL[5] -.sym 5367 REPORT_DATA.r_data[5] -.sym 5368 I2C_OUT_DESC_MASK[5] -.sym 5369 $false -.sym 5372 $abc$56607$n2518 -.sym 5373 $abc$56607$n2519 -.sym 5374 $false -.sym 5375 $false -.sym 5378 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5356 $abc$27697$n853 +.sym 5359 $auto$alumacc.cc:470:replace_alu$5985.C[5] +.sym 5361 $false +.sym 5362 $abc$27697$n851 +.sym 5365 $auto$alumacc.cc:470:replace_alu$5985.C[6] +.sym 5367 $false +.sym 5368 $abc$27697$n850 +.sym 5371 $auto$alumacc.cc:470:replace_alu$5985.C[7] +.sym 5373 $false +.sym 5374 $abc$27697$n848 +.sym 5377 $abc$27697$n1956$2 .sym 5379 $false -.sym 5380 $false -.sym 5381 $false -.sym 5382 $abc$56607$n1191 -.sym 5383 CLK$2$2 -.sym 5384 $abc$56607$n35$2 -.sym 5459 $abc$56607$n670 -.sym 5460 I2C.is_read -.sym 5461 $false -.sym 5462 $false -.sym 5465 I2C.byte_counter[0] -.sym 5466 I2C.byte_counter[1] -.sym 5467 $false +.sym 5380 $abc$27697$n847 +.sym 5462 $abc$27697$n1956$2 +.sym 5465 I2C.FLT_SCL.RESET$2 +.sym 5466 $abc$27697$n574 +.sym 5467 I2C.is_read .sym 5468 $false -.sym 5471 I2C.byte_counter[7] -.sym 5472 $abc$56607$n2477 -.sym 5473 I2C_OUTPUT_TYPE[1] -.sym 5474 I2C_OUTPUT_TYPE[0] -.sym 5477 I2C.byte_counter[0] -.sym 5478 $abc$56607$n2471 -.sym 5479 I2C_OUTPUT_TYPE[1] -.sym 5480 I2C_OUTPUT_TYPE[0] -.sym 5483 I2C_OUTPUT_TYPE[1] -.sym 5484 I2C_OUTPUT_TYPE[0] -.sym 5485 I2C.byte_counter[5] -.sym 5486 I2C.byte_counter[6] -.sym 5489 I2C.byte_counter[4] -.sym 5490 $false +.sym 5471 $abc$27697$n602 +.sym 5472 $abc$27697$n1956 +.sym 5473 $abc$27697$n1961 +.sym 5474 $false +.sym 5477 I2C_HID_DESC.VAL[7] +.sym 5478 I2C_TX_REPORT[7] +.sym 5479 I2C_OUT_DESC_MASK[7] +.sym 5480 $false +.sym 5483 $false +.sym 5484 $false +.sym 5485 $false +.sym 5486 $false +.sym 5489 $abc$27697$n613 +.sym 5490 $abc$27697$n1098 .sym 5491 $false .sym 5492 $false -.sym 5495 I2C.byte_counter[1] -.sym 5496 $false -.sym 5497 $false -.sym 5498 $false -.sym 5501 I2C_OUTPUT_TYPE[1] -.sym 5502 I2C_OUTPUT_TYPE[0] -.sym 5503 I2C.byte_counter[5] -.sym 5504 $false -.sym 5505 $abc$56607$n1492 +.sym 5495 $abc$27697$n1956 +.sym 5496 $abc$27697$n874 +.sym 5497 $abc$27697$n615 +.sym 5498 $abc$27697$n1961 +.sym 5501 $abc$27697$n1956 +.sym 5502 $abc$27697$n862 +.sym 5503 $abc$27697$n615 +.sym 5504 $abc$27697$n1961 +.sym 5505 $abc$27697$n285 .sym 5506 CLK$2$2 -.sym 5507 $abc$56607$n35$2 -.sym 5508 REPORT_DATA.r_data[0] -.sym 5510 REPORT_DATA.r_data[1] -.sym 5512 REPORT_DATA.r_data[2] -.sym 5514 REPORT_DATA.r_data[3] -.sym 5582 I2C_HID_DESC.VAL[7] -.sym 5583 REPORT_DATA.r_data[7] -.sym 5584 I2C_OUT_DESC_MASK[7] +.sym 5507 $abc$27697$n741$2 +.sym 5582 KEYBOARD.kbd_code_hid[0] +.sym 5583 KEYBOARD.kbd_code_hid[1] +.sym 5584 KEYBOARD.kbd_code_hid[2] .sym 5585 $false -.sym 5588 I2C_INPUT_LEN[4] -.sym 5589 I2C_INPUT_LEN[5] -.sym 5590 I2C_INPUT_LEN[6] -.sym 5591 I2C_INPUT_LEN[7] -.sym 5594 I2C_INPUT_LEN[4] -.sym 5595 $false -.sym 5596 $false +.sym 5588 $abc$27697$n863 +.sym 5589 KEYBOARD.report[0][1] +.sym 5590 $abc$27697$n849 +.sym 5591 $abc$27697$n867 +.sym 5594 KEYBOARD.kbd_code_hid[0] +.sym 5595 KEYBOARD.kbd_code_hid[1] +.sym 5596 KEYBOARD.kbd_code_hid[2] .sym 5597 $false -.sym 5600 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5601 $false -.sym 5602 $false -.sym 5603 $false -.sym 5606 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5607 $false -.sym 5608 $false -.sym 5609 $false -.sym 5612 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5613 $false -.sym 5614 $false -.sym 5615 $false -.sym 5618 $abc$56607$n1093 -.sym 5619 $abc$56607$n1099_1 -.sym 5620 $false -.sym 5621 $false -.sym 5624 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5625 $false -.sym 5626 $false -.sym 5627 $false -.sym 5628 $abc$56607$n1191 +.sym 5600 $abc$27697$n849 +.sym 5601 KEYBOARD.report[0][3] +.sym 5602 $abc$27697$n875 +.sym 5603 $abc$27697$n867 +.sym 5612 $abc$27697$n849 +.sym 5613 KEYBOARD.report[0][2] +.sym 5614 $abc$27697$n869 +.sym 5615 $abc$27697$n847_1 +.sym 5618 $abc$27697$n851_1 +.sym 5619 KEYBOARD.report[0][0] +.sym 5620 $abc$27697$n849 +.sym 5621 $abc$27697$n847_1 +.sym 5628 $abc$27697$n285 .sym 5629 CLK$2$2 -.sym 5630 $abc$56607$n35$2 -.sym 5631 REPORT_DATA.r_data[4] -.sym 5633 REPORT_DATA.r_data[5] -.sym 5635 REPORT_DATA.r_data[6] -.sym 5637 REPORT_DATA.r_data[7] -.sym 5667 $true -.sym 5704 I2C.byte_counter[5]$2 -.sym 5705 $false -.sym 5706 I2C.byte_counter[5] -.sym 5707 $false +.sym 5630 $abc$27697$n741$2 +.sym 5705 KEYBOARD.kbd_code_hid[1] +.sym 5706 KEYBOARD.kbd_code_hid[0] +.sym 5707 KEYBOARD.kbd_code_hid[2] .sym 5708 $false -.sym 5710 $auto$alumacc.cc:470:replace_alu$12164.C[7] -.sym 5712 $false -.sym 5713 I2C.byte_counter[6] -.sym 5717 $false -.sym 5718 $false -.sym 5719 I2C.byte_counter[7] -.sym 5720 $auto$alumacc.cc:470:replace_alu$12164.C[7] -.sym 5723 I2C.received_byte[6] -.sym 5724 $false -.sym 5725 $false +.sym 5711 KEYBOARD.kbd_code_hid[1] +.sym 5712 KEYBOARD.kbd_code_hid[2] +.sym 5713 KEYBOARD.kbd_code_hid[0] +.sym 5714 $false +.sym 5717 $abc$27697$n25$2 +.sym 5718 KEYBOARD.report[0][0] +.sym 5719 $abc$27697$n566 +.sym 5720 $false +.sym 5723 $abc$27697$n25$2 +.sym 5724 KEYBOARD.report[0][1] +.sym 5725 $abc$27697$n1015 .sym 5726 $false -.sym 5729 I2C_INPUT_LEN[3] -.sym 5730 $false -.sym 5731 $false +.sym 5729 $abc$27697$n25$2 +.sym 5730 KEYBOARD.report[0][6] +.sym 5731 $abc$27697$n1025 .sym 5732 $false -.sym 5735 I2C_INPUT_LEN[1] -.sym 5736 $false -.sym 5737 $false +.sym 5735 $abc$27697$n25$2 +.sym 5736 KEYBOARD.report[0][5] +.sym 5737 $abc$27697$n1023 .sym 5738 $false -.sym 5741 $abc$56607$n1052 -.sym 5742 I2C.received_byte[5] -.sym 5743 I2C.is_read +.sym 5741 $abc$27697$n25$2 +.sym 5742 KEYBOARD.report[0][3] +.sym 5743 $abc$27697$n1019 .sym 5744 $false -.sym 5747 $abc$56607$n1056 -.sym 5748 I2C.received_byte[7] -.sym 5749 I2C.is_read +.sym 5747 $abc$27697$n25$2 +.sym 5748 KEYBOARD.report[0][2] +.sym 5749 $abc$27697$n1017 .sym 5750 $false -.sym 5751 $abc$56607$n888 +.sym 5751 $abc$27697$n659 .sym 5752 CLK$2$2 -.sym 5753 $abc$56607$n19 -.sym 5828 $abc$56607$n1180 -.sym 5829 $abc$56607$n795 -.sym 5830 $false -.sym 5831 $false -.sym 5834 $abc$56607$n788 -.sym 5835 $abc$56607$n21 -.sym 5836 $abc$56607$n754 -.sym 5837 $abc$56607$n742 -.sym 5840 I2C_TRANS -.sym 5841 last_trans -.sym 5842 $false -.sym 5843 $false -.sym 5846 $abc$56607$n742 -.sym 5847 $abc$56607$n747 -.sym 5848 $abc$56607$n754 +.sym 5753 $false +.sym 5834 KEYBOARD.kbd_code_hid[2] +.sym 5835 KEYBOARD.report[3][2] +.sym 5836 KEYBOARD.kbd_code_hid[3] +.sym 5837 KEYBOARD.report[3][3] +.sym 5840 $abc$27697$n855 +.sym 5841 KEYBOARD.report[4][2] +.sym 5842 $abc$27697$n849 +.sym 5843 $abc$27697$n870 +.sym 5846 $abc$27697$n690_1 +.sym 5847 $abc$27697$n691_1 +.sym 5848 $abc$27697$n683 .sym 5849 $false -.sym 5852 $abc$56607$n754 -.sym 5853 $abc$56607$n788 -.sym 5854 I2C.FLT_SCL.RESET +.sym 5852 KEYBOARD.kbd_code_hid[2] +.sym 5853 $false +.sym 5854 $false .sym 5855 $false -.sym 5858 $abc$56607$n826 -.sym 5859 $abc$56607$n2580 -.sym 5860 $abc$56607$n2570 -.sym 5861 $abc$56607$n21 -.sym 5864 KEYBOARD.init_ram_cnt[4] -.sym 5865 $false -.sym 5866 $false -.sym 5867 $false -.sym 5870 KEYBOARD.init_ram_cnt[7] +.sym 5858 KEYBOARD.kbd_code_hid[1] +.sym 5859 $false +.sym 5860 $false +.sym 5861 $false +.sym 5870 KEYBOARD.kbd_code_hid[3] .sym 5871 $false .sym 5872 $false .sym 5873 $false -.sym 5874 $abc$56607$n1462 +.sym 5874 $abc$27697$n618 .sym 5875 CLK$2$2 -.sym 5876 KEYBOARD.init_ram_cnt[8] -.sym 5913 $true -.sym 5950 report_data_radr[0]$2 -.sym 5951 $false -.sym 5952 report_data_radr[0] -.sym 5953 $false -.sym 5954 $false -.sym 5956 $auto$alumacc.cc:470:replace_alu$12120.C[2] -.sym 5958 $false -.sym 5959 report_data_radr[1] -.sym 5962 $auto$alumacc.cc:470:replace_alu$12120.C[3] -.sym 5963 $false +.sym 5876 $abc$27697$n25$2 +.sym 5957 $abc$27697$n858 +.sym 5958 KEYBOARD.report[3][2] +.sym 5959 $abc$27697$n871 +.sym 5960 $abc$27697$n872 +.sym 5963 KEYBOARD.kbd_code_hid[6] .sym 5964 $false -.sym 5965 report_data_radr[2] -.sym 5966 $auto$alumacc.cc:470:replace_alu$12120.C[2] -.sym 5968 $auto$alumacc.cc:470:replace_alu$12120.C[4] -.sym 5969 $false +.sym 5965 $false +.sym 5966 $false +.sym 5969 KEYBOARD.kbd_code_hid[5] .sym 5970 $false -.sym 5971 report_data_radr[3] -.sym 5972 $auto$alumacc.cc:470:replace_alu$12120.C[3] -.sym 5974 $auto$alumacc.cc:470:replace_alu$12120.C[5] -.sym 5975 $false -.sym 5976 $false -.sym 5977 report_data_radr[4] -.sym 5978 $auto$alumacc.cc:470:replace_alu$12120.C[4] -.sym 5980 $auto$alumacc.cc:470:replace_alu$12120.C[6] -.sym 5981 $false +.sym 5971 $false +.sym 5972 $false +.sym 5981 KEYBOARD.kbd_code_hid[4] .sym 5982 $false -.sym 5983 report_data_radr[5] -.sym 5984 $auto$alumacc.cc:470:replace_alu$12120.C[5] -.sym 5986 $auto$alumacc.cc:470:replace_alu$12120.C[7] -.sym 5987 $false -.sym 5988 $false -.sym 5989 report_data_radr[6] -.sym 5990 $auto$alumacc.cc:470:replace_alu$12120.C[6] -.sym 5993 $false -.sym 5994 $false -.sym 5995 report_data_radr[7] -.sym 5996 $auto$alumacc.cc:470:replace_alu$12120.C[7] -.sym 6074 $10\ring_rd[3:0][0] -.sym 6075 $10\ring_rd[3:0][2] +.sym 5983 $false +.sym 5984 $false +.sym 5997 $abc$27697$n618 +.sym 5998 CLK$2$2 +.sym 5999 $abc$27697$n25$2 +.sym 6036 $true +.sym 6073 KEYBOARD.kbd_code_hid[0]$2 +.sym 6074 $false +.sym 6075 KEYBOARD.kbd_code_hid[0] .sym 6076 $false .sym 6077 $false -.sym 6080 $abc$56607$n763 -.sym 6081 ring_rd[0] -.sym 6082 ring_rd[1] -.sym 6083 $false -.sym 6086 $abc$56607$n1830 -.sym 6087 $abc$56607$n2545 -.sym 6088 $abc$56607$n2543 -.sym 6089 $abc$56607$n21 -.sym 6092 $abc$56607$n1827 -.sym 6093 $10\ring_rd[3:0][0] -.sym 6094 $10\ring_rd[3:0][2] -.sym 6095 $abc$56607$n21 -.sym 6098 $abc$56607$n1832 -.sym 6099 $abc$56607$n1833 -.sym 6100 $abc$56607$n21 -.sym 6101 $false -.sym 6104 $abc$56607$n1835 -.sym 6105 $abc$56607$n1836 -.sym 6106 $abc$56607$n21 -.sym 6107 $false -.sym 6110 $10\ring_rd[3:0][1] -.sym 6111 $abc$56607$n1824 -.sym 6112 $abc$56607$n21 -.sym 6113 $false -.sym 6116 $abc$56607$n1838 -.sym 6117 $abc$56607$n1839 -.sym 6118 $abc$56607$n21 -.sym 6119 $false -.sym 6120 $abc$56607$n1050 -.sym 6121 CLK$2$2 -.sym 6122 $false -.sym 6159 $false -.sym 6196 $auto$maccmap.cc:240:synth$12778.C[5] -.sym 6198 $abc$56607$n2543 -.sym 6199 $abc$56607$n2545 -.sym 6202 $auto$maccmap.cc:240:synth$12778.C[6] -.sym 6203 $false -.sym 6204 $10\ring_rd[3:0][2] -.sym 6205 $abc$56607$n2691 -.sym 6206 $auto$maccmap.cc:240:synth$12778.C[5] -.sym 6208 $auto$maccmap.cc:240:synth$12778.C[7] -.sym 6209 $false -.sym 6210 $10\ring_rd[3:0][3] -.sym 6211 $false -.sym 6212 $auto$maccmap.cc:240:synth$12778.C[6] -.sym 6215 $false -.sym 6216 $false -.sym 6217 $false -.sym 6218 $auto$maccmap.cc:240:synth$12778.C[7] -.sym 6221 $10\ring_rd[3:0][1] -.sym 6222 $10\ring_rd[3:0][3] -.sym 6223 $false -.sym 6224 $false -.sym 6227 ring_rd[0] -.sym 6228 $abc$56607$n1811 -.sym 6229 $abc$56607$n763 -.sym 6230 $false -.sym 6233 $false -.sym 6234 $true$2 -.sym 6235 ring_rd[0] -.sym 6236 $false -.sym 6239 $10\ring_rd[3:0][1] -.sym 6240 $10\ring_rd[3:0][3] -.sym 6241 $false -.sym 6242 $false +.sym 6079 $auto$alumacc.cc:470:replace_alu$5945.C[2] +.sym 6081 KEYBOARD.kbd_code_hid[1] +.sym 6082 $false +.sym 6085 $auto$alumacc.cc:470:replace_alu$5945.C[3] +.sym 6087 KEYBOARD.kbd_code_hid[2] +.sym 6088 $false +.sym 6091 $auto$alumacc.cc:470:replace_alu$5945.C[4] +.sym 6093 KEYBOARD.kbd_code_hid[3] +.sym 6094 $false +.sym 6097 $auto$alumacc.cc:470:replace_alu$5945.C[5] +.sym 6099 KEYBOARD.kbd_code_hid[4] +.sym 6100 $false +.sym 6103 $auto$alumacc.cc:470:replace_alu$5945.C[6] +.sym 6105 KEYBOARD.kbd_code_hid[5] +.sym 6106 $true$2 +.sym 6109 $auto$alumacc.cc:470:replace_alu$5945.C[7] +.sym 6111 KEYBOARD.kbd_code_hid[6] +.sym 6112 $false +.sym 6115 $abc$27697$n1947$2 +.sym 6117 KEYBOARD.kbd_code_hid[7] +.sym 6118 $false +.sym 6200 $abc$27697$n1947$2 .sym 6418 KBD_COLUMNS[0]$2 .sym 6420 KBD_COLUMNS[1]$2 -.sym 6422 $abc$56607$n2246 -.sym 6423 KEYBOARD.kbd_code[2] -.sym 6425 KEYBOARD.row_counter[1] -.sym 6426 KEYBOARD.kbd_code[3] -.sym 6427 KEYBOARD.kbd_code[7] -.sym 6428 KEYBOARD.kbd_code[5] -.sym 6429 KEYBOARD.kbd_code[6] -.sym 6438 $false -.sym 6439 $false -.sym 6441 $false -.sym 6442 $false -.sym 6443 $false -.sym 6444 $false -.sym 6445 $false -.sym 6446 $false -.sym 6449 $false -.sym 6450 $false$2 -.sym 6451 $false -.sym 6452 $false -.sym 6453 $false -.sym 6454 $false -.sym 6455 $false -.sym 6456 $false -.sym 6457 $false -.sym 6458 $false -.sym 6459 $false -.sym 6564 $abc$56607$n1249 -.sym 6565 $abc$56607$n2616 -.sym 6566 $abc$56607$n2049 -.sym 6567 I2C.i2c_bit_counter[2] -.sym 6576 KEYBOARD.kbd_code[0] -.sym 6577 KEYBOARD.kbd_code[1] -.sym 6579 KEYBOARD.kbd_code[2] -.sym 6580 KEYBOARD.kbd_code[3] -.sym 6581 KEYBOARD.kbd_code[4] -.sym 6582 KEYBOARD.kbd_code[5] -.sym 6583 KEYBOARD.kbd_code[6] -.sym 6584 KEYBOARD.kbd_code[7] -.sym 6587 CLK$2$2 -.sym 6588 $true -.sym 6589 $true$2 -.sym 6662 $abc$56607$n878 -.sym 6663 $abc$56607$n1268_1 -.sym 6664 $abc$56607$n1285 -.sym 6665 $abc$56607$n1291 -.sym 6666 $abc$56607$n1293 -.sym 6667 $abc$56607$n1319 -.sym 6668 $abc$56607$n1290_1 -.sym 6669 $abc$56607$n16 -.sym 6670 $undef -.sym 6671 $undef -.sym 6672 $undef -.sym 6673 $undef -.sym 6674 $undef -.sym 6675 $undef -.sym 6676 $undef -.sym 6677 $undef -.sym 6678 KEYBOARD.ram_adr[0] -.sym 6679 KEYBOARD.ram_adr[1] -.sym 6680 $false -.sym 6681 KEYBOARD.ram_adr[2] -.sym 6682 KEYBOARD.ram_adr[3] -.sym 6683 KEYBOARD.ram_adr[4] -.sym 6684 KEYBOARD.ram_adr[5] -.sym 6685 KEYBOARD.ram_adr[6] -.sym 6686 KEYBOARD.ram_adr[7] -.sym 6687 $false -.sym 6688 $false -.sym 6689 CLK$2$2 -.sym 6690 KEYBOARD.ram_wr -.sym 6691 KEYBOARD.temp[0] -.sym 6692 $undef -.sym 6693 KEYBOARD.temp[1] -.sym 6694 $undef -.sym 6695 KEYBOARD.temp[2] -.sym 6696 $undef -.sym 6697 KEYBOARD.temp[3] -.sym 6698 $undef -.sym 6699 $true$2 -.sym 6764 $abc$56607$n1314 -.sym 6765 $abc$56607$n1318 -.sym 6766 $abc$56607$n1322 -.sym 6767 $abc$56607$n1320_1 -.sym 6768 $abc$56607$n1267 -.sym 6769 $abc$56607$n1271 -.sym 6770 $abc$56607$n1317 -.sym 6771 I2C.wr -.sym 6772 $undef -.sym 6773 $undef -.sym 6774 $undef -.sym 6775 $undef -.sym 6776 $undef -.sym 6777 $undef -.sym 6778 $undef -.sym 6779 $undef -.sym 6780 KEYBOARD.ram_adr[0] -.sym 6781 KEYBOARD.ram_adr[1] -.sym 6782 $false -.sym 6783 KEYBOARD.ram_adr[2] -.sym 6784 KEYBOARD.ram_adr[3] -.sym 6785 KEYBOARD.ram_adr[4] -.sym 6786 KEYBOARD.ram_adr[5] -.sym 6787 KEYBOARD.ram_adr[6] -.sym 6788 KEYBOARD.ram_adr[7] -.sym 6789 $false -.sym 6790 $false -.sym 6791 CLK$2$2 -.sym 6792 $true -.sym 6793 $true$2 -.sym 6794 KEYBOARD.temp[5] -.sym 6795 $undef -.sym 6796 KEYBOARD.temp[6] -.sym 6797 $undef -.sym 6798 KEYBOARD.temp[7] -.sym 6799 $undef -.sym 6800 KEYBOARD.temp[4] -.sym 6801 $undef -.sym 6868 $abc$56607$n2495 -.sym 6869 $abc$56607$n872 -.sym 6870 KEYBOARD.ram_adr[1] -.sym 6871 KEYBOARD.ram_adr[0] -.sym 6872 KEYBOARD.ram_adr[2] -.sym 6873 KEYBOARD.ram_adr[3] -.sym 6970 $abc$56607$n2603 -.sym 6971 $abc$56607$n2605 -.sym 6972 $abc$56607$n1268 -.sym 6973 $abc$56607$n2604 -.sym 6974 $abc$56607$n887 -.sym 6975 I2C.received_byte[2] -.sym 7070 $abc$56607$n1264 -.sym 7071 $abc$56607$n1258 -.sym 7072 $abc$56607$n1261 -.sym 7073 $abc$56607$n1266 -.sym 7074 $abc$56607$n1265 -.sym 7075 $abc$56607$n1263 -.sym 7076 $abc$56607$n1262 -.sym 7077 I2C.received_byte[1] -.sym 7172 $abc$56607$n2509 -.sym 7174 $abc$56607$n1054 -.sym 7175 $abc$56607$n1459 -.sym 7176 I2C_OUT_DESC_MASK[3] -.sym 7177 I2C_OUT_DESC_MASK[6] +.sym 6429 $abc$27697$n1960 +.sym 6560 $abc$27697$n1927 +.sym 6561 $abc$27697$n1922 +.sym 6562 $abc$27697$n1923 +.sym 6563 $abc$27697$n1486 +.sym 6566 I2C.is_ack +.sym 6567 $abc$27697$n16 +.sym 6662 $abc$27697$n913 +.sym 6663 $abc$27697$n651_1 +.sym 6664 $abc$27697$n917_1 +.sym 6665 $abc$27697$n634 +.sym 6666 $abc$27697$n1928 +.sym 6667 $abc$27697$n1116 +.sym 6668 $abc$27697$n916 +.sym 6669 I2C_INPUT_LEN[7] +.sym 6764 $abc$27697$n1131_1 +.sym 6765 $abc$27697$n914 +.sym 6766 $abc$27697$n971 +.sym 6767 $abc$27697$n936 +.sym 6768 $abc$27697$n927 +.sym 6769 $abc$27697$n973 +.sym 6770 $abc$27697$n1107 +.sym 6771 I2C.i2c_state_machine +.sym 6866 $abc$27697$n1492 +.sym 6867 $abc$27697$n941 +.sym 6868 $abc$27697$n1913 +.sym 6869 $abc$27697$n972 +.sym 6870 $abc$27697$n650 +.sym 6871 $abc$27697$n937 +.sym 6872 I2C.wr +.sym 6873 I2C.i2c_bit_counter[2] +.sym 6968 $abc$27697$n921 +.sym 6969 $abc$27697$n579 +.sym 6971 $abc$27697$n1283 +.sym 6972 I2C_HID_DESC.last_rd_request +.sym 7070 $abc$27697$n850 +.sym 7071 $abc$27697$n848 +.sym 7072 $abc$27697$n815 +.sym 7073 $abc$27697$n851 +.sym 7074 $abc$27697$n811 +.sym 7075 $abc$27697$n813 +.sym 7076 I2C_OUT_DESC_MASK[5] +.sym 7077 I2C_OUT_DESC_MASK[6] +.sym 7086 $false +.sym 7087 $false +.sym 7089 $false +.sym 7090 $false +.sym 7091 $false +.sym 7092 $false +.sym 7093 $false +.sym 7094 $false +.sym 7097 $false +.sym 7098 $false$2 +.sym 7099 $false +.sym 7100 $false +.sym 7101 $false +.sym 7102 $false +.sym 7103 $false +.sym 7104 $false +.sym 7105 $false +.sym 7106 $false +.sym 7107 $false +.sym 7172 $abc$27697$n805 +.sym 7173 $abc$27697$n807 +.sym 7174 $abc$27697$n803 +.sym 7175 $abc$27697$n853 +.sym 7176 $abc$27697$n857 +.sym 7177 I2C_OUT_DESC_MASK[2] +.sym 7178 I2C_OUT_DESC_MASK[0] .sym 7179 I2C_OUT_DESC_MASK[1] -.sym 7274 COM_TX$2 -.sym 7275 $abc$56607$n663 -.sym 7276 $abc$56607$n2471 -.sym 7277 $abc$56607$n1046 -.sym 7278 $abc$56607$n1044 -.sym 7279 $abc$56607$n658 -.sym 7280 $abc$56607$n1048 -.sym 7281 $abc$56607$n10 -.sym 7290 $false -.sym 7291 $false -.sym 7293 $false -.sym 7294 $false -.sym 7295 $false -.sym 7296 $false -.sym 7297 $false -.sym 7298 $false -.sym 7301 $false -.sym 7302 $false$2 -.sym 7303 $false -.sym 7304 $false -.sym 7305 $false -.sym 7306 $false -.sym 7307 $false -.sym 7308 $false -.sym 7309 $false -.sym 7310 $false -.sym 7311 $false -.sym 7376 $abc$56607$n1050_1 -.sym 7377 $abc$56607$n662 -.sym 7378 $abc$56607$n659 -.sym 7379 $abc$56607$n1042 -.sym 7380 UART_TX_DATA[0] -.sym 7381 UART_TX_DATA[2] -.sym 7382 UART_TX_DATA[6] -.sym 7383 UART_TX_DATA[4] -.sym 7392 I2C_HID_DESC.real_adress[0] -.sym 7393 I2C_HID_DESC.real_adress[1] -.sym 7395 I2C_HID_DESC.real_adress[2] -.sym 7396 I2C_HID_DESC.real_adress[3] -.sym 7397 I2C_HID_DESC.real_adress[4] -.sym 7398 I2C_HID_DESC.real_adress[5] -.sym 7399 I2C_HID_DESC.real_adress[6] -.sym 7400 I2C_HID_DESC.real_adress[7] -.sym 7403 CLK$2$2 -.sym 7404 $true -.sym 7405 $true$2 -.sym 7478 $abc$56607$n665 -.sym 7479 $abc$56607$n861 -.sym 7480 $abc$56607$n2608 -.sym 7481 $abc$56607$n857 -.sym 7482 $abc$56607$n664 -.sym 7483 $abc$56607$n858 -.sym 7484 UART_TX_DATA[1] -.sym 7485 UART_TX_DATA[3] -.sym 7486 $undef -.sym 7487 $undef -.sym 7488 $undef -.sym 7489 $undef -.sym 7490 $undef -.sym 7491 $undef -.sym 7492 $undef -.sym 7493 $undef -.sym 7494 report_data_wadr[0] -.sym 7495 report_data_wadr[1] -.sym 7496 $false -.sym 7497 report_data_wadr[2] -.sym 7498 report_data_wadr[3] -.sym 7499 report_data_wadr[4] -.sym 7500 report_data_wadr[5] -.sym 7501 report_data_wadr[6] -.sym 7502 report_data_wadr[7] -.sym 7503 $false -.sym 7504 $false -.sym 7505 CLK$2$2 -.sym 7506 report_wr_en -.sym 7507 report_data_wr[0] -.sym 7508 $undef -.sym 7509 report_data_wr[1] -.sym 7510 $undef -.sym 7511 report_data_wr[2] -.sym 7512 $undef -.sym 7513 report_data_wr[3] -.sym 7514 $undef -.sym 7515 $true$2 -.sym 7580 $abc$56607$n280 -.sym 7581 $abc$56607$n999 -.sym 7582 $abc$56607$n1039 -.sym 7583 $abc$56607$n1465 -.sym 7584 $abc$56607$n273 -.sym 7585 $abc$56607$n277 -.sym 7586 $abc$56607$n282 -.sym 7587 report_data_radr[0] -.sym 7588 $undef -.sym 7589 $undef -.sym 7590 $undef -.sym 7591 $undef -.sym 7592 $undef -.sym 7593 $undef -.sym 7594 $undef -.sym 7595 $undef -.sym 7596 report_data_radr[0] -.sym 7597 report_data_radr[1] -.sym 7598 $false -.sym 7599 report_data_radr[2] -.sym 7600 report_data_radr[3] -.sym 7601 report_data_radr[4] -.sym 7602 report_data_radr[5] -.sym 7603 report_data_radr[6] -.sym 7604 report_data_radr[7] -.sym 7605 $false -.sym 7606 $false -.sym 7607 CLK$2$2 -.sym 7608 $true -.sym 7609 $true$2 -.sym 7610 report_data_wr[5] -.sym 7611 $undef -.sym 7612 report_data_wr[6] -.sym 7613 $undef -.sym 7614 report_data_wr[7] -.sym 7615 $undef -.sym 7616 report_data_wr[4] -.sym 7617 $undef -.sym 7683 $abc$56607$n279 -.sym 7688 COM_DSR$2 -.sym 7689 report_data_radr[1] -.sym 7785 $10\ring_rd[3:0][2] -.sym 7787 $10\ring_rd[3:0][3] -.sym 7789 I2C.FLT_SCL.out -.sym 7888 $abc$56607$n1639 -.sym 7889 $abc$56607$n1009 -.sym 7890 $abc$56607$n1511 -.sym 7892 $abc$56607$n2484 -.sym 7893 I2C.FLT_SCL.counter[2] -.sym 8118 $abc$56607$n1343 -.sym 8119 $abc$56607$n1344 -.sym 8120 $abc$56607$n691 -.sym 8122 $abc$56607$n696 -.sym 8123 $abc$56607$n1492_1 -.sym 8124 KEYBOARD.temp[6] -.sym 8125 KEYBOARD.temp[5] +.sym 7188 I2C_HID_DESC.real_adress[0] +.sym 7189 I2C_HID_DESC.real_adress[1] +.sym 7191 I2C_HID_DESC.real_adress[2] +.sym 7192 I2C_HID_DESC.real_adress[3] +.sym 7193 I2C_HID_DESC.real_adress[4] +.sym 7194 I2C_HID_DESC.real_adress[5] +.sym 7195 I2C_HID_DESC.real_adress[6] +.sym 7196 I2C_HID_DESC.real_adress[7] +.sym 7199 CLK$2$2 +.sym 7200 $true +.sym 7201 $true$2 +.sym 7274 $abc$27697$n809 +.sym 7275 $abc$27697$n741 +.sym 7276 I2C_OUT_DESC_MASK[3] +.sym 7277 I2C_OUTPUT_TYPE[1] +.sym 7278 I2C_OUT_DESC_MASK[7] +.sym 7280 I2C_OUTPUT_TYPE[0] +.sym 7281 I2C_OUTPUT_TYPE[2] +.sym 7376 I2C_TX_REPORT[6] +.sym 7379 I2C_TX_REPORT[7] +.sym 7381 I2C_TX_REPORT[4] +.sym 7383 I2C_TX_REPORT[5] +.sym 7478 $abc$27697$n694_1 +.sym 7479 $abc$27697$n1017 +.sym 7480 $abc$27697$n895 +.sym 7481 $abc$27697$n1019 +.sym 7482 $abc$27697$n1025 +.sym 7483 $abc$27697$n696 +.sym 7484 KEYBOARD.report[4][0] +.sym 7485 KEYBOARD.report[4][7] +.sym 7580 $abc$27697$n875 +.sym 7581 $abc$27697$n681 +.sym 7582 $abc$27697$n727 +.sym 7583 $abc$27697$n732_1 +.sym 7584 $abc$27697$n697 +.sym 7585 $abc$27697$n1135_1 +.sym 7586 COM_DSR$2 +.sym 7587 $abc$27697$n10 +.sym 7682 $abc$27697$n1137_1 +.sym 7683 $abc$27697$n1136 +.sym 7684 $abc$27697$n885_1 +.sym 7685 $abc$27697$n618 +.sym 7686 $abc$27697$n698_1 +.sym 7687 $abc$27697$n730 +.sym 7688 $abc$27697$n714 +.sym 7689 $abc$27697$n1138_1 +.sym 7784 $abc$27697$n716 +.sym 7785 $abc$27697$n1119 +.sym 7786 $abc$27697$n556 +.sym 7787 KEYBOARD.report[6][1] +.sym 7788 KEYBOARD.report[6][7] +.sym 7789 KEYBOARD.report[6][2] +.sym 7790 KEYBOARD.report[6][0] +.sym 7791 KEYBOARD.report[6][3] +.sym 7891 $abc$27697$n1946 +.sym 7892 $abc$27697$n693 +.sym 8120 $abc$27697$n1408 +.sym 8123 rststate[0] .sym 8242 KBD_COLUMNS[2]$2 .sym 8244 KBD_COLUMNS[3]$2 -.sym 8246 $abc$56607$n1490_1 -.sym 8247 $abc$56607$n1347 -.sym 8248 $abc$56607$n1341 -.sym 8249 $abc$56607$n1349 -.sym 8250 $abc$56607$n2273 -.sym 8251 $abc$56607$n2287 -.sym 8252 KEYBOARD.kbd_code[1] -.sym 8253 KEYBOARD.kbd_code[0] -.sym 8356 $abc$56607$n33$2 -.sym 8357 KEYBOARD.row_counter[0] -.sym 8358 KEYBOARD.row_counter[1] +.sym 8253 KEYBOARD.COLS_SHADOW[3] +.sym 8318 $true +.sym 8355 $abc$27697$n1922$2 +.sym 8356 $false +.sym 8357 $abc$27697$n1922 +.sym 8358 $false .sym 8359 $false -.sym 8362 $abc$56607$n2273 +.sym 8361 $auto$alumacc.cc:470:replace_alu$6001.C[3] .sym 8363 $false -.sym 8364 $false -.sym 8365 $false -.sym 8374 $abc$56607$n2246 +.sym 8364 $abc$27697$n1923 +.sym 8367 $auto$alumacc.cc:470:replace_alu$6001.C[4] +.sym 8369 $false +.sym 8370 $abc$27697$n1924 +.sym 8373 $auto$alumacc.cc:470:replace_alu$6001.C[5] .sym 8375 $false -.sym 8376 $false -.sym 8377 $false -.sym 8380 $abc$56607$n2287 -.sym 8381 $abc$56607$n2244 -.sym 8382 $false -.sym 8383 $false -.sym 8386 $abc$56607$n2287 +.sym 8376 $abc$27697$n1925 +.sym 8379 $auto$alumacc.cc:470:replace_alu$6001.C[6] +.sym 8381 $false +.sym 8382 $abc$27697$n1926 +.sym 8385 $auto$alumacc.cc:470:replace_alu$6001.C[7] .sym 8387 $false -.sym 8388 $false -.sym 8389 $false -.sym 8392 $abc$56607$n2287 -.sym 8393 $abc$56607$n2248 -.sym 8394 $false -.sym 8395 $false -.sym 8398 $abc$56607$n2287 -.sym 8399 $abc$56607$n2250 -.sym 8400 $false -.sym 8401 $false -.sym 8402 $abc$56607$n1490$2 -.sym 8403 CLK$2$2 -.sym 8404 $false -.sym 8405 $abc$56607$n702 -.sym 8406 $abc$56607$n726 -.sym 8407 $abc$56607$n703 -.sym 8408 $abc$56607$n692 -.sym 8409 $abc$56607$n685 -.sym 8410 $abc$56607$n708 -.sym 8411 KEYBOARD.temp[2] -.sym 8412 KEYBOARD.temp[4] -.sym 8441 $true -.sym 8478 $abc$56607$n2615$2 -.sym 8479 $false -.sym 8480 $abc$56607$n2615 +.sym 8388 $abc$27697$n1927 +.sym 8391 $abc$27697$n1960$2 +.sym 8393 $false +.sym 8394 $abc$27697$n1928 +.sym 8401 $abc$27697$n1960$2 +.sym 8405 $abc$27697$n676 +.sym 8407 $abc$27697$n1093 +.sym 8408 $abc$27697$n675 +.sym 8411 UART.tx_clk_counter[0] +.sym 8412 UART.tx_clk_counter[1] +.sym 8479 I2C_INPUT_LEN[6] +.sym 8480 $false .sym 8481 $false .sym 8482 $false -.sym 8484 $auto$alumacc.cc:470:replace_alu$12064.C[2] +.sym 8485 I2C_INPUT_LEN[1] .sym 8486 $false -.sym 8487 $abc$56607$n2530 -.sym 8490 $auto$alumacc.cc:470:replace_alu$12064.C[3] +.sym 8487 $false +.sym 8488 $false +.sym 8491 I2C_INPUT_LEN[2] .sym 8492 $false -.sym 8493 $abc$56607$n2616 -.sym 8496 $abc$56607$n1249$2 -.sym 8498 $false -.sym 8499 $abc$56607$n2617 -.sym 8506 $abc$56607$n1249$2 -.sym 8509 $abc$56607$n2049 -.sym 8510 $false -.sym 8511 $false -.sym 8512 $false -.sym 8515 $abc$56607$n2043 -.sym 8516 I2C.i2c_bit_counter[2] -.sym 8517 $false +.sym 8493 $false +.sym 8494 $false +.sym 8497 I2C.FLT_SDA.out +.sym 8498 $abc$27697$n16 +.sym 8499 I2C.FLT_SCL.out +.sym 8500 $false +.sym 8515 $abc$27697$n967 +.sym 8516 I2C.is_ack +.sym 8517 $abc$27697$n1116 .sym 8518 $false -.sym 8521 $abc$56607$n1290_1 -.sym 8522 $abc$56607$n2049 -.sym 8523 $abc$56607$n1293 -.sym 8524 $abc$56607$n360 +.sym 8521 I2C.FLT_SDA.out +.sym 8522 $false +.sym 8523 $false +.sym 8524 $false .sym 8525 $true .sym 8526 CLK$2$2 .sym 8527 $false -.sym 8528 $abc$56607$n722 -.sym 8529 $abc$56607$n1282 -.sym 8530 $abc$56607$n719 -.sym 8531 $abc$56607$n1470 -.sym 8532 $abc$56607$n717 -.sym 8533 $abc$56607$n1292 -.sym 8534 KEYBOARD.ram_wr -.sym 8602 $abc$56607$n1249 -.sym 8603 $abc$56607$n16 -.sym 8604 I2C.FLT_SCL.out +.sym 8528 $abc$27697$n924 +.sym 8529 $abc$27697$n912 +.sym 8530 $abc$27697$n918_1 +.sym 8531 $abc$27697$n928 +.sym 8532 $abc$27697$n968 +.sym 8533 $abc$27697$n967 +.sym 8534 $abc$27697$n969 +.sym 8535 $abc$27697$n948 +.sym 8602 $abc$27697$n1486 +.sym 8603 I2C.is_ack +.sym 8604 $false .sym 8605 $false -.sym 8608 I2C.FLT_SCL.out -.sym 8609 $abc$56607$n16 +.sym 8608 $abc$27697$n652 +.sym 8609 $abc$27697$n1116 .sym 8610 $false .sym 8611 $false -.sym 8614 $abc$56607$n1271 -.sym 8615 I2C.FLT_SCL.out -.sym 8616 $abc$56607$n16 -.sym 8617 $abc$56607$n1249 -.sym 8620 $abc$56607$n1292 -.sym 8621 $abc$56607$n878 -.sym 8622 $abc$56607$n875 -.sym 8623 $abc$56607$n877 -.sym 8626 $abc$56607$n1267 -.sym 8627 $abc$56607$n878 -.sym 8628 $abc$56607$n875 -.sym 8629 $abc$56607$n877 -.sym 8632 $abc$56607$n1249 -.sym 8633 I2C.FLT_SCL.out -.sym 8634 $abc$56607$n16 -.sym 8635 $abc$56607$n1271 -.sym 8638 $abc$56607$n1267 -.sym 8639 $abc$56607$n1285 -.sym 8640 $abc$56607$n875 -.sym 8641 $abc$56607$n1291 -.sym 8644 I2C.FLT_SCL.out -.sym 8645 $false +.sym 8614 $abc$27697$n924 +.sym 8615 $abc$27697$n914 +.sym 8616 $abc$27697$n918_1 +.sym 8617 $abc$27697$n651_1 +.sym 8620 I2C_INPUT_LEN[4] +.sym 8621 I2C_INPUT_LEN[5] +.sym 8622 I2C_INPUT_LEN[6] +.sym 8623 I2C_INPUT_LEN[7] +.sym 8626 I2C_INPUT_LEN[7] +.sym 8627 $false +.sym 8628 $false +.sym 8629 $false +.sym 8632 I2C.FLT_SCL.out +.sym 8633 I2C.FLT_SDA.out +.sym 8634 $abc$27697$n16 +.sym 8635 I2C.i2c_state_machine +.sym 8638 $abc$27697$n914 +.sym 8639 I2C.i2c_state_machine +.sym 8640 $abc$27697$n917_1 +.sym 8641 $false +.sym 8644 $abc$27697$n574 +.sym 8645 $abc$27697$n1406 .sym 8646 $false .sym 8647 $false -.sym 8648 $true +.sym 8648 $abc$27697$n422 .sym 8649 CLK$2$2 -.sym 8650 $false -.sym 8651 $abc$56607$n1281 -.sym 8652 $abc$56607$n1280 -.sym 8653 $abc$56607$n1270 -.sym 8654 $abc$56607$n1272 -.sym 8655 $abc$56607$n1260 -.sym 8656 I2C.is_ack -.sym 8657 $abc$56607$n14 -.sym 8658 I2C.SDA_DIR -.sym 8725 $abc$56607$n1249 -.sym 8726 $abc$56607$n875 -.sym 8727 $abc$56607$n1315_1 -.sym 8728 $abc$56607$n1271 -.sym 8731 $abc$56607$n875 -.sym 8732 $abc$56607$n1319 -.sym 8733 $abc$56607$n1320_1 -.sym 8734 $abc$56607$n1321 -.sym 8737 $abc$56607$n1286 -.sym 8738 $abc$56607$n2583 -.sym 8739 $abc$56607$n875 -.sym 8740 $abc$56607$n1285 -.sym 8743 $abc$56607$n1271 -.sym 8744 $abc$56607$n875 -.sym 8745 $abc$56607$n1268_1 -.sym 8746 $abc$56607$n1249 -.sym 8749 $abc$56607$n1249 -.sym 8750 $abc$56607$n1268_1 -.sym 8751 $false +.sym 8650 $abc$27697$n23$2 +.sym 8651 $abc$27697$n910 +.sym 8652 $abc$27697$n939 +.sym 8653 $abc$27697$n656 +.sym 8654 $abc$27697$n944 +.sym 8655 $abc$27697$n911 +.sym 8656 $abc$27697$n938 +.sym 8657 $abc$27697$n933 +.sym 8658 last_wr +.sym 8725 $abc$27697$n913 +.sym 8726 $abc$27697$n924 +.sym 8727 $abc$27697$n919 +.sym 8728 $abc$27697$n1116 +.sym 8731 I2C.FLT_SCL.out +.sym 8732 I2C.FLT_SDA.out +.sym 8733 $abc$27697$n16 +.sym 8734 I2C.SDA_DIR +.sym 8737 $abc$27697$n1962 +.sym 8738 $abc$27697$n651_1 +.sym 8739 $abc$27697$n929_1 +.sym 8740 $abc$27697$n928 +.sym 8743 $abc$27697$n910 +.sym 8744 $abc$27697$n927 +.sym 8745 $false +.sym 8746 $false +.sym 8749 $abc$27697$n928 +.sym 8750 $abc$27697$n1116 +.sym 8751 $abc$27697$n652 .sym 8752 $false -.sym 8755 $abc$56607$n2043 -.sym 8756 I2C.is_ack -.sym 8757 $false -.sym 8758 $false -.sym 8761 $abc$56607$n1318 -.sym 8762 $abc$56607$n1322 -.sym 8763 $abc$56607$n877 +.sym 8755 I2C.FLT_SCL.out +.sym 8756 I2C.FLT_SDA.out +.sym 8757 $abc$27697$n16 +.sym 8758 I2C.wr +.sym 8761 I2C.SDA_DIR +.sym 8762 I2C.FLT_SDA.out +.sym 8763 $false .sym 8764 $false -.sym 8767 I2C.i2c_state_machine -.sym 8768 $abc$56607$n1321 -.sym 8769 $abc$56607$n1317 -.sym 8770 $false +.sym 8767 $abc$27697$n1131_1 +.sym 8768 $abc$27697$n652 +.sym 8769 $abc$27697$n927 +.sym 8770 $abc$27697$n929_1 .sym 8771 $true .sym 8772 CLK$2$2 -.sym 8773 $false -.sym 8774 $abc$56607$n1288 -.sym 8775 $abc$56607$n1269 -.sym 8776 $abc$56607$n1284 -.sym 8777 $abc$56607$n1274 -.sym 8778 $abc$56607$n1462 -.sym 8779 $abc$56607$n1273 -.sym 8780 $abc$56607$n1286 -.sym 8781 I2C.i2c_state_machine -.sym 8810 $true -.sym 8847 $abc$56607$n2621$3 -.sym 8848 $false -.sym 8849 $abc$56607$n2621 +.sym 8773 $abc$27697$n23$2 +.sym 8778 $abc$27697$n511 +.sym 8779 I2C.is_read +.sym 8780 I2C.i2c_bit_counter[1] +.sym 8781 $abc$27697$n14 +.sym 8848 $abc$27697$n1486 +.sym 8849 I2C.i2c_bit_counter[2] .sym 8850 $false .sym 8851 $false -.sym 8853 $auto$alumacc.cc:470:replace_alu$12111.C[2] -.sym 8855 $false -.sym 8856 $abc$56607$n2518 -.sym 8860 $false +.sym 8854 $abc$27697$n936 +.sym 8855 $abc$27697$n937 +.sym 8856 $abc$27697$n1116 +.sym 8857 $false +.sym 8860 $abc$27697$n1492 .sym 8861 $false -.sym 8862 $abc$56607$n2604 -.sym 8863 $auto$alumacc.cc:470:replace_alu$12111.C[2] -.sym 8866 $abc$56607$n360 -.sym 8867 $abc$56607$n873_1 -.sym 8868 $false +.sym 8862 $false +.sym 8863 $false +.sym 8866 $abc$27697$n651_1 +.sym 8867 $abc$27697$n938 +.sym 8868 I2C.i2c_state_machine .sym 8869 $false -.sym 8872 KEYBOARD.init_ram_cnt[1] -.sym 8873 KEYBOARD.row_counter[0] -.sym 8874 KEYBOARD.row_counter[1] -.sym 8875 KEYBOARD.init_ram_cnt[8] -.sym 8878 $abc$56607$n2231 -.sym 8879 KEYBOARD.init_ram_cnt[0] -.sym 8880 KEYBOARD.init_ram_cnt[8] +.sym 8872 $abc$27697$n929 +.sym 8873 $abc$27697$n656 +.sym 8874 $abc$27697$n651_1 +.sym 8875 $abc$27697$n1891 +.sym 8878 $abc$27697$n938 +.sym 8879 $abc$27697$n656 +.sym 8880 $abc$27697$n651_1 .sym 8881 $false -.sym 8884 $abc$56607$n2237 -.sym 8885 KEYBOARD.init_ram_cnt[2] -.sym 8886 KEYBOARD.init_ram_cnt[8] -.sym 8887 $false -.sym 8890 $abc$56607$n28 -.sym 8891 KEYBOARD.init_ram_cnt[3] -.sym 8892 KEYBOARD.init_ram_cnt[8] -.sym 8893 $false -.sym 8894 $abc$56607$n1462 +.sym 8884 $abc$27697$n936 +.sym 8885 $abc$27697$n972 +.sym 8886 $abc$27697$n973 +.sym 8887 $abc$27697$n971 +.sym 8890 $abc$27697$n941 +.sym 8891 $abc$27697$n1492 +.sym 8892 $abc$27697$n939 +.sym 8893 $abc$27697$n917 +.sym 8894 $true .sym 8895 CLK$2$2 .sym 8896 $false -.sym 8897 $abc$56607$n898 -.sym 8898 $abc$56607$n899 -.sym 8899 $abc$56607$n25 -.sym 8900 $abc$56607$n2519 -.sym 8901 UART.tx_bit_counter[2] -.sym 8902 UART.tx_bit_counter[3] -.sym 8903 UART.tx_bit_counter[0] -.sym 8904 UART.tx_bit_counter[1] -.sym 8933 $true -.sym 8970 UART.tx_bit_counter[0]$2 -.sym 8971 $false -.sym 8972 UART.tx_bit_counter[0] -.sym 8973 $false -.sym 8974 $false -.sym 8976 $auto$alumacc.cc:470:replace_alu$12200.C[2] -.sym 8978 UART.tx_bit_counter[1] -.sym 8979 $true$2 -.sym 8982 $auto$alumacc.cc:470:replace_alu$12200.C[3] -.sym 8983 $false -.sym 8984 UART.tx_bit_counter[2] -.sym 8985 $true$2 -.sym 8986 $auto$alumacc.cc:470:replace_alu$12200.C[2] +.sym 8897 $abc$27697$n649 +.sym 8898 $abc$27697$n493 +.sym 8899 $abc$27697$n666 +.sym 8900 $abc$27697$n919 +.sym 8901 $abc$27697$n920 +.sym 8902 $abc$27697$n664 +.sym 8903 $abc$27697$n923 +.sym 8904 I2C.received_byte[3] +.sym 8971 I2C.byte_counter[0] +.sym 8972 I2C.byte_counter[2] +.sym 8973 I2C.byte_counter[3] +.sym 8974 I2C.byte_counter[1] +.sym 8977 $abc$27697$n1960 +.sym 8978 I2C_OUTPUT_TYPE[0] +.sym 8979 I2C_OUTPUT_TYPE[1] +.sym 8980 I2C.is_read .sym 8989 $false -.sym 8990 UART.tx_bit_counter[3] -.sym 8991 $true$2 -.sym 8992 $auto$alumacc.cc:470:replace_alu$12200.C[3] -.sym 8995 $abc$56607$n880 -.sym 8996 $abc$56607$n358 -.sym 8997 $abc$56607$n872 +.sym 8990 $false +.sym 8991 I2C.byte_counter[0] +.sym 8992 $false +.sym 8995 I2C.wr +.sym 8996 $false +.sym 8997 $false .sym 8998 $false -.sym 9001 $abc$56607$n2603 -.sym 9002 $false -.sym 9003 $false -.sym 9004 $false -.sym 9007 $abc$56607$n873_1 -.sym 9008 $abc$56607$n360 -.sym 9009 $false -.sym 9010 $false -.sym 9013 I2C.FLT_SDA.out -.sym 9014 $false -.sym 9015 $false -.sym 9016 $false -.sym 9017 $abc$56607$n1268 +.sym 9017 $true .sym 9018 CLK$2$2 -.sym 9019 $false -.sym 9020 $abc$56607$n1302 -.sym 9021 $abc$56607$n885 -.sym 9022 $abc$56607$n1278 -.sym 9024 $abc$56607$n1290 -.sym 9025 $abc$56607$n882 -.sym 9026 $abc$56607$n1297 -.sym 9027 I2C.received_byte[6] -.sym 9094 $abc$56607$n1265 -.sym 9095 $abc$56607$n1266 -.sym 9096 $abc$56607$n360 +.sym 9019 $abc$27697$n23$2 +.sym 9020 $abc$27697$n1130 +.sym 9021 $abc$27697$n915_1 +.sym 9022 $abc$27697$n1128_1 +.sym 9023 $abc$27697$n1129_1 +.sym 9024 $abc$27697$n909 +.sym 9025 $abc$27697$n908 +.sym 9026 $abc$27697$n524 +.sym 9027 I2C.received_byte[7] +.sym 9094 I2C.byte_counter[5] +.sym 9095 $false +.sym 9096 $false .sym 9097 $false -.sym 9100 $abc$56607$n872 -.sym 9101 $abc$56607$n882 +.sym 9100 I2C.byte_counter[6] +.sym 9101 $false .sym 9102 $false .sym 9103 $false -.sym 9106 $abc$56607$n1262 -.sym 9107 $abc$56607$n1263 -.sym 9108 $abc$56607$n1264 -.sym 9109 $abc$56607$n1267 -.sym 9112 $abc$56607$n1050_1 -.sym 9113 $abc$56607$n1054 -.sym 9114 $abc$56607$n358 -.sym 9115 $abc$56607$n880 -.sym 9118 $abc$56607$n1042 -.sym 9119 $abc$56607$n1046 -.sym 9120 $abc$56607$n358 -.sym 9121 $abc$56607$n880 -.sym 9124 $abc$56607$n1048 -.sym 9125 $abc$56607$n1056 -.sym 9126 $abc$56607$n360 -.sym 9127 $abc$56607$n885 -.sym 9130 $abc$56607$n1044 -.sym 9131 $abc$56607$n1052 -.sym 9132 $abc$56607$n360 -.sym 9133 $abc$56607$n882 -.sym 9136 I2C.FLT_SDA.out +.sym 9106 I2C_HID_DESC.VAL[6] +.sym 9107 I2C_TX_REPORT[6] +.sym 9108 I2C_OUT_DESC_MASK[6] +.sym 9109 $false +.sym 9112 I2C.byte_counter[4] +.sym 9113 $false +.sym 9114 $false +.sym 9115 $false +.sym 9118 I2C_HID_DESC.VAL[4] +.sym 9119 I2C_TX_REPORT[4] +.sym 9120 I2C_OUT_DESC_MASK[4] +.sym 9121 $false +.sym 9124 I2C_HID_DESC.VAL[5] +.sym 9125 I2C_TX_REPORT[5] +.sym 9126 I2C_OUT_DESC_MASK[5] +.sym 9127 $false +.sym 9130 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9131 $false +.sym 9132 $false +.sym 9133 $false +.sym 9136 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 9137 $false .sym 9138 $false .sym 9139 $false -.sym 9140 $abc$56607$n1258 +.sym 9140 $abc$27697$n434$2 .sym 9141 CLK$2$2 -.sym 9142 $false -.sym 9143 report_data_wr[1] -.sym 9144 report_data_wr[6] -.sym 9145 report_data_wr[0] -.sym 9146 report_data_wr[4] -.sym 9147 report_data_wr[3] -.sym 9148 report_data_wr[5] -.sym 9149 report_data_wr[7] -.sym 9150 report_data_wr[2] -.sym 9217 $false -.sym 9218 $false -.sym 9219 $abc$56607$n2621 -.sym 9220 $true$2 -.sym 9229 I2C_HID_DESC.VAL[6] -.sym 9230 REPORT_DATA.r_data[6] -.sym 9231 I2C_OUT_DESC_MASK[6] +.sym 9142 $abc$27697$n23$2 +.sym 9143 $abc$27697$n922 +.sym 9145 UART_TX_DATA[6] +.sym 9146 UART_TX_DATA[0] +.sym 9147 UART_TX_DATA[4] +.sym 9148 UART_TX_DATA[2] +.sym 9149 UART_TX_DATA[1] +.sym 9150 UART_TX_DATA[5] +.sym 9217 I2C_HID_DESC.VAL[1] +.sym 9218 I2C_TX_REPORT[1] +.sym 9219 I2C_OUT_DESC_MASK[1] +.sym 9220 $false +.sym 9223 I2C_HID_DESC.VAL[2] +.sym 9224 I2C_TX_REPORT[2] +.sym 9225 I2C_OUT_DESC_MASK[2] +.sym 9226 $false +.sym 9229 I2C_HID_DESC.VAL[0] +.sym 9230 I2C_TX_REPORT[0] +.sym 9231 I2C_OUT_DESC_MASK[0] .sym 9232 $false -.sym 9235 $abc$56607$n1490$2 +.sym 9235 I2C.byte_counter[3] .sym 9236 $false .sym 9237 $false .sym 9238 $false -.sym 9241 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9241 I2C.byte_counter[0] .sym 9242 $false .sym 9243 $false .sym 9244 $false @@ -6117,3807 +5291,3388 @@ .sym 9248 $false .sym 9249 $false .sym 9250 $false +.sym 9253 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9254 $false +.sym 9255 $false +.sym 9256 $false .sym 9259 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 9260 $false .sym 9261 $false .sym 9262 $false -.sym 9263 $abc$56607$n1191 +.sym 9263 $abc$27697$n434$2 .sym 9264 CLK$2$2 -.sym 9265 $abc$56607$n35$2 -.sym 9266 $abc$56607$n1479 -.sym 9267 $abc$56607$n1093 -.sym 9268 $abc$56607$n751 -.sym 9269 $abc$56607$n1537 -.sym 9270 $abc$56607$n1097 -.sym 9271 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9272 I2C_OUTPUT_TYPE[2] -.sym 9273 I2C_OUTPUT_TYPE[1] -.sym 9340 $abc$56607$n10 -.sym 9341 $false -.sym 9342 $false +.sym 9265 $abc$27697$n23$2 +.sym 9266 $abc$27697$n797 +.sym 9267 $abc$27697$n506 +.sym 9268 $abc$27697$n796 +.sym 9269 $abc$27697$n504 +.sym 9270 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9271 $abc$27697$n792 +.sym 9272 UART_TX_DATA[3] +.sym 9273 UART_TX_DATA[7] +.sym 9340 I2C_HID_DESC.VAL[3] +.sym 9341 I2C_TX_REPORT[3] +.sym 9342 I2C_OUT_DESC_MASK[3] .sym 9343 $false -.sym 9346 $abc$56607$n664 -.sym 9347 $abc$56607$n665 -.sym 9348 $abc$56607$n2495 -.sym 9349 $abc$56607$n2509 -.sym 9352 $false +.sym 9346 I2C_OUTPUT_TYPE[2] +.sym 9347 I2C_OUTPUT_TYPE[1] +.sym 9348 I2C_OUTPUT_TYPE[0] +.sym 9349 $false +.sym 9352 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 9353 $false -.sym 9354 I2C.byte_counter[0] +.sym 9354 $false .sym 9355 $false -.sym 9358 I2C_HID_DESC.VAL[2] -.sym 9359 REPORT_DATA.r_data[2] -.sym 9360 I2C_OUT_DESC_MASK[2] +.sym 9358 $abc$27697$n796 +.sym 9359 $abc$27697$n496 +.sym 9360 $false .sym 9361 $false -.sym 9364 I2C_HID_DESC.VAL[1] -.sym 9365 REPORT_DATA.r_data[1] -.sym 9366 I2C_OUT_DESC_MASK[1] +.sym 9364 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9365 $false +.sym 9366 $false .sym 9367 $false -.sym 9370 $abc$56607$n659 -.sym 9371 $abc$56607$n662 -.sym 9372 $abc$56607$n2509 -.sym 9373 $abc$56607$n2495 -.sym 9376 I2C_HID_DESC.VAL[3] -.sym 9377 REPORT_DATA.r_data[3] -.sym 9378 I2C_OUT_DESC_MASK[3] +.sym 9376 $abc$27697$n792 +.sym 9377 $abc$27697$n496 +.sym 9378 $false .sym 9379 $false -.sym 9382 $abc$56607$n658 -.sym 9383 $abc$56607$n2229 -.sym 9384 $abc$56607$n663 -.sym 9385 UART.tx_activity -.sym 9386 $abc$56607$n1320 +.sym 9382 $abc$27697$n797 +.sym 9383 $abc$27697$n496 +.sym 9384 $false +.sym 9385 $false +.sym 9386 $abc$27697$n434$2 .sym 9387 CLK$2$2 -.sym 9388 $false -.sym 9389 $abc$56607$n828 -.sym 9390 $abc$56607$n825 -.sym 9391 $abc$56607$n856 -.sym 9392 $abc$56607$n860 -.sym 9393 $abc$56607$n1512_1 -.sym 9394 $abc$56607$n1513 -.sym 9395 I2C_INPUT_LEN[0] -.sym 9396 I2C_INPUT_LEN[1] -.sym 9463 I2C_HID_DESC.VAL[4] -.sym 9464 REPORT_DATA.r_data[4] -.sym 9465 I2C_OUT_DESC_MASK[4] -.sym 9466 $false -.sym 9469 UART_TX_DATA[0] -.sym 9470 UART_TX_DATA[2] -.sym 9471 $abc$56607$n660 -.sym 9472 $false -.sym 9475 UART_TX_DATA[4] -.sym 9476 UART_TX_DATA[6] -.sym 9477 $abc$56607$n660 -.sym 9478 $false -.sym 9481 I2C_HID_DESC.VAL[0] -.sym 9482 REPORT_DATA.r_data[0] -.sym 9483 I2C_OUT_DESC_MASK[0] -.sym 9484 $false -.sym 9487 $abc$56607$n1042 -.sym 9488 I2C.received_byte[0] -.sym 9489 I2C.is_read -.sym 9490 $false -.sym 9493 $abc$56607$n1046 -.sym 9494 I2C.received_byte[2] -.sym 9495 I2C.is_read -.sym 9496 $false -.sym 9499 $abc$56607$n1054 -.sym 9500 I2C.received_byte[6] -.sym 9501 I2C.is_read -.sym 9502 $false -.sym 9505 $abc$56607$n1050_1 -.sym 9506 I2C.received_byte[4] -.sym 9507 I2C.is_read -.sym 9508 $false -.sym 9509 $abc$56607$n888 +.sym 9388 $abc$27697$n23$2 +.sym 9390 $abc$27697$n505 +.sym 9391 $abc$27697$n507 +.sym 9392 $abc$27697$n1328 +.sym 9393 $abc$27697$n500 +.sym 9394 $abc$27697$n501 +.sym 9396 KEYBOARD.report[0][7] +.sym 9463 $abc$27697$n849 +.sym 9464 KEYBOARD.report[0][6] +.sym 9465 $abc$27697$n890 +.sym 9466 $abc$27697$n847_1 +.sym 9481 $abc$27697$n849 +.sym 9482 KEYBOARD.report[0][7] +.sym 9483 $abc$27697$n895 +.sym 9484 $abc$27697$n847_1 +.sym 9493 $abc$27697$n849 +.sym 9494 KEYBOARD.report[0][4] +.sym 9495 $abc$27697$n880 +.sym 9496 $abc$27697$n847_1 +.sym 9505 $abc$27697$n849 +.sym 9506 KEYBOARD.report[0][5] +.sym 9507 $abc$27697$n885_1 +.sym 9508 $abc$27697$n847_1 +.sym 9509 $abc$27697$n285 .sym 9510 CLK$2$2 -.sym 9511 $abc$56607$n19 -.sym 9512 $abc$56607$n829 -.sym 9513 $abc$56607$n827 -.sym 9514 $abc$56607$n1511_1 -.sym 9515 $abc$56607$n826 -.sym 9516 $abc$56607$n830 -.sym 9517 $abc$56607$n841 -.sym 9518 $abc$56607$n853 -.sym 9519 $abc$56607$n854 -.sym 9586 UART_TX_DATA[1] -.sym 9587 UART_TX_DATA[3] -.sym 9588 $abc$56607$n660 -.sym 9589 $false -.sym 9592 I2C_INPUT_LEN[2] -.sym 9593 I2C_INPUT_LEN[1] -.sym 9594 I2C_INPUT_LEN[0] -.sym 9595 I2C_INPUT_LEN[3] -.sym 9598 I2C_INPUT_LEN[2] -.sym 9599 $false -.sym 9600 $false -.sym 9601 $false -.sym 9604 I2C_INPUT_LEN[3] -.sym 9605 $abc$56607$n858 -.sym 9606 $abc$56607$n855 +.sym 9511 $abc$27697$n741$2 +.sym 9512 $abc$27697$n44 +.sym 9513 $abc$27697$n692_1 +.sym 9514 $abc$27697$n566 +.sym 9515 $abc$27697$n1915 +.sym 9516 $abc$27697$n502 +.sym 9517 $abc$27697$n567 +.sym 9518 $abc$27697$n659 +.sym 9519 $abc$27697$n12 +.sym 9586 $abc$27697$n695_1 +.sym 9587 $abc$27697$n696 +.sym 9588 KEYBOARD.kbd_code_hid[6] +.sym 9589 KEYBOARD.kbd_code_hid[7] +.sym 9592 KEYBOARD.kbd_code_hid[0] +.sym 9593 KEYBOARD.kbd_code_hid[2] +.sym 9594 KEYBOARD.kbd_code_hid[1] +.sym 9595 $false +.sym 9598 $abc$27697$n855 +.sym 9599 KEYBOARD.report[4][7] +.sym 9600 $abc$27697$n849 +.sym 9601 $abc$27697$n896 +.sym 9604 KEYBOARD.kbd_code_hid[2] +.sym 9605 KEYBOARD.kbd_code_hid[1] +.sym 9606 KEYBOARD.kbd_code_hid[0] .sym 9607 $false -.sym 9610 UART_TX_DATA[5] -.sym 9611 UART_TX_DATA[7] -.sym 9612 $abc$56607$n660 +.sym 9610 KEYBOARD.kbd_code_hid[0] +.sym 9611 KEYBOARD.kbd_code_hid[1] +.sym 9612 KEYBOARD.kbd_code_hid[2] .sym 9613 $false -.sym 9616 I2C_INPUT_LEN[2] -.sym 9617 I2C_INPUT_LEN[0] -.sym 9618 I2C_INPUT_LEN[1] +.sym 9616 KEYBOARD.kbd_code_hid[5] +.sym 9617 KEYBOARD.kbd_code_hid[4] +.sym 9618 KEYBOARD.kbd_code_hid[3] .sym 9619 $false -.sym 9622 $abc$56607$n1044 -.sym 9623 I2C.received_byte[1] -.sym 9624 I2C.is_read +.sym 9622 KEYBOARD.kbd_code_hid[0] +.sym 9623 $false +.sym 9624 $false .sym 9625 $false -.sym 9628 $abc$56607$n1048 -.sym 9629 I2C.received_byte[3] -.sym 9630 I2C.is_read +.sym 9628 KEYBOARD.kbd_code_hid[7] +.sym 9629 $false +.sym 9630 $false .sym 9631 $false -.sym 9632 $abc$56607$n888 +.sym 9632 $abc$27697$n618 .sym 9633 CLK$2$2 -.sym 9634 $abc$56607$n19 -.sym 9635 $abc$56607$n1180 -.sym 9636 $abc$56607$n1500 -.sym 9637 $abc$56607$n1499 -.sym 9638 $abc$56607$n745 -.sym 9639 $abc$56607$n988 -.sym 9640 $abc$56607$n788 -.sym 9641 $abc$56607$n19 -.sym 9642 last_wr -.sym 9709 I2C.received_byte[2] -.sym 9710 $false -.sym 9711 $false -.sym 9712 $false -.sym 9715 $abc$56607$n769 -.sym 9716 $abc$56607$n787 -.sym 9717 $false -.sym 9718 $false -.sym 9721 $abc$56607$n769 -.sym 9722 $abc$56607$n795 -.sym 9723 $abc$56607$n1465 -.sym 9724 $false -.sym 9727 $abc$56607$n21 -.sym 9728 report_data_radr[0] -.sym 9729 $abc$56607$n742 +.sym 9634 $abc$27697$n25$2 +.sym 9635 $abc$27697$n878 +.sym 9636 $abc$27697$n890 +.sym 9637 $abc$27697$n691_1 +.sym 9638 $abc$27697$n865 +.sym 9639 $abc$27697$n853_1 +.sym 9640 KEYBOARD.report[3][1] +.sym 9641 KEYBOARD.report[3][3] +.sym 9642 KEYBOARD.report[3][2] +.sym 9709 $abc$27697$n855 +.sym 9710 KEYBOARD.report[4][3] +.sym 9711 $abc$27697$n849 +.sym 9712 $abc$27697$n876 +.sym 9715 $abc$27697$n697 +.sym 9716 KEYBOARD.report[4][1] +.sym 9717 $abc$27697$n682 +.sym 9718 $abc$27697$n692_1 +.sym 9721 KEYBOARD.kbd_code_hid[0] +.sym 9722 KEYBOARD.report[4][0] +.sym 9723 KEYBOARD.kbd_code_hid[2] +.sym 9724 KEYBOARD.report[4][2] +.sym 9727 $abc$27697$n25$2 +.sym 9728 $abc$27697$n682 +.sym 9729 $abc$27697$n692_1 .sym 9730 $false -.sym 9733 I2C.received_byte[7] -.sym 9734 $false -.sym 9735 $false -.sym 9736 $false -.sym 9739 I2C.received_byte[4] -.sym 9740 $false -.sym 9741 $false -.sym 9742 $false -.sym 9745 I2C.received_byte[1] +.sym 9733 KEYBOARD.report[4][0] +.sym 9734 KEYBOARD.report[4][2] +.sym 9735 KEYBOARD.report[4][3] +.sym 9736 $abc$27697$n698_1 +.sym 9739 KEYBOARD.kbd_code_hid[1] +.sym 9740 KEYBOARD.report[4][1] +.sym 9741 KEYBOARD.kbd_code_hid[3] +.sym 9742 KEYBOARD.report[4][3] +.sym 9745 $abc$27697$n10 .sym 9746 $false .sym 9747 $false .sym 9748 $false -.sym 9751 $false -.sym 9752 $true$2 -.sym 9753 report_data_radr[0] +.sym 9751 $true$2 +.sym 9752 $false +.sym 9753 $false .sym 9754 $false -.sym 9755 $abc$56607$n1050 +.sym 9755 $abc$27697$n295 .sym 9756 CLK$2$2 -.sym 9757 $abc$56607$n21 -.sym 9760 $abc$56607$n1908 -.sym 9761 $abc$56607$n1911 -.sym 9762 $abc$56607$n49 -.sym 9763 $2\ring_wr[3:0][2] -.sym 9764 $abc$56607$n8 -.sym 9838 I2C.received_byte[3] -.sym 9839 $false -.sym 9840 $false -.sym 9841 $false -.sym 9868 $abc$56607$n8 -.sym 9869 $false +.sym 9757 $false +.sym 9758 $abc$27697$n880 +.sym 9759 $abc$27697$n888 +.sym 9760 $abc$27697$n872 +.sym 9761 $abc$27697$n892 +.sym 9762 $abc$27697$n703 +.sym 9763 $abc$27697$n891 +.sym 9764 $abc$27697$n881 +.sym 9765 $abc$27697$n882 +.sym 9832 $abc$27697$n1135_1 +.sym 9833 $abc$27697$n1136 +.sym 9834 $abc$27697$n727 +.sym 9835 $abc$27697$n730 +.sym 9838 KEYBOARD.kbd_code_hid[4] +.sym 9839 KEYBOARD.report[4][4] +.sym 9840 KEYBOARD.kbd_code_hid[7] +.sym 9841 KEYBOARD.report[4][7] +.sym 9844 $abc$27697$n855 +.sym 9845 KEYBOARD.report[4][5] +.sym 9846 $abc$27697$n849 +.sym 9847 $abc$27697$n886 +.sym 9850 $abc$27697$n716 +.sym 9851 $abc$27697$n1138_1 +.sym 9852 $abc$27697$n732_1 +.sym 9853 $abc$27697$n692_1 +.sym 9856 KEYBOARD.report[4][4] +.sym 9857 KEYBOARD.report[4][5] +.sym 9858 KEYBOARD.report[4][6] +.sym 9859 KEYBOARD.report[4][7] +.sym 9862 KEYBOARD.kbd_code_hid[5] +.sym 9863 KEYBOARD.report[4][5] +.sym 9864 KEYBOARD.kbd_code_hid[6] +.sym 9865 KEYBOARD.report[4][6] +.sym 9868 $abc$27697$n25$2 +.sym 9869 $abc$27697$n692_1 .sym 9870 $false .sym 9871 $false -.sym 9874 $10\ring_rd[3:0][0] -.sym 9875 report_data_radr[1] -.sym 9876 $abc$56607$n21 +.sym 9874 $abc$27697$n1137_1 +.sym 9875 $abc$27697$n25$2 +.sym 9876 $false .sym 9877 $false -.sym 9878 $abc$56607$n1039 -.sym 9879 CLK$2$2 -.sym 9880 $false -.sym 9881 $abc$56607$n1086 -.sym 9882 $abc$56607$n1089 -.sym 9883 $abc$56607$n765 -.sym 9884 $abc$56607$n1087 -.sym 9885 $abc$56607$n763 -.sym 9886 $abc$56607$n1902 -.sym 9887 $2\ring_wr[3:0][0] -.sym 9888 $abc$56607$n764 -.sym 9961 ring_rd[2] -.sym 9962 $abc$56607$n1817 -.sym 9963 $abc$56607$n763 +.sym 9881 $abc$27697$n710 +.sym 9882 $abc$27697$n1117_1 +.sym 9883 $abc$27697$n704 +.sym 9884 $abc$27697$n699 +.sym 9885 $abc$27697$n713_1 +.sym 9886 $abc$27697$n1118_1 +.sym 9887 $abc$27697$n712 +.sym 9888 KEYBOARD.report[2][4] +.sym 9955 $abc$27697$n25$2 +.sym 9956 $abc$27697$n681 +.sym 9957 $false +.sym 9958 $false +.sym 9961 KEYBOARD.kbd_code_hid[0] +.sym 9962 KEYBOARD.report[6][0] +.sym 9963 $abc$27697$n1118_1 .sym 9964 $false -.sym 9973 ring_rd[3] -.sym 9974 $abc$56607$n1820 -.sym 9975 $abc$56607$n763 +.sym 9967 $abc$27697$n699 +.sym 9968 $abc$27697$n681 +.sym 9969 $abc$27697$n1119 +.sym 9970 $abc$27697$n714 +.sym 9973 KEYBOARD.kbd_code_hid[1] +.sym 9974 $false +.sym 9975 $false .sym 9976 $false -.sym 9985 I2C.SCLF +.sym 9979 KEYBOARD.kbd_code_hid[7] +.sym 9980 $false +.sym 9981 $false +.sym 9982 $false +.sym 9985 KEYBOARD.kbd_code_hid[2] .sym 9986 $false .sym 9987 $false .sym 9988 $false -.sym 10001 $abc$56607$n1511 +.sym 9991 KEYBOARD.kbd_code_hid[0] +.sym 9992 $false +.sym 9993 $false +.sym 9994 $false +.sym 9997 KEYBOARD.kbd_code_hid[3] +.sym 9998 $false +.sym 9999 $false +.sym 10000 $false +.sym 10001 $abc$27697$n556 .sym 10002 CLK$2$2 -.sym 10003 $abc$56607$n35$2 -.sym 10004 $abc$56607$n1010 -.sym 10007 $abc$56607$n1636 -.sym 10008 $abc$56607$n1512 -.sym 10009 $abc$56607$n2483 -.sym 10010 I2C.FLT_SCL.counter[0] -.sym 10011 I2C.FLT_SCL.counter[1] +.sym 10003 $abc$27697$n25$2 +.sym 10006 $abc$27697$n1314 +.sym 10007 KEYBOARD.report[6][4] +.sym 10010 KEYBOARD.report[6][5] +.sym 10011 KEYBOARD.report[6][6] .sym 10040 $true -.sym 10077 I2C.FLT_SCL.counter[0]$2 +.sym 10077 KEYBOARD.kbd_code_hid[3]$2 .sym 10078 $false -.sym 10079 I2C.FLT_SCL.counter[0] +.sym 10079 KEYBOARD.kbd_code_hid[3] .sym 10080 $false .sym 10081 $false -.sym 10083 $auto$alumacc.cc:470:replace_alu$12158.C[2] -.sym 10085 I2C.FLT_SCL.counter[1] +.sym 10083 $auto$alumacc.cc:470:replace_alu$5940.C[5] +.sym 10085 KEYBOARD.kbd_code_hid[4] .sym 10086 $true$2 -.sym 10090 $false -.sym 10091 I2C.FLT_SCL.counter[2] -.sym 10092 $true$2 -.sym 10093 $auto$alumacc.cc:470:replace_alu$12158.C[2] -.sym 10096 I2C.FLT_SCL.counter[0] -.sym 10097 I2C.FLT_SCL.counter[1] -.sym 10098 I2C.FLT_SCL.counter[2] -.sym 10099 $false -.sym 10102 $abc$56607$n2484 -.sym 10103 $abc$56607$n1010 +.sym 10089 $auto$alumacc.cc:470:replace_alu$5940.C[6] +.sym 10091 KEYBOARD.kbd_code_hid[5] +.sym 10092 $false +.sym 10095 $auto$alumacc.cc:470:replace_alu$5940.C[7] +.sym 10097 KEYBOARD.kbd_code_hid[6] +.sym 10098 $false +.sym 10101 $abc$27697$n1946$2 +.sym 10103 KEYBOARD.kbd_code_hid[7] .sym 10104 $false -.sym 10105 $false -.sym 10114 $abc$56607$n1009 -.sym 10115 $abc$56607$n1639 -.sym 10116 $false +.sym 10111 $abc$27697$n1946$2 +.sym 10114 $abc$27697$n694_1 +.sym 10115 $abc$27697$n1946 +.sym 10116 $abc$27697$n1947 .sym 10117 $false -.sym 10120 $abc$56607$n2484 -.sym 10121 $false -.sym 10122 $false -.sym 10123 $false -.sym 10124 $abc$56607$n1512 -.sym 10125 CLK$2$2 -.sym 10126 $abc$56607$n35$2 -.sym 10226 $abc$56607$n704 -.sym 10227 $abc$56607$n1471 -.sym 10228 $abc$56607$n677 -.sym 10229 $abc$56607$n701 -.sym 10230 $abc$56607$n676 -.sym 10232 $abc$56607$n705 -.sym 10301 $abc$56607$n1344 -.sym 10302 $abc$56607$n697 -.sym 10303 $abc$56607$n706 -.sym 10304 $abc$56607$n1492_1 -.sym 10307 $abc$56607$n702 -.sym 10308 KEYBOARD.COLS_SHADOW[5] -.sym 10309 $false -.sym 10310 $false -.sym 10313 $abc$56607$n692 -.sym 10314 KEYBOARD.COLS_SHADOW[6] -.sym 10315 $abc$56607$n693 +.sym 10227 $abc$27697$n1410 +.sym 10228 $abc$27697$n1412 +.sym 10229 $abc$27697$n1414 +.sym 10230 I2C.FLT_SCL.RESET +.sym 10231 rststate[3] +.sym 10232 rststate[1] +.sym 10233 rststate[2] +.sym 10313 $false +.sym 10314 $abc$27697$n23$2 +.sym 10315 rststate[0] .sym 10316 $false -.sym 10325 KEYBOARD.COLS_SHADOW[6] -.sym 10326 $abc$56607$n692 -.sym 10327 $abc$56607$n693 -.sym 10328 $abc$56607$n697 -.sym 10331 $abc$56607$n708 -.sym 10332 KEYBOARD.COLS_SHADOW[4] -.sym 10333 $abc$56607$n706 +.sym 10331 $abc$27697$n1097_1 +.sym 10332 $abc$27697$n1408 +.sym 10333 $false .sym 10334 $false -.sym 10337 $abc$56607$n692 -.sym 10338 KEYBOARD.COLS_SHADOW[6] -.sym 10339 $abc$56607$n693 -.sym 10340 $false -.sym 10343 $abc$56607$n702 -.sym 10344 KEYBOARD.COLS_SHADOW[5] -.sym 10345 $abc$56607$n697 -.sym 10346 $false -.sym 10347 $abc$56607$n1484 +.sym 10347 $true .sym 10348 CLK$2$2 -.sym 10349 $abc$56607$n31$2 -.sym 10354 $abc$56607$n718 -.sym 10355 $abc$56607$n715 -.sym 10356 $abc$56607$n721 -.sym 10357 $abc$56607$n725 -.sym 10358 $abc$56607$n1350 -.sym 10359 $abc$56607$n720 -.sym 10360 $abc$56607$n716 -.sym 10361 $abc$56607$n27 -.sym 10464 $abc$56607$n722 -.sym 10465 KEYBOARD.COLS_SHADOW[2] -.sym 10466 $abc$56607$n723 -.sym 10467 $false -.sym 10470 $abc$56607$n717 -.sym 10471 KEYBOARD.COLS_SHADOW[1] -.sym 10472 $abc$56607$n709 -.sym 10473 $false -.sym 10476 $abc$56607$n691 -.sym 10477 $abc$56607$n708 -.sym 10478 KEYBOARD.COLS_SHADOW[4] -.sym 10479 $abc$56607$n706 -.sym 10482 $abc$56607$n1350 -.sym 10483 $abc$56607$n709 -.sym 10484 $abc$56607$n1347 -.sym 10485 $false -.sym 10488 $abc$56607$n723 -.sym 10489 $abc$56607$n1349 -.sym 10490 $abc$56607$n1490_1 -.sym 10491 $abc$56607$n1535_1 -.sym 10494 $abc$56607$n1343 -.sym 10495 $abc$56607$n678 -.sym 10496 $abc$56607$n691 -.sym 10497 $abc$56607$n2273 -.sym 10500 $abc$56607$n709 -.sym 10501 $abc$56607$n1343 -.sym 10502 $abc$56607$n1347 -.sym 10503 $abc$56607$n1535_1 -.sym 10506 $abc$56607$n723 -.sym 10507 $abc$56607$n1341 -.sym 10508 $abc$56607$n1490_1 -.sym 10509 $abc$56607$n1535_1 -.sym 10510 $abc$56607$n1490$2 +.sym 10349 $false +.sym 10354 $abc$27697$n1079 +.sym 10355 $abc$27697$n964 +.sym 10356 $abc$27697$n958 +.sym 10357 $abc$27697$n1133 +.sym 10358 $abc$27697$n1078 +.sym 10359 $abc$27697$n1081 +.sym 10360 I2C.byte_counter[6] +.sym 10361 I2C.byte_counter[4] +.sym 10506 KBD_COLUMNS[3]$2 +.sym 10507 $false +.sym 10508 $false +.sym 10509 $false +.sym 10510 $true .sym 10511 CLK$2$2 .sym 10512 $false -.sym 10513 $abc$56607$n728 -.sym 10514 $abc$56607$n1535_1 -.sym 10515 $abc$56607$n714 -.sym 10516 $abc$56607$n678 -.sym 10517 KEYBOARD.temp[3] -.sym 10518 KEYBOARD.temp[1] -.sym 10519 KEYBOARD.temp[7] -.sym 10520 KEYBOARD.temp[0] -.sym 10587 KEYBOARD.RAM.r_data[5] -.sym 10588 KEYBOARD.temp[5] -.sym 10589 $abc$56607$n703 +.sym 10515 $abc$27697$n1096 +.sym 10516 $abc$27697$n1097 +.sym 10517 $abc$27697$n951 +.sym 10518 $abc$27697$n949 +.sym 10519 UART.tx_clk_counter[3] +.sym 10520 UART.tx_clk_counter[2] +.sym 10587 $abc$27697$n1093 +.sym 10588 $abc$27697$n1096 +.sym 10589 $abc$27697$n1097 .sym 10590 $false -.sym 10593 KEYBOARD.RAM.r_data[0] -.sym 10594 KEYBOARD.temp[0] -.sym 10595 $abc$56607$n703 -.sym 10596 $false -.sym 10599 $abc$56607$n686 -.sym 10600 $abc$56607$n690 +.sym 10599 $false +.sym 10600 UART.tx_clk_counter[0] .sym 10601 $false -.sym 10602 $false -.sym 10605 KEYBOARD.RAM.r_data[6] -.sym 10606 KEYBOARD.temp[6] -.sym 10607 $abc$56607$n686 -.sym 10608 $abc$56607$n690 -.sym 10611 KEYBOARD.RAM.r_data[7] -.sym 10612 KEYBOARD.temp[7] -.sym 10613 $abc$56607$n686 -.sym 10614 $abc$56607$n690 -.sym 10617 KEYBOARD.RAM.r_data[4] -.sym 10618 KEYBOARD.temp[4] -.sym 10619 $abc$56607$n686 -.sym 10620 $abc$56607$n690 -.sym 10623 $abc$56607$n722 -.sym 10624 KEYBOARD.COLS_SHADOW[2] -.sym 10625 $abc$56607$n723 +.sym 10602 $true$2 +.sym 10605 UART.tx_clk_counter[1] +.sym 10606 UART.tx_clk_counter[0] +.sym 10607 $abc$27697$n676 +.sym 10608 $false +.sym 10623 $abc$27697$n1093 +.sym 10624 $abc$27697$n674 +.sym 10625 $false .sym 10626 $false -.sym 10629 KEYBOARD.COLS_SHADOW[4] -.sym 10630 $abc$56607$n708 -.sym 10631 $abc$56607$n706 +.sym 10629 UART.tx_clk_counter[1] +.sym 10630 UART.tx_clk_counter[0] +.sym 10631 UART.tx_activity .sym 10632 $false -.sym 10633 $abc$56607$n1484 +.sym 10633 $abc$27697$n533 .sym 10634 CLK$2$2 -.sym 10635 $abc$56607$n31$2 -.sym 10636 $abc$56607$n1367 -.sym 10637 $abc$56607$n1484 -.sym 10638 $abc$56607$n1373 -.sym 10641 KEYBOARD.report[0][4] -.sym 10642 KEYBOARD.report[0][7] -.sym 10643 KEYBOARD.report[0][1] -.sym 10710 KEYBOARD.RAM.r_data[2] -.sym 10711 KEYBOARD.temp[2] -.sym 10712 $abc$56607$n703 +.sym 10635 $abc$27697$n23$2 +.sym 10639 $abc$27697$n527 +.sym 10640 $abc$27697$n533 +.sym 10642 I2C.i2c_start_latency +.sym 10710 I2C.FLT_SCL.out +.sym 10711 $abc$27697$n14 +.sym 10712 $abc$27697$n511 .sym 10713 $false -.sym 10716 $abc$56607$n1268_1 -.sym 10717 $abc$56607$n1249 -.sym 10718 $false +.sym 10716 $abc$27697$n913 +.sym 10717 I2C.FLT_SCL.out +.sym 10718 $abc$27697$n14 .sym 10719 $false -.sym 10722 KEYBOARD.RAM.r_data[3] -.sym 10723 KEYBOARD.temp[3] -.sym 10724 $abc$56607$n703 +.sym 10722 $abc$27697$n919 +.sym 10723 $abc$27697$n912 +.sym 10724 $abc$27697$n511 .sym 10725 $false -.sym 10728 $abc$56607$n33$2 -.sym 10729 $abc$56607$n994 -.sym 10730 $abc$56607$n1490$2 -.sym 10731 I2C.FLT_SCL.RESET -.sym 10734 KEYBOARD.RAM.r_data[1] -.sym 10735 KEYBOARD.temp[1] -.sym 10736 $abc$56607$n703 +.sym 10728 $abc$27697$n913 +.sym 10729 I2C.FLT_SCL.out +.sym 10730 $abc$27697$n14 +.sym 10731 $abc$27697$n511 +.sym 10734 $abc$27697$n913 +.sym 10735 $abc$27697$n511 +.sym 10736 $abc$27697$n918_1 .sym 10737 $false -.sym 10740 $abc$56607$n1271 -.sym 10741 $abc$56607$n1282 -.sym 10742 $false -.sym 10743 $false -.sym 10746 $abc$56607$n923 -.sym 10747 $abc$56607$n994 -.sym 10748 $false +.sym 10740 $abc$27697$n968 +.sym 10741 $abc$27697$n924 +.sym 10742 $abc$27697$n969 +.sym 10743 $abc$27697$n652 +.sym 10746 I2C.FLT_SCL.out +.sym 10747 $abc$27697$n14 +.sym 10748 $abc$27697$n913 .sym 10749 $false -.sym 10756 $abc$56607$n1470 -.sym 10757 CLK$2$2 -.sym 10758 $abc$56607$n31$2 -.sym 10759 $abc$56607$n959 -.sym 10760 $abc$56607$n927 -.sym 10761 $abc$56607$n915 -.sym 10762 $abc$56607$n1369 -.sym 10763 $abc$56607$n1371 -.sym 10764 KEYBOARD.report[0][0] -.sym 10765 KEYBOARD.report[0][2] -.sym 10766 KEYBOARD.report[0][3] -.sym 10833 $abc$56607$n1282 -.sym 10834 $abc$56607$n874 -.sym 10835 I2C.i2c_state_machine -.sym 10836 $abc$56607$n1272 -.sym 10839 $abc$56607$n1271 -.sym 10840 $abc$56607$n1268_1 -.sym 10841 $abc$56607$n1249 -.sym 10842 $false -.sym 10845 $abc$56607$n1249 -.sym 10846 $abc$56607$n1271 -.sym 10847 $abc$56607$n1268_1 +.sym 10752 $abc$27697$n511 +.sym 10753 $abc$27697$n912 +.sym 10754 $abc$27697$n928 +.sym 10755 $abc$27697$n652 +.sym 10760 $abc$27697$n574 +.sym 10762 $abc$27697$n1914 +.sym 10763 $abc$27697$n1488 +.sym 10764 $abc$27697$n915 +.sym 10765 I2C.i2c_bit_counter[3] +.sym 10766 I2C.i2c_bit_counter[0] +.sym 10833 I2C.FLT_SCL.out +.sym 10834 $abc$27697$n14 +.sym 10835 $abc$27697$n511 +.sym 10836 $false +.sym 10839 $abc$27697$n910 +.sym 10840 $abc$27697$n656 +.sym 10841 $abc$27697$n1116 +.sym 10842 $abc$27697$n652 +.sym 10845 $abc$27697$n511 +.sym 10846 $abc$27697$n14 +.sym 10847 I2C.FLT_SCL.out .sym 10848 $false -.sym 10851 I2C.FLT_SCL.out -.sym 10852 I2C.FLT_SDA.out -.sym 10853 $abc$56607$n14 -.sym 10854 I2C.SDA_DIR -.sym 10857 $abc$56607$n1272 -.sym 10858 $abc$56607$n1270 -.sym 10859 $abc$56607$n1261 -.sym 10860 $abc$56607$n1269 -.sym 10863 $abc$56607$n1269 -.sym 10864 $abc$56607$n1282 -.sym 10865 $abc$56607$n1314 -.sym 10866 $abc$56607$n1273 -.sym 10869 I2C.FLT_SDA.out -.sym 10870 $false -.sym 10871 $false -.sym 10872 $false -.sym 10875 $abc$56607$n1260 -.sym 10876 $abc$56607$n1273 -.sym 10877 $abc$56607$n1281 +.sym 10851 $abc$27697$n928 +.sym 10852 $abc$27697$n938 +.sym 10853 $abc$27697$n1116 +.sym 10854 $abc$27697$n652 +.sym 10857 $abc$27697$n912 +.sym 10858 $abc$27697$n910 +.sym 10859 $abc$27697$n914 +.sym 10860 $false +.sym 10863 $abc$27697$n913 +.sym 10864 $abc$27697$n924 +.sym 10865 $false +.sym 10866 $false +.sym 10869 $abc$27697$n1116 +.sym 10870 $abc$27697$n913 +.sym 10871 $abc$27697$n924 +.sym 10872 I2C.received_byte[0] +.sym 10875 I2C.wr +.sym 10876 $false +.sym 10877 $false .sym 10878 $false -.sym 10879 $true +.sym 10879 I2C.FLT_SCL.RESET$2 .sym 10880 CLK$2$2 .sym 10881 $false -.sym 10882 $abc$56607$n997 -.sym 10883 $abc$56607$n1457 -.sym 10884 $abc$56607$n943 -.sym 10885 $abc$56607$n922 -.sym 10886 $abc$56607$n920 -.sym 10887 $abc$56607$n921 -.sym 10888 $abc$56607$n1490 -.sym 10889 KEYBOARD.report[6][2] -.sym 10956 $abc$56607$n1280 -.sym 10957 $abc$56607$n1487 -.sym 10958 $abc$56607$n877 -.sym 10959 I2C.received_byte[0] -.sym 10962 $abc$56607$n875 -.sym 10963 $abc$56607$n877 -.sym 10964 $false -.sym 10965 $false -.sym 10968 $abc$56607$n1285 -.sym 10969 $abc$56607$n1286 -.sym 10970 $abc$56607$n1269 -.sym 10971 $false -.sym 10974 $abc$56607$n1278_1 -.sym 10975 $abc$56607$n1487 -.sym 10976 $false -.sym 10977 $false -.sym 10980 $abc$56607$n33$2 -.sym 10981 $abc$56607$n1490$2 -.sym 10982 $abc$56607$n1484 -.sym 10983 $false -.sym 10986 $abc$56607$n1274 -.sym 10987 $abc$56607$n874 -.sym 10988 $abc$56607$n1280 +.sym 10882 $abc$27697$n658 +.sym 10883 I2C_TRANS +.sym 10884 $abc$27697$n1892 +.sym 10885 $abc$27697$n652 +.sym 10887 $abc$27697$n1490 +.sym 10888 $abc$27697$n1912 +.sym 10889 I2C.received_byte[0] +.sym 10918 $true +.sym 10955 $abc$27697$n1912$2 +.sym 10956 $false +.sym 10957 $abc$27697$n1912 +.sym 10958 $false +.sym 10959 $false +.sym 10961 $auto$alumacc.cc:470:replace_alu$5956.C[2] +.sym 10963 $false +.sym 10964 $abc$27697$n1892 +.sym 10967 $auto$alumacc.cc:470:replace_alu$5956.C[3] +.sym 10969 $false +.sym 10970 $abc$27697$n1913 +.sym 10973 $abc$27697$n511$2 +.sym 10975 $false +.sym 10976 $abc$27697$n1914 +.sym 10983 $abc$27697$n511$2 +.sym 10986 $abc$27697$n920 +.sym 10987 $abc$27697$n933 +.sym 10988 $abc$27697$n652 .sym 10989 $false -.sym 10992 $abc$56607$n14 -.sym 10993 I2C.FLT_SCL.out -.sym 10994 I2C.SDA_DIR -.sym 10995 I2C.FLT_SDA.out -.sym 10998 $abc$56607$n1280 -.sym 10999 $abc$56607$n1274 -.sym 11000 $abc$56607$n874 -.sym 11001 $abc$56607$n1284 +.sym 10992 $abc$27697$n941 +.sym 10993 $abc$27697$n1490 +.sym 10994 $abc$27697$n939 +.sym 10995 $abc$27697$n658 +.sym 10998 I2C.FLT_SCL.out +.sym 10999 $false +.sym 11000 $false +.sym 11001 $false .sym 11002 $true .sym 11003 CLK$2$2 -.sym 11004 $abc$56607$n35$2 -.sym 11005 $abc$56607$n1483_1 -.sym 11007 $abc$56607$n1482 -.sym 11008 $abc$56607$n1148 -.sym 11009 $abc$56607$n1177 -.sym 11011 $abc$56607$n1484_1 -.sym 11012 I2C.is_read -.sym 11079 UART.tx_bit_counter[3] -.sym 11080 $abc$56607$n899 -.sym 11081 $false +.sym 11004 $false +.sym 11005 $abc$27697$n498 +.sym 11006 $abc$27697$n478 +.sym 11007 $abc$27697$n660 +.sym 11008 $abc$27697$n483 +.sym 11009 $abc$27697$n503 +.sym 11010 $abc$27697$n657 +.sym 11011 $abc$27697$n595 +.sym 11012 I2C.received_byte[4] +.sym 11079 $abc$27697$n917 +.sym 11080 $abc$27697$n918 +.sym 11081 $abc$27697$n650 .sym 11082 $false -.sym 11085 UART.tx_bit_counter[0] -.sym 11086 UART.tx_bit_counter[1] -.sym 11087 UART.tx_bit_counter[2] -.sym 11088 UART.tx_activity -.sym 11091 UART.tx_activity -.sym 11092 $false -.sym 11093 $false +.sym 11085 $abc$27697$n649 +.sym 11086 $abc$27697$n664 +.sym 11087 $false +.sym 11088 $false +.sym 11091 $abc$27697$n918 +.sym 11092 $abc$27697$n917 +.sym 11093 $abc$27697$n650 .sym 11094 $false -.sym 11097 $false -.sym 11098 UART.tx_bit_counter[0] -.sym 11099 $false -.sym 11100 $true$2 -.sym 11103 $abc$56607$n2603 -.sym 11104 $false -.sym 11105 $false +.sym 11097 $abc$27697$n922 +.sym 11098 $abc$27697$n923 +.sym 11099 $abc$27697$n920 +.sym 11100 $false +.sym 11103 $abc$27697$n595 +.sym 11104 $abc$27697$n921 +.sym 11105 $abc$27697$n1486 .sym 11106 $false -.sym 11109 $abc$56607$n2605 -.sym 11110 $false +.sym 11109 $abc$27697$n658 +.sym 11110 $abc$27697$n915 .sym 11111 $false .sym 11112 $false -.sym 11115 $abc$56607$n2519 -.sym 11116 $false -.sym 11117 $false -.sym 11118 $false -.sym 11121 $abc$56607$n2518 +.sym 11115 I2C.received_byte[4] +.sym 11116 I2C.received_byte[1] +.sym 11117 I2C.received_byte[5] +.sym 11118 I2C.received_byte[3] +.sym 11121 I2C.FLT_SDA.out .sym 11122 $false .sym 11123 $false .sym 11124 $false -.sym 11125 $abc$56607$n1320 +.sym 11125 $abc$27697$n493 .sym 11126 CLK$2$2 -.sym 11127 $abc$56607$n25 -.sym 11128 $abc$56607$n1533 -.sym 11129 $abc$56607$n1525 -.sym 11130 $abc$56607$n1519 -.sym 11131 $abc$56607$n1546 -.sym 11132 $abc$56607$n1520_1 -.sym 11133 $abc$56607$n1526_1 -.sym 11135 I2C.received_byte[5] -.sym 11202 $abc$56607$n887 -.sym 11203 $abc$56607$n885 -.sym 11204 $false -.sym 11205 $false -.sym 11208 $abc$56607$n880 -.sym 11209 $abc$56607$n358 -.sym 11210 $false -.sym 11211 $false -.sym 11214 $abc$56607$n872 -.sym 11215 $abc$56607$n885 -.sym 11216 $false -.sym 11217 $false -.sym 11226 $abc$56607$n887 -.sym 11227 $abc$56607$n882 -.sym 11228 $false -.sym 11229 $false -.sym 11232 $abc$56607$n880 -.sym 11233 $abc$56607$n358 -.sym 11234 $false -.sym 11235 $false -.sym 11238 $abc$56607$n880 -.sym 11239 $abc$56607$n358 -.sym 11240 $abc$56607$n887 +.sym 11127 $false +.sym 11128 $abc$27697$n19 +.sym 11129 $abc$27697$n510 +.sym 11130 $abc$27697$n578 +.sym 11131 $abc$27697$n488 +.sym 11132 $abc$27697$n575 +.sym 11133 $abc$27697$n613 +.sym 11134 $abc$27697$n662 +.sym 11135 I2C.received_byte[2] +.sym 11202 $abc$27697$n908 +.sym 11203 $abc$27697$n909 +.sym 11204 $abc$27697$n1129_1 +.sym 11205 $abc$27697$n911 +.sym 11208 $abc$27697$n811 +.sym 11209 $abc$27697$n813 +.sym 11210 $abc$27697$n915 +.sym 11211 $abc$27697$n658 +.sym 11214 $abc$27697$n803 +.sym 11215 $abc$27697$n805 +.sym 11216 $abc$27697$n915 +.sym 11217 $abc$27697$n658 +.sym 11220 $abc$27697$n915_1 +.sym 11221 $abc$27697$n1128_1 +.sym 11222 $abc$27697$n917 +.sym 11223 $abc$27697$n910 +.sym 11226 $abc$27697$n807 +.sym 11227 $abc$27697$n815 +.sym 11228 $abc$27697$n917 +.sym 11229 $abc$27697$n662 +.sym 11232 $abc$27697$n809 +.sym 11233 $abc$27697$n817 +.sym 11234 $abc$27697$n917 +.sym 11235 $abc$27697$n664 +.sym 11238 $abc$27697$n666 +.sym 11239 $abc$27697$n664 +.sym 11240 $false .sym 11241 $false .sym 11244 I2C.FLT_SDA.out .sym 11245 $false .sym 11246 $false .sym 11247 $false -.sym 11248 $abc$56607$n1297 +.sym 11248 $abc$27697$n524 .sym 11249 CLK$2$2 .sym 11250 $false -.sym 11251 $abc$56607$n1315 -.sym 11253 $abc$56607$n1278_1 -.sym 11254 $abc$56607$n1279 -.sym 11255 $abc$56607$n1320 -.sym 11256 $abc$56607$n1129 -.sym 11257 $abc$56607$n23 -.sym 11258 I2C.received_byte[7] -.sym 11325 $abc$56607$n1132 -.sym 11326 $abc$56607$n670 -.sym 11327 $false +.sym 11251 $abc$27697$n496 +.sym 11252 $abc$27697$n571 +.sym 11253 $abc$27697$n583 +.sym 11254 $abc$27697$n291 +.sym 11255 $abc$27697$n422 +.sym 11256 $abc$27697$n467 +.sym 11257 $abc$27697$n1 +.sym 11258 uart_double_ff +.sym 11325 I2C.received_byte[2] +.sym 11326 I2C.received_byte[7] +.sym 11327 I2C.received_byte[6] .sym 11328 $false -.sym 11331 $abc$56607$n1526_1 -.sym 11332 $abc$56607$n1525 -.sym 11333 $abc$56607$n1116 -.sym 11334 $false -.sym 11337 $abc$56607$n1520_1 -.sym 11338 $abc$56607$n1519 -.sym 11339 $abc$56607$n1116 +.sym 11337 $abc$27697$n815 +.sym 11338 I2C.received_byte[6] +.sym 11339 I2C.is_read .sym 11340 $false -.sym 11343 $abc$56607$n1484_1 -.sym 11344 $abc$56607$n1177 -.sym 11345 $abc$56607$n1129 +.sym 11343 $abc$27697$n803 +.sym 11344 I2C.received_byte[0] +.sym 11345 I2C.is_read .sym 11346 $false -.sym 11349 $abc$56607$n1524_1 -.sym 11350 $abc$56607$n1130 -.sym 11351 $abc$56607$n1483_1 -.sym 11352 $abc$56607$n670 -.sym 11355 $abc$56607$n1485 -.sym 11356 $abc$56607$n1188 -.sym 11357 $abc$56607$n1129 +.sym 11349 $abc$27697$n811 +.sym 11350 I2C.received_byte[4] +.sym 11351 I2C.is_read +.sym 11352 $false +.sym 11355 $abc$27697$n807 +.sym 11356 I2C.received_byte[2] +.sym 11357 I2C.is_read .sym 11358 $false -.sym 11361 $abc$56607$n1129 -.sym 11362 $abc$56607$n1546 -.sym 11363 $abc$56607$n1533 -.sym 11364 $abc$56607$n1116 -.sym 11367 $abc$56607$n1148 -.sym 11368 $abc$56607$n1482 -.sym 11369 $abc$56607$n1116 -.sym 11370 $abc$56607$n1129 -.sym 11371 $abc$56607$n1018$2 +.sym 11361 $abc$27697$n805 +.sym 11362 I2C.received_byte[1] +.sym 11363 I2C.is_read +.sym 11364 $false +.sym 11367 $abc$27697$n813 +.sym 11368 I2C.received_byte[5] +.sym 11369 I2C.is_read +.sym 11370 $false +.sym 11371 $abc$27697$n291 .sym 11372 CLK$2$2 -.sym 11373 $abc$56607$n23 -.sym 11374 $abc$56607$n1536_1 -.sym 11375 $abc$56607$n1544_1 -.sym 11376 $abc$56607$n1542 -.sym 11377 $abc$56607$n812 -.sym 11378 $abc$56607$n833 -.sym 11379 $abc$56607$n1543 -.sym 11380 $abc$56607$n816_1 -.sym 11381 I2C.received_byte[3] -.sym 11448 $abc$56607$n1478 -.sym 11449 $abc$56607$n1095 +.sym 11373 $abc$27697$n19 +.sym 11374 $abc$27697$n295 +.sym 11375 $abc$27697$n1087_1 +.sym 11376 $abc$27697$n570 +.sym 11377 $abc$27697$n576 +.sym 11378 $abc$27697$n572 +.sym 11379 $abc$27697$n288 +.sym 11380 $abc$27697$n278 +.sym 11381 UART_WR +.sym 11448 $abc$27697$n793 +.sym 11449 $abc$27697$n1096_1 .sym 11450 I2C_OUTPUT_TYPE[2] .sym 11451 $false -.sym 11454 I2C_OUTPUT_TYPE[0] -.sym 11455 $abc$56607$n1478 -.sym 11456 $abc$56607$n1096 -.sym 11457 $abc$56607$n1095 -.sym 11460 I2C_OUTPUT_TYPE[2] -.sym 11461 I2C_OUTPUT_TYPE[1] -.sym 11462 I2C_OUTPUT_TYPE[0] -.sym 11463 $false -.sym 11466 $abc$56607$n841 -.sym 11467 $abc$56607$n809 -.sym 11468 I2C.FLT_SCL.RESET -.sym 11469 $abc$56607$n815_1 -.sym 11472 I2C_OUTPUT_TYPE[1] -.sym 11473 $abc$56607$n1478 -.sym 11474 $abc$56607$n1095 -.sym 11475 $abc$56607$n1096 -.sym 11478 $abc$56607$n1479 -.sym 11479 $abc$56607$n1093 -.sym 11480 $abc$56607$n1097 -.sym 11481 $abc$56607$n1099_1 -.sym 11484 $abc$56607$n1479 -.sym 11485 $abc$56607$n1099_1 -.sym 11486 $false +.sym 11454 UART_TX_DATA[5] +.sym 11455 UART_TX_DATA[7] +.sym 11456 $abc$27697$n502 +.sym 11457 $false +.sym 11460 I2C_OUTPUT_TYPE[1] +.sym 11461 $abc$27697$n1096_1 +.sym 11462 $abc$27697$n645 +.sym 11463 $abc$27697$n793 +.sym 11466 UART_TX_DATA[4] +.sym 11467 UART_TX_DATA[6] +.sym 11468 $abc$27697$n502 +.sym 11469 $false +.sym 11472 $abc$27697$n797 +.sym 11473 $abc$27697$n792 +.sym 11474 $abc$27697$n796 +.sym 11475 $abc$27697$n496 +.sym 11478 I2C_OUTPUT_TYPE[0] +.sym 11479 $abc$27697$n1096_1 +.sym 11480 $abc$27697$n629 +.sym 11481 $abc$27697$n793 +.sym 11484 $abc$27697$n809 +.sym 11485 I2C.received_byte[3] +.sym 11486 I2C.is_read .sym 11487 $false -.sym 11490 $abc$56607$n1097 -.sym 11491 $abc$56607$n1099_1 -.sym 11492 $false +.sym 11490 $abc$27697$n817 +.sym 11491 I2C.received_byte[7] +.sym 11492 I2C.is_read .sym 11493 $false -.sym 11494 $abc$56607$n1191 +.sym 11494 $abc$27697$n291 .sym 11495 CLK$2$2 -.sym 11496 $abc$56607$n35$2 -.sym 11497 $abc$56607$n1081 -.sym 11498 $abc$56607$n1541_1 -.sym 11499 $abc$56607$n1082 -.sym 11500 $abc$56607$n1078 -.sym 11501 $abc$56607$n835 -.sym 11502 $abc$56607$n834 -.sym 11503 $abc$56607$n1074 -.sym 11504 $abc$56607$n1079 -.sym 11571 I2C.received_byte[7] -.sym 11572 I2C.received_byte[6] -.sym 11573 $false -.sym 11574 $false -.sym 11577 $abc$56607$n826 -.sym 11578 $abc$56607$n822 -.sym 11579 $abc$56607$n829 -.sym 11580 $abc$56607$n809 -.sym 11583 I2C_INPUT_LEN[1] -.sym 11584 $abc$56607$n854 -.sym 11585 I2C_INPUT_LEN[0] -.sym 11586 $abc$56607$n815_1 -.sym 11589 I2C_INPUT_LEN[1] -.sym 11590 I2C_INPUT_LEN[0] -.sym 11591 $abc$56607$n854 -.sym 11592 $abc$56607$n822 -.sym 11595 $abc$56607$n815_1 -.sym 11596 $abc$56607$n857 -.sym 11597 $abc$56607$n2577 -.sym 11598 $abc$56607$n860 -.sym 11601 $abc$56607$n809 -.sym 11602 $abc$56607$n1511_1 -.sym 11603 $abc$56607$n856 -.sym 11604 $abc$56607$n1512_1 -.sym 11607 $false -.sym 11608 I2C.byte_counter[0] -.sym 11609 $false -.sym 11610 $true$2 -.sym 11613 I2C.byte_counter[0] -.sym 11614 I2C.byte_counter[1] -.sym 11615 $false +.sym 11496 $abc$27697$n19 +.sym 11497 $abc$27697$n672 +.sym 11498 $abc$27697$n434 +.sym 11499 $abc$27697$n577 +.sym 11500 KEYBOARD.isr +.sym 11502 last_isr +.sym 11503 last_uart_active +.sym 11504 UART.TX_sig_last +.sym 11577 $abc$27697$n506 +.sym 11578 $abc$27697$n507 +.sym 11579 $abc$27697$n1314 +.sym 11580 $abc$27697$n1328 +.sym 11583 UART_TX_DATA[1] +.sym 11584 UART_TX_DATA[3] +.sym 11585 $abc$27697$n502 +.sym 11586 $false +.sym 11589 $false +.sym 11590 $false +.sym 11591 $abc$27697$n1915 +.sym 11592 $true$2 +.sym 11595 $abc$27697$n501 +.sym 11596 $abc$27697$n504 +.sym 11597 $abc$27697$n1328 +.sym 11598 $abc$27697$n1314 +.sym 11601 UART_TX_DATA[0] +.sym 11602 UART_TX_DATA[2] +.sym 11603 $abc$27697$n502 +.sym 11604 $false +.sym 11613 $abc$27697$n25$2 +.sym 11614 KEYBOARD.report[0][7] +.sym 11615 $abc$27697$n695_1 .sym 11616 $false -.sym 11617 $abc$56607$n1180 +.sym 11617 $abc$27697$n659 .sym 11618 CLK$2$2 -.sym 11619 $abc$56607$n35$2 -.sym 11620 $abc$56607$n1072 -.sym 11621 $abc$56607$n836 -.sym 11622 $abc$56607$n741 -.sym 11623 $abc$56607$n1084 -.sym 11624 $abc$56607$n776 -.sym 11625 $abc$56607$n888 -.sym 11626 $abc$56607$n1155 -.sym 11627 report_wr_en -.sym 11694 $abc$56607$n830 -.sym 11695 I2C.received_byte[2] -.sym 11696 $abc$56607$n827 +.sym 11619 $false +.sym 11620 $abc$27697$n849 +.sym 11622 $abc$27697$n855 +.sym 11623 $abc$27697$n850_1 +.sym 11624 $abc$27697$n690_1 +.sym 11625 $abc$27697$n866 +.sym 11626 KEYBOARD.report[3][4] +.sym 11627 KEYBOARD.report[3][5] +.sym 11694 KEYBOARD.kbd_code_hid[6] +.sym 11695 $abc$27697$n567 +.sym 11696 $abc$27697$n566 .sym 11697 $false -.sym 11700 I2C.received_byte[3] -.sym 11701 I2C.received_byte[4] -.sym 11702 I2C.received_byte[5] -.sym 11703 $abc$56607$n828 -.sym 11706 $abc$56607$n855 -.sym 11707 $abc$56607$n861 -.sym 11708 $abc$56607$n853 -.sym 11709 i2c_input_data_type[0] -.sym 11712 I2C.received_byte[1] -.sym 11713 I2C.received_byte[2] -.sym 11714 $abc$56607$n827 -.sym 11715 I2C.received_byte[0] -.sym 11718 I2C.received_byte[0] -.sym 11719 I2C.received_byte[1] -.sym 11720 i2c_input_data_type[0] +.sym 11700 $abc$27697$n693 +.sym 11701 $abc$27697$n44 +.sym 11702 I2C.FLT_SCL.RESET$2 +.sym 11703 $false +.sym 11706 KEYBOARD.kbd_code_hid[0] +.sym 11707 KEYBOARD.kbd_code_hid[1] +.sym 11708 KEYBOARD.kbd_code_hid[2] +.sym 11709 $false +.sym 11712 $abc$27697$n1903 +.sym 11713 $false +.sym 11714 $false +.sym 11715 $false +.sym 11718 $abc$27697$n1902 +.sym 11719 $abc$27697$n1903 +.sym 11720 $false .sym 11721 $false -.sym 11724 I2C.received_byte[0] -.sym 11725 $abc$56607$n827 -.sym 11726 I2C.received_byte[1] -.sym 11727 I2C.received_byte[2] -.sym 11730 I2C_INPUT_LEN[0] -.sym 11731 $abc$56607$n854 -.sym 11732 I2C_INPUT_LEN[1] +.sym 11724 KEYBOARD.kbd_code_hid[3] +.sym 11725 KEYBOARD.kbd_code_hid[4] +.sym 11726 KEYBOARD.kbd_code_hid[5] +.sym 11727 KEYBOARD.kbd_code_hid[7] +.sym 11730 $abc$27697$n693 +.sym 11731 $abc$27697$n44 +.sym 11732 I2C.FLT_SCL.RESET$2 .sym 11733 $false -.sym 11736 I2C_INPUT_LEN[3] -.sym 11737 I2C_INPUT_LEN[2] -.sym 11738 $abc$56607$n855 -.sym 11739 $false -.sym 11743 $abc$56607$n870 -.sym 11744 $2\uart_double_ff[0:0] -.sym 11745 $abc$56607$n747 -.sym 11746 $abc$56607$n819 -.sym 11747 $abc$56607$n1218 -.sym 11748 $abc$56607$n1221 -.sym 11749 $abc$56607$n1236 -.sym 11750 last_trans -.sym 11817 $abc$56607$n742 -.sym 11818 $abc$56607$n769 -.sym 11819 $false -.sym 11820 $false -.sym 11823 $abc$56607$n19 -.sym 11824 $abc$56607$n1499 -.sym 11825 I2C.is_read -.sym 11826 $abc$56607$n742 -.sym 11829 $abc$56607$n751 -.sym 11830 $abc$56607$n2569 -.sym 11831 $abc$56607$n747 -.sym 11832 $false -.sym 11835 last_wr -.sym 11836 I2C.wr -.sym 11837 $false -.sym 11838 $false -.sym 11841 $abc$56607$n742 -.sym 11842 $abc$56607$n667 -.sym 11843 $abc$56607$n19 -.sym 11844 $false -.sym 11847 $abc$56607$n751 -.sym 11848 I2C.is_read +.sym 11736 $abc$27697$n500 +.sym 11737 $abc$27697$n1671 +.sym 11738 $abc$27697$n505 +.sym 11739 UART.tx_activity +.sym 11740 $abc$27697$n542 +.sym 11741 CLK$2$2 +.sym 11742 $false +.sym 11743 $abc$27697$n1145_1 +.sym 11744 $abc$27697$n626 +.sym 11745 $abc$27697$n852 +.sym 11746 $abc$27697$n1144 +.sym 11747 $abc$27697$n1124_1 +.sym 11748 $abc$27697$n864 +.sym 11749 $abc$27697$n741_1 +.sym 11750 KEYBOARD.report[3][0] +.sym 11817 $abc$27697$n858 +.sym 11818 KEYBOARD.report[3][3] +.sym 11819 KEYBOARD.report[6][3] +.sym 11820 $abc$27697$n854_1 +.sym 11823 $abc$27697$n856 +.sym 11824 KEYBOARD.report[2][6] +.sym 11825 $abc$27697$n891 +.sym 11826 $abc$27697$n893 +.sym 11829 KEYBOARD.report[3][0] +.sym 11830 KEYBOARD.report[3][1] +.sym 11831 KEYBOARD.report[3][2] +.sym 11832 KEYBOARD.report[3][3] +.sym 11835 $abc$27697$n854_1 +.sym 11836 KEYBOARD.report[6][1] +.sym 11837 $abc$27697$n855 +.sym 11838 KEYBOARD.report[4][1] +.sym 11841 $abc$27697$n854_1 +.sym 11842 KEYBOARD.report[6][0] +.sym 11843 $abc$27697$n855 +.sym 11844 KEYBOARD.report[4][0] +.sym 11847 KEYBOARD.kbd_code_hid[1] +.sym 11848 $false .sym 11849 $false .sym 11850 $false -.sym 11853 I2C.wr -.sym 11854 last_wr +.sym 11853 KEYBOARD.kbd_code_hid[3] +.sym 11854 $false .sym 11855 $false .sym 11856 $false -.sym 11859 I2C.wr +.sym 11859 KEYBOARD.kbd_code_hid[2] .sym 11860 $false .sym 11861 $false .sym 11862 $false -.sym 11863 $abc$56607$n1218 +.sym 11863 $abc$27697$n626 .sym 11864 CLK$2$2 -.sym 11865 $false -.sym 11866 $3\report_wr_en[0:0] -.sym 11867 $abc$56607$n992 -.sym 11869 $2\ring_wr[3:0][3] -.sym 11870 ring_wr[1] -.sym 11871 ring_wr[2] -.sym 11872 ring_wr[0] -.sym 11873 ring_wr[3] -.sym 11902 $true -.sym 11939 ring_wr[0]$2 -.sym 11940 $false -.sym 11941 ring_wr[0] -.sym 11942 $false -.sym 11943 $false -.sym 11945 $auto$alumacc.cc:470:replace_alu$12132.C[2] -.sym 11947 $false -.sym 11948 ring_wr[1] -.sym 11951 $auto$alumacc.cc:470:replace_alu$12132.C[3] -.sym 11952 $false -.sym 11953 $false -.sym 11954 ring_wr[2] -.sym 11955 $auto$alumacc.cc:470:replace_alu$12132.C[2] -.sym 11957 $auto$alumacc.cc:470:replace_alu$12132.C[4] -.sym 11958 $false -.sym 11959 $false -.sym 11960 ring_wr[3] -.sym 11961 $auto$alumacc.cc:470:replace_alu$12132.C[3] -.sym 11964 $false -.sym 11965 $false -.sym 11966 $false -.sym 11967 $auto$alumacc.cc:470:replace_alu$12132.C[4] -.sym 11970 ring_wr[2] -.sym 11971 $abc$56607$n1908 -.sym 11972 $abc$56607$n1089 -.sym 11973 $false -.sym 11976 $true$2 -.sym 11977 $false -.sym 11978 $false -.sym 11979 $false -.sym 11986 $abc$56607$n988 -.sym 11987 CLK$2$2 -.sym 11988 $false -.sym 11996 $2\ring_wr[3:0][1] -.sym 12063 $abc$56607$n49 -.sym 12064 ring_rd[3] -.sym 12065 $abc$56607$n1911 -.sym 12066 $abc$56607$n1087 -.sym 12069 $abc$56607$n764 -.sym 12070 ring_wr[0] -.sym 12071 $abc$56607$n1086 -.sym 12072 $false -.sym 12075 ring_rd[0] -.sym 12076 ring_wr[0] -.sym 12077 ring_rd[3] -.sym 12078 ring_wr[3] -.sym 12081 ring_rd[0] -.sym 12082 $abc$56607$n1902 -.sym 12083 ring_rd[2] -.sym 12084 $abc$56607$n1908 -.sym 12087 ring_rd[2] -.sym 12088 ring_wr[2] -.sym 12089 $abc$56607$n764 -.sym 12090 $abc$56607$n765 -.sym 12093 $false -.sym 12094 $true$2 -.sym 12095 ring_wr[0] -.sym 12096 $false -.sym 12099 $abc$56607$n764 -.sym 12100 $abc$56607$n1086 -.sym 12101 ring_wr[0] -.sym 12102 $abc$56607$n1902 -.sym 12105 ring_rd[1] -.sym 12106 ring_wr[1] +.sym 11865 $abc$27697$n25$2 +.sym 11866 $abc$27697$n747 +.sym 11867 $abc$27697$n886 +.sym 11868 $abc$27697$n744 +.sym 11869 $abc$27697$n745 +.sym 11870 $abc$27697$n883 +.sym 11871 $abc$27697$n641 +.sym 11872 $abc$27697$n683 +.sym 11873 KEYBOARD.report[2][1] +.sym 11940 $abc$27697$n856 +.sym 11941 KEYBOARD.report[2][4] +.sym 11942 $abc$27697$n881 +.sym 11943 $abc$27697$n883 +.sym 11946 $abc$27697$n859 +.sym 11947 KEYBOARD.report[1][5] +.sym 11948 KEYBOARD.report[6][5] +.sym 11949 $abc$27697$n854_1 +.sym 11952 $abc$27697$n859 +.sym 11953 KEYBOARD.report[1][2] +.sym 11954 KEYBOARD.report[6][2] +.sym 11955 $abc$27697$n854_1 +.sym 11958 $abc$27697$n859 +.sym 11959 KEYBOARD.report[1][6] +.sym 11960 KEYBOARD.report[4][6] +.sym 11961 $abc$27697$n855 +.sym 11964 KEYBOARD.report[6][4] +.sym 11965 KEYBOARD.report[6][5] +.sym 11966 KEYBOARD.report[6][6] +.sym 11967 KEYBOARD.report[6][7] +.sym 11970 $abc$27697$n854_1 +.sym 11971 KEYBOARD.report[6][6] +.sym 11972 $abc$27697$n849 +.sym 11973 $abc$27697$n892 +.sym 11976 $abc$27697$n854_1 +.sym 11977 KEYBOARD.report[6][4] +.sym 11978 $abc$27697$n849 +.sym 11979 $abc$27697$n882 +.sym 11982 $abc$27697$n859 +.sym 11983 KEYBOARD.report[1][4] +.sym 11984 KEYBOARD.report[4][4] +.sym 11985 $abc$27697$n855 +.sym 11989 $abc$27697$n687 +.sym 11990 $abc$27697$n871 +.sym 11991 $abc$27697$n749 +.sym 11992 $abc$27697$n689_1 +.sym 11993 $abc$27697$n610 +.sym 11994 $abc$27697$n887 +.sym 11995 $abc$27697$n688 +.sym 11996 KEYBOARD.report[2][0] +.sym 12063 KEYBOARD.kbd_code_hid[7] +.sym 12064 KEYBOARD.report[6][7] +.sym 12065 $false +.sym 12066 $false +.sym 12069 KEYBOARD.kbd_code_hid[1] +.sym 12070 KEYBOARD.report[6][1] +.sym 12071 KEYBOARD.kbd_code_hid[2] +.sym 12072 KEYBOARD.report[6][2] +.sym 12075 KEYBOARD.report[6][0] +.sym 12076 KEYBOARD.report[6][1] +.sym 12077 KEYBOARD.report[6][2] +.sym 12078 KEYBOARD.report[6][3] +.sym 12081 $abc$27697$n700 +.sym 12082 $abc$27697$n703 +.sym 12083 $abc$27697$n704 +.sym 12084 $false +.sym 12087 KEYBOARD.kbd_code_hid[3] +.sym 12088 KEYBOARD.report[6][3] +.sym 12089 KEYBOARD.kbd_code_hid[4] +.sym 12090 KEYBOARD.report[6][4] +.sym 12093 $abc$27697$n1117_1 +.sym 12094 $abc$27697$n710 +.sym 12095 $abc$27697$n712 +.sym 12096 $abc$27697$n713_1 +.sym 12099 KEYBOARD.kbd_code_hid[5] +.sym 12100 KEYBOARD.report[6][5] +.sym 12101 KEYBOARD.kbd_code_hid[6] +.sym 12102 KEYBOARD.report[6][6] +.sym 12105 KEYBOARD.kbd_code_hid[4] +.sym 12106 $false .sym 12107 $false .sym 12108 $false -.sym 12114 $abc$56607$n1544 -.sym 12115 $abc$56607$n1545 -.sym 12116 $abc$56607$n31 -.sym 12117 $abc$56607$n897 -.sym 12118 UART.tx_clk_counter[2] -.sym 12119 UART.tx_clk_counter[3] -.sym 12186 $abc$56607$n2483 -.sym 12187 $abc$56607$n1636 -.sym 12188 I2C.FLT_SCL.out -.sym 12189 I2C.SCLF -.sym 12204 $false -.sym 12205 I2C.FLT_SCL.counter[0] +.sym 12109 $abc$27697$n641 +.sym 12110 CLK$2$2 +.sym 12111 $abc$27697$n25$2 +.sym 12112 $abc$27697$n23 +.sym 12113 $abc$27697$n748 +.sym 12114 KEYBOARD.report[2][2] +.sym 12116 KEYBOARD.report[2][5] +.sym 12117 KEYBOARD.report[2][3] +.sym 12118 KEYBOARD.report[2][7] +.sym 12119 KEYBOARD.report[2][6] +.sym 12148 $true +.sym 12185 $abc$27697$n1915$3 +.sym 12186 $false +.sym 12187 $abc$27697$n1915 +.sym 12188 $false +.sym 12189 $false +.sym 12191 $auto$alumacc.cc:470:replace_alu$6073.C[2] +.sym 12193 $false +.sym 12194 $abc$27697$n1902 +.sym 12198 $false +.sym 12199 $false +.sym 12200 $abc$27697$n1917 +.sym 12201 $auto$alumacc.cc:470:replace_alu$6073.C[2] +.sym 12204 KEYBOARD.kbd_code_hid[4] +.sym 12205 $false .sym 12206 $false -.sym 12207 $true$2 -.sym 12210 I2C.FLT_SCL.out -.sym 12211 I2C.SCLF -.sym 12212 $abc$56607$n1009 -.sym 12213 $false -.sym 12216 I2C.FLT_SCL.counter[0] -.sym 12217 I2C.FLT_SCL.counter[1] -.sym 12218 $false -.sym 12219 $false -.sym 12222 $abc$56607$n1009 -.sym 12223 $abc$56607$n1636 +.sym 12207 $false +.sym 12222 KEYBOARD.kbd_code_hid[5] +.sym 12223 $false .sym 12224 $false .sym 12225 $false -.sym 12228 $abc$56607$n2483 +.sym 12228 KEYBOARD.kbd_code_hid[6] .sym 12229 $false .sym 12230 $false .sym 12231 $false -.sym 12232 $abc$56607$n1512 +.sym 12232 $abc$27697$n556 .sym 12233 CLK$2$2 -.sym 12234 $abc$56607$n35$2 -.sym 12309 $abc$56607$n1471 -.sym 12335 KEYBOARD.COLS_SHADOW[4] -.sym 12336 KEYBOARD.COLS_SHADOW[3] -.sym 12337 KEYBOARD.COLS_SHADOW[0] -.sym 12339 KEYBOARD.COLS_SHADOW[7] -.sym 12340 KEYBOARD.COLS_SHADOW[2] -.sym 12341 KEYBOARD.COLS_SHADOW[1] -.sym 12342 KEYBOARD.COLS_SHADOW[5] -.sym 12410 $abc$56607$n705 -.sym 12411 $abc$56607$n709 -.sym 12412 $abc$56607$n711 -.sym 12413 $false -.sym 12416 $abc$56607$n33$2 -.sym 12417 $abc$56607$n1490$2 -.sym 12418 $false -.sym 12419 $false -.sym 12422 $abc$56607$n678 -.sym 12423 $abc$56607$n691 -.sym 12424 KEYBOARD.is_pressed -.sym 12425 $abc$56607$n696 -.sym 12428 $abc$56607$n702 -.sym 12429 KEYBOARD.is_pressed -.sym 12430 KEYBOARD.COLS_SHADOW[5] -.sym 12431 $abc$56607$n697 -.sym 12434 $abc$56607$n677 -.sym 12435 $abc$56607$n701 -.sym 12436 $abc$56607$n714 -.sym 12437 $abc$56607$n704 -.sym 12446 $abc$56607$n708 -.sym 12447 KEYBOARD.is_pressed -.sym 12448 KEYBOARD.COLS_SHADOW[4] -.sym 12449 $abc$56607$n706 +.sym 12234 $abc$27697$n25$2 +.sym 12309 I2C.FLT_SCL.RESET +.sym 12337 $abc$27697$n1655 +.sym 12338 $abc$27697$n1656 +.sym 12339 $abc$27697$n1657 +.sym 12340 $abc$27697$n1658 +.sym 12341 $abc$27697$n1659 +.sym 12342 $abc$27697$n1660 +.sym 12372 $false +.sym 12409 $auto$alumacc.cc:470:replace_alu$6011.C[1] +.sym 12411 $abc$27697$n23$2 +.sym 12412 rststate[0] +.sym 12415 $auto$alumacc.cc:470:replace_alu$6011.C[2] +.sym 12416 $false +.sym 12417 $false +.sym 12418 rststate[1] +.sym 12419 $auto$alumacc.cc:470:replace_alu$6011.C[1] +.sym 12421 $auto$alumacc.cc:470:replace_alu$6011.C[3] +.sym 12422 $false +.sym 12423 $false +.sym 12424 rststate[2] +.sym 12425 $auto$alumacc.cc:470:replace_alu$6011.C[2] +.sym 12428 $false +.sym 12429 $false +.sym 12430 rststate[3] +.sym 12431 $auto$alumacc.cc:470:replace_alu$6011.C[3] +.sym 12434 rststate[3] +.sym 12435 rststate[2] +.sym 12436 rststate[1] +.sym 12437 rststate[0] +.sym 12440 $abc$27697$n1097_1 +.sym 12441 $abc$27697$n1414 +.sym 12442 $false +.sym 12443 $false +.sym 12446 $abc$27697$n1097_1 +.sym 12447 $abc$27697$n1410 +.sym 12448 $false +.sym 12449 $false +.sym 12452 $abc$27697$n1097_1 +.sym 12453 $abc$27697$n1412 +.sym 12454 $false +.sym 12455 $false +.sym 12456 $true +.sym 12457 CLK$2$2 +.sym 12458 $false .sym 12461 KBD_COLUMNS[4]$2 -.sym 12465 $abc$56607$n1635 -.sym 12466 $abc$56607$n2244 -.sym 12467 $abc$56607$n1003 -.sym 12468 $abc$56607$n2481 -.sym 12469 KEYBOARD.is_pressed -.sym 12573 $abc$56607$n719 -.sym 12574 KEYBOARD.COLS_SHADOW[3] -.sym 12575 KEYBOARD.is_pressed -.sym 12576 $abc$56607$n711 -.sym 12579 $abc$56607$n716 -.sym 12580 $abc$56607$n718 -.sym 12581 $false -.sym 12582 $false -.sym 12585 $abc$56607$n722 -.sym 12586 KEYBOARD.COLS_SHADOW[2] -.sym 12587 KEYBOARD.is_pressed -.sym 12588 $abc$56607$n723 -.sym 12591 $abc$56607$n726 -.sym 12592 KEYBOARD.COLS_SHADOW[0] -.sym 12593 KEYBOARD.is_pressed -.sym 12594 $abc$56607$n727 -.sym 12597 $abc$56607$n719 -.sym 12598 KEYBOARD.COLS_SHADOW[3] -.sym 12599 $abc$56607$n711 +.sym 12463 $abc$27697$n1911 +.sym 12464 $abc$27697$n1910 +.sym 12465 $abc$27697$n1082 +.sym 12466 $abc$27697$n1907 +.sym 12467 $abc$27697$n1909 +.sym 12468 I2C.byte_counter[3] +.sym 12469 I2C.byte_counter[5] +.sym 12470 I2C.byte_counter[7] +.sym 12573 $abc$27697$n1486 +.sym 12574 I2C.byte_counter[5] +.sym 12575 $false +.sym 12576 $false +.sym 12579 $abc$27697$n949 +.sym 12580 $abc$27697$n1081 +.sym 12581 $abc$27697$n1659 +.sym 12582 $abc$27697$n948 +.sym 12585 $abc$27697$n949 +.sym 12586 $abc$27697$n1116 +.sym 12587 $abc$27697$n948 +.sym 12588 $false +.sym 12591 $abc$27697$n1657 +.sym 12592 $abc$27697$n949 +.sym 12593 $abc$27697$n1078 +.sym 12594 $abc$27697$n948 +.sym 12597 $abc$27697$n1486 +.sym 12598 I2C.byte_counter[4] +.sym 12599 $false .sym 12600 $false -.sym 12603 $abc$56607$n721 -.sym 12604 $abc$56607$n725 +.sym 12603 $abc$27697$n1486 +.sym 12604 I2C.byte_counter[6] .sym 12605 $false .sym 12606 $false -.sym 12609 $abc$56607$n717 -.sym 12610 KEYBOARD.COLS_SHADOW[1] -.sym 12611 KEYBOARD.is_pressed -.sym 12612 $abc$56607$n709 -.sym 12615 $abc$56607$n676 -.sym 12616 $abc$56607$n715 -.sym 12617 $abc$56607$n728 -.sym 12618 $abc$56607$n720 -.sym 12622 $abc$56607$n709 -.sym 12623 $abc$56607$n679 -.sym 12624 $abc$56607$n710 -.sym 12625 $abc$56607$n693 -.sym 12626 $abc$56607$n723 -.sym 12627 $abc$56607$n727 -.sym 12628 $abc$56607$n724 -.sym 12629 KEYBOARD.row_counter[3] -.sym 12696 $abc$56607$n723 -.sym 12697 $abc$56607$n727 +.sym 12609 $abc$27697$n964 +.sym 12610 I2C.byte_counter[6] +.sym 12611 $abc$27697$n1116 +.sym 12612 $false +.sym 12615 $abc$27697$n1133 +.sym 12616 I2C.byte_counter[4] +.sym 12617 $abc$27697$n1116 +.sym 12618 $false +.sym 12619 $true +.sym 12620 CLK$2$2 +.sym 12621 $false +.sym 12622 $abc$27697$n1653 +.sym 12623 $abc$27697$n956 +.sym 12624 $abc$27697$n950 +.sym 12625 $abc$27697$n1072 +.sym 12626 $abc$27697$n946 +.sym 12627 $abc$27697$n947 +.sym 12628 I2C.byte_counter[2] +.sym 12629 I2C.byte_counter[0] +.sym 12658 $true +.sym 12695 UART.tx_clk_counter[0]$2 +.sym 12696 $false +.sym 12697 UART.tx_clk_counter[0] .sym 12698 $false .sym 12699 $false -.sym 12702 KEYBOARD.COLS_SHADOW[0] -.sym 12703 $abc$56607$n726 -.sym 12704 $abc$56607$n727 -.sym 12705 $false -.sym 12708 KEYBOARD.COLS_SHADOW[7] -.sym 12709 $abc$56607$n685 -.sym 12710 $abc$56607$n679 -.sym 12711 $abc$56607$n706 -.sym 12714 $abc$56607$n685 -.sym 12715 KEYBOARD.COLS_SHADOW[7] -.sym 12716 $abc$56607$n679 -.sym 12717 $false -.sym 12720 $abc$56607$n719 -.sym 12721 KEYBOARD.COLS_SHADOW[3] -.sym 12722 $abc$56607$n711 -.sym 12723 $false -.sym 12726 $abc$56607$n717 -.sym 12727 KEYBOARD.COLS_SHADOW[1] -.sym 12728 $abc$56607$n709 +.sym 12701 $auto$alumacc.cc:470:replace_alu$6067.C[2] +.sym 12703 UART.tx_clk_counter[1] +.sym 12704 $true$2 +.sym 12707 $auto$alumacc.cc:470:replace_alu$6067.C[3] +.sym 12708 $false +.sym 12709 UART.tx_clk_counter[2] +.sym 12710 $true$2 +.sym 12711 $auto$alumacc.cc:470:replace_alu$6067.C[2] +.sym 12714 $false +.sym 12715 UART.tx_clk_counter[3] +.sym 12716 $true$2 +.sym 12717 $auto$alumacc.cc:470:replace_alu$6067.C[3] +.sym 12720 I2C.byte_counter[3] +.sym 12721 I2C.byte_counter[4] +.sym 12722 I2C.byte_counter[5] +.sym 12723 I2C.byte_counter[7] +.sym 12726 $abc$27697$n950 +.sym 12727 $abc$27697$n1081 +.sym 12728 $abc$27697$n951 .sym 12729 $false -.sym 12732 KEYBOARD.COLS_SHADOW[7] -.sym 12733 $abc$56607$n685 -.sym 12734 $abc$56607$n679 +.sym 12732 $abc$27697$n1097 +.sym 12733 $abc$27697$n674 +.sym 12734 $false .sym 12735 $false -.sym 12738 $abc$56607$n726 -.sym 12739 KEYBOARD.COLS_SHADOW[0] -.sym 12740 $abc$56607$n727 +.sym 12738 $abc$27697$n1096 +.sym 12739 $abc$27697$n674 +.sym 12740 $false .sym 12741 $false -.sym 12742 $abc$56607$n1484 +.sym 12742 $abc$27697$n533 .sym 12743 CLK$2$2 -.sym 12744 $abc$56607$n31$2 -.sym 12747 $abc$56607$n2237 -.sym 12748 $abc$56607$n28 -.sym 12749 $abc$56607$n2250 -.sym 12750 $abc$56607$n2463 -.sym 12751 KEYBOARD.report[6][0] -.sym 12752 KEYBOARD.report[6][3] -.sym 12819 KEYBOARD.kbd_code_hid[2] -.sym 12820 KEYBOARD.kbd_code_hid[1] -.sym 12821 KEYBOARD.kbd_code_hid[0] -.sym 12822 $false -.sym 12825 $abc$56607$n923 -.sym 12826 I2C.FLT_SCL.RESET -.sym 12827 $false -.sym 12828 $false -.sym 12831 KEYBOARD.kbd_code_hid[1] -.sym 12832 KEYBOARD.kbd_code_hid[0] -.sym 12833 KEYBOARD.kbd_code_hid[2] -.sym 12834 $false -.sym 12849 $abc$56607$n27 -.sym 12850 KEYBOARD.report[0][4] -.sym 12851 $abc$56607$n1373 -.sym 12852 $false -.sym 12855 $abc$56607$n27 -.sym 12856 KEYBOARD.report[0][7] -.sym 12857 $abc$56607$n918 +.sym 12744 $abc$27697$n23$2 +.sym 12745 $abc$27697$n1101 +.sym 12746 $abc$27697$n954_1 +.sym 12747 $abc$27697$n633 +.sym 12748 $abc$27697$n640 +.sym 12749 $abc$27697$n639 +.sym 12750 $abc$27697$n637 +.sym 12751 $abc$27697$n635 +.sym 12752 I2C.byte_counter[1] +.sym 12837 I2C.i2c_start_latency +.sym 12838 I2C.i2c_state_machine +.sym 12839 $abc$27697$n1486 +.sym 12840 $false +.sym 12843 I2C.FLT_SCL.RESET$2 +.sym 12844 $abc$27697$n672 +.sym 12845 $false +.sym 12846 $false +.sym 12855 $abc$27697$n1486 +.sym 12856 $false +.sym 12857 $false .sym 12858 $false -.sym 12861 $abc$56607$n27 -.sym 12862 KEYBOARD.report[0][1] -.sym 12863 $abc$56607$n1367 -.sym 12864 $false -.sym 12865 $abc$56607$n1457 +.sym 12865 $abc$27697$n527 .sym 12866 CLK$2$2 -.sym 12867 $abc$56607$n35$2 -.sym 12868 $abc$56607$n989 -.sym 12869 $abc$56607$n926 -.sym 12870 $abc$56607$n1450 -.sym 12871 $abc$56607$n904 -.sym 12872 $abc$56607$n1483 -.sym 12873 $abc$56607$n975 -.sym 12874 $abc$56607$n905 -.sym 12875 KEYBOARD.isr -.sym 12942 $abc$56607$n915 -.sym 12943 KEYBOARD.init_ram_cnt[8] -.sym 12944 I2C.FLT_SCL.RESET -.sym 12945 $false -.sym 12948 $abc$56607$n915 -.sym 12949 I2C.FLT_SCL.RESET -.sym 12950 KEYBOARD.init_ram_cnt[8] +.sym 12867 $false +.sym 12873 KEYBOARD.is_pressed +.sym 12948 last_wr +.sym 12949 I2C.wr +.sym 12950 $false .sym 12951 $false -.sym 12954 $abc$56607$n916 -.sym 12955 $abc$56607$n920 -.sym 12956 $abc$56607$n923 -.sym 12957 $false -.sym 12960 KEYBOARD.kbd_code_hid[2] -.sym 12961 KEYBOARD.kbd_code_hid[0] -.sym 12962 KEYBOARD.kbd_code_hid[1] +.sym 12960 I2C.i2c_bit_counter[3] +.sym 12961 $abc$27697$n1486 +.sym 12962 $false .sym 12963 $false -.sym 12966 KEYBOARD.kbd_code_hid[2] -.sym 12967 KEYBOARD.kbd_code_hid[1] -.sym 12968 KEYBOARD.kbd_code_hid[0] +.sym 12966 $abc$27697$n1486 +.sym 12967 I2C.i2c_bit_counter[0] +.sym 12968 $false .sym 12969 $false -.sym 12972 $abc$56607$n27 -.sym 12973 KEYBOARD.report[0][0] -.sym 12974 $abc$56607$n921 -.sym 12975 $false -.sym 12978 $abc$56607$n27 -.sym 12979 KEYBOARD.report[0][2] -.sym 12980 $abc$56607$n1369 -.sym 12981 $false -.sym 12984 $abc$56607$n27 -.sym 12985 KEYBOARD.report[0][3] -.sym 12986 $abc$56607$n1371 -.sym 12987 $false -.sym 12988 $abc$56607$n1457 +.sym 12972 $false +.sym 12973 $abc$27697$n1488 +.sym 12974 $false +.sym 12975 $true$2 +.sym 12978 $abc$27697$n1914 +.sym 12979 $abc$27697$n918 +.sym 12980 $abc$27697$n939 +.sym 12981 $abc$27697$n944 +.sym 12984 $abc$27697$n944 +.sym 12985 $abc$27697$n1488 +.sym 12986 $abc$27697$n915 +.sym 12987 $abc$27697$n939 +.sym 12988 $true .sym 12989 CLK$2$2 -.sym 12990 $abc$56607$n35$2 -.sym 12991 $abc$56607$n909 -.sym 12992 $abc$56607$n910 -.sym 12993 $abc$56607$n1522 -.sym 12994 $abc$56607$n1515_1 -.sym 12995 KEYBOARD.report[1][2] -.sym 12996 KEYBOARD.report[1][0] -.sym 12997 KEYBOARD.report[1][5] -.sym 12998 KEYBOARD.report[1][3] -.sym 13065 $abc$56607$n920 -.sym 13066 $abc$56607$n916 -.sym 13067 $abc$56607$n943 -.sym 13068 I2C.FLT_SCL.RESET -.sym 13071 $abc$56607$n920 -.sym 13072 $abc$56607$n916 -.sym 13073 $abc$56607$n943 -.sym 13074 I2C.FLT_SCL.RESET -.sym 13077 $abc$56607$n923 -.sym 13078 KEYBOARD.init_ram_cnt[8] +.sym 12990 $false +.sym 12993 $abc$27697$n917 +.sym 12994 $abc$27697$n918 +.sym 12995 $abc$27697$n1891 +.sym 12996 $abc$27697$n1494 +.sym 12997 KEYBOARD.ROWS_EN[15] +.sym 12998 KEYBOARD.ROWS_EN[14] +.sym 13065 I2C.i2c_bit_counter[0] +.sym 13066 I2C.i2c_bit_counter[1] +.sym 13067 $abc$27697$n1486 +.sym 13068 $false +.sym 13071 I2C.i2c_state_machine +.sym 13072 I2C.i2c_start_latency +.sym 13073 $false +.sym 13074 $false +.sym 13077 $abc$27697$n1490 +.sym 13078 $false .sym 13079 $false .sym 13080 $false -.sym 13083 KEYBOARD.kbd_code_hid[7] -.sym 13084 KEYBOARD.kbd_code_hid[6] -.sym 13085 KEYBOARD.kbd_code_hid[4] -.sym 13086 KEYBOARD.kbd_code_hid[5] -.sym 13089 KEYBOARD.kbd_code_hid[3] -.sym 13090 $abc$56607$n922 -.sym 13091 $abc$56607$n921 -.sym 13092 $false -.sym 13095 KEYBOARD.kbd_code_hid[2] -.sym 13096 KEYBOARD.kbd_code_hid[1] -.sym 13097 KEYBOARD.kbd_code_hid[0] +.sym 13083 I2C.i2c_start_latency +.sym 13084 $abc$27697$n1486 +.sym 13085 I2C.i2c_state_machine +.sym 13086 I2C.is_read +.sym 13095 $abc$27697$n1486 +.sym 13096 I2C.i2c_bit_counter[1] +.sym 13097 $false .sym 13098 $false -.sym 13101 $abc$56607$n943 -.sym 13102 I2C.FLT_SCL.RESET +.sym 13101 $abc$27697$n1488 +.sym 13102 $false .sym 13103 $false .sym 13104 $false -.sym 13107 KEYBOARD.kbd_code_hid[2] +.sym 13107 I2C.FLT_SDA.out .sym 13108 $false .sym 13109 $false .sym 13110 $false -.sym 13111 $abc$56607$n1328 +.sym 13111 $abc$27697$n478 .sym 13112 CLK$2$2 -.sym 13113 $abc$56607$n27 -.sym 13114 $abc$56607$n911 -.sym 13115 $abc$56607$n1375 -.sym 13116 $abc$56607$n1163 -.sym 13117 $abc$56607$n1524_1 -.sym 13118 $abc$56607$n1188 -.sym 13119 $abc$56607$n1485 -.sym 13120 KEYBOARD.report[0][6] -.sym 13121 KEYBOARD.report[0][5] -.sym 13188 KEYBOARD.report[0][3] -.sym 13189 KEYBOARD.report[1][3] -.sym 13190 $abc$56607$n1124 -.sym 13191 $abc$56607$n1116 -.sym 13200 KEYBOARD.report[0][2] -.sym 13201 KEYBOARD.report[1][2] -.sym 13202 $abc$56607$n1124 +.sym 13113 $false +.sym 13114 $abc$27697$n630 +.sym 13115 $abc$27697$n631 +.sym 13116 $abc$27697$n636 +.sym 13117 $abc$27697$n632 +.sym 13118 $abc$27697$n641_1 +.sym 13119 $abc$27697$n603 +.sym 13120 $abc$27697$n642 +.sym 13121 I2C.received_byte[1] +.sym 13188 $abc$27697$n666 +.sym 13189 $abc$27697$n657 +.sym 13190 $false +.sym 13191 $false +.sym 13194 $abc$27697$n649 +.sym 13195 $abc$27697$n657 +.sym 13196 $false +.sym 13197 $false +.sym 13200 $abc$27697$n658 +.sym 13201 $abc$27697$n915 +.sym 13202 $false .sym 13203 $false -.sym 13206 $abc$56607$n1149 -.sym 13207 $abc$56607$n1152 -.sym 13208 KEYBOARD.report[0][2] -.sym 13209 $abc$56607$n1120 -.sym 13212 KEYBOARD.report[0][4] -.sym 13213 $abc$56607$n1120 -.sym 13214 $abc$56607$n1178 -.sym 13215 $abc$56607$n1116 -.sym 13224 KEYBOARD.report[0][4] -.sym 13225 KEYBOARD.report[1][4] -.sym 13226 $abc$56607$n1124 -.sym 13227 $abc$56607$n1116 -.sym 13230 $abc$56607$n1288 -.sym 13231 $abc$56607$n875 +.sym 13206 $abc$27697$n649 +.sym 13207 $abc$27697$n660 +.sym 13208 $false +.sym 13209 $false +.sym 13212 $abc$27697$n666 +.sym 13213 $abc$27697$n660 +.sym 13214 $false +.sym 13215 $false +.sym 13218 $abc$27697$n915 +.sym 13219 $abc$27697$n658 +.sym 13220 $false +.sym 13221 $false +.sym 13224 I2C.byte_counter[4] +.sym 13225 I2C.byte_counter[5] +.sym 13226 I2C.byte_counter[6] +.sym 13227 I2C.byte_counter[7] +.sym 13230 I2C.FLT_SDA.out +.sym 13231 $false .sym 13232 $false .sym 13233 $false -.sym 13234 $true +.sym 13234 $abc$27697$n498 .sym 13235 CLK$2$2 .sym 13236 $false -.sym 13238 $abc$56607$n1201 -.sym 13239 $abc$56607$n1497 -.sym 13240 $abc$56607$n1528 -.sym 13241 $abc$56607$n1116 -.sym 13242 $abc$56607$n1124 -.sym 13243 $abc$56607$n2458 -.sym 13244 $abc$56607$n1531 -.sym 13311 $abc$56607$n1120 -.sym 13312 KEYBOARD.report[0][7] -.sym 13313 $abc$56607$n1209 -.sym 13314 $abc$56607$n1129 -.sym 13317 $abc$56607$n1124 -.sym 13318 KEYBOARD.report[0][6] -.sym 13319 $abc$56607$n1201 -.sym 13320 $abc$56607$n1129 -.sym 13323 KEYBOARD.report[0][0] -.sym 13324 $abc$56607$n1120 -.sym 13325 $abc$56607$n1518 -.sym 13326 $abc$56607$n1129 -.sym 13329 KEYBOARD.report[1][7] -.sym 13330 $abc$56607$n1126 -.sym 13331 KEYBOARD.report[0][7] -.sym 13332 $abc$56607$n1124 -.sym 13335 $abc$56607$n1124 -.sym 13336 KEYBOARD.report[0][0] -.sym 13337 $abc$56607$n1497 -.sym 13338 $abc$56607$n1129 -.sym 13341 $abc$56607$n1120 -.sym 13342 KEYBOARD.report[0][6] -.sym 13343 $abc$56607$n1531 -.sym 13344 $abc$56607$n1129 +.sym 13237 $abc$27697$n638 +.sym 13238 $abc$27697$n1097_1 +.sym 13239 $abc$27697$n823 +.sym 13240 $abc$27697$n602 +.sym 13241 $0\uart_double_ff[0:0] +.sym 13242 $abc$27697$n591 +.sym 13243 UART.tx_activity +.sym 13244 last_trans +.sym 13311 I2C.wr +.sym 13312 last_wr +.sym 13313 $false +.sym 13314 $false +.sym 13317 $abc$27697$n666 +.sym 13318 $abc$27697$n662 +.sym 13319 $false +.sym 13320 $false +.sym 13323 $abc$27697$n1 +.sym 13324 I2C.wr +.sym 13325 last_wr +.sym 13326 $false +.sym 13329 $abc$27697$n649 +.sym 13330 $abc$27697$n662 +.sym 13331 $false +.sym 13332 $false +.sym 13335 $0\uart_double_ff[0:0] +.sym 13336 I2C.wr +.sym 13337 last_wr +.sym 13338 I2C.FLT_SCL.RESET$2 +.sym 13341 I2C.byte_counter[2] +.sym 13342 I2C.byte_counter[3] +.sym 13343 $abc$27697$n595 +.sym 13344 I2C.byte_counter[1] +.sym 13347 $abc$27697$n658 +.sym 13348 $abc$27697$n915 +.sym 13349 $false +.sym 13350 $false .sym 13353 I2C.FLT_SDA.out .sym 13354 $false .sym 13355 $false .sym 13356 $false -.sym 13357 $abc$56607$n1290 +.sym 13357 $abc$27697$n488 .sym 13358 CLK$2$2 .sym 13359 $false -.sym 13360 $abc$56607$n893 -.sym 13361 $abc$56607$n1126 -.sym 13362 $abc$56607$n1139 -.sym 13363 $abc$56607$n1132 -.sym 13364 $abc$56607$n1130 -.sym 13365 $abc$56607$n1133 -.sym 13366 UART.TX_sig_last -.sym 13367 UART.tx_activity -.sym 13434 I2C.FLT_SCL.RESET -.sym 13435 $abc$56607$n893 +.sym 13360 $abc$27697$n819 +.sym 13361 $abc$27697$n827_1 +.sym 13362 $abc$27697$n828 +.sym 13363 $abc$27697$n830_1 +.sym 13364 $abc$27697$n831 +.sym 13365 $abc$27697$n822 +.sym 13366 $abc$27697$n824 +.sym 13367 I2C.received_byte[6] +.sym 13434 I2C_TRANS +.sym 13435 last_trans .sym 13436 $false .sym 13437 $false -.sym 13446 I2C.received_byte[7] -.sym 13447 I2C.received_byte[3] -.sym 13448 $abc$56607$n1279 -.sym 13449 I2C.received_byte[6] -.sym 13452 I2C.received_byte[4] -.sym 13453 I2C.received_byte[1] -.sym 13454 I2C.received_byte[2] -.sym 13455 I2C.received_byte[5] -.sym 13458 $abc$56607$n895 -.sym 13459 $abc$56607$n898 -.sym 13460 $abc$56607$n893 -.sym 13461 I2C.FLT_SCL.RESET -.sym 13464 $abc$56607$n670 -.sym 13465 $abc$56607$n1130 +.sym 13440 $abc$27697$n496 +.sym 13441 $abc$27697$n574 +.sym 13442 I2C.FLT_SCL.RESET$2 +.sym 13443 $abc$27697$n572 +.sym 13446 $abc$27697$n571 +.sym 13447 $abc$27697$n576 +.sym 13448 $abc$27697$n578 +.sym 13449 $false +.sym 13452 $abc$27697$n574 +.sym 13453 I2C.FLT_SCL.RESET$2 +.sym 13454 $abc$27697$n583 +.sym 13455 $false +.sym 13458 $abc$27697$n574 +.sym 13459 $abc$27697$n572 +.sym 13460 I2C.FLT_SCL.RESET$2 +.sym 13461 $abc$27697$n583 +.sym 13464 $abc$27697$n291 +.sym 13465 $abc$27697$n19 .sym 13466 $false .sym 13467 $false -.sym 13470 $abc$56607$n22 -.sym 13471 $false +.sym 13470 $abc$27697$n496 +.sym 13471 I2C.FLT_SCL.RESET$2 .sym 13472 $false .sym 13473 $false -.sym 13476 I2C.FLT_SDA.out +.sym 13476 $0\uart_double_ff[0:0] .sym 13477 $false .sym 13478 $false .sym 13479 $false -.sym 13480 $abc$56607$n1302 +.sym 13480 $abc$27697$n467 .sym 13481 CLK$2$2 .sym 13482 $false -.sym 13483 $abc$56607$n832 -.sym 13484 $abc$56607$n1540 -.sym 13485 $abc$56607$n1113 -.sym 13486 $abc$56607$n1504 -.sym 13487 $abc$56607$n808 -.sym 13488 $abc$56607$n1502_1 -.sym 13489 $abc$56607$n804 -.sym 13490 $abc$56607$n1503 -.sym 13557 $abc$56607$n22 -.sym 13558 I2C.byte_counter[1] -.sym 13559 $abc$56607$n810 +.sym 13483 $abc$27697$n793 +.sym 13484 $abc$27697$n629 +.sym 13485 $abc$27697$n794 +.sym 13486 $abc$27697$n825 +.sym 13487 i2c_input_data_type[2] +.sym 13488 i2c_input_data_type[3] +.sym 13489 i2c_input_data_type[1] +.sym 13490 i2c_input_data_type[0] +.sym 13557 $abc$27697$n574 +.sym 13558 I2C.FLT_SCL.RESET$2 +.sym 13559 $abc$27697$n1087_1 .sym 13560 $false -.sym 13563 $abc$56607$n1536_1 -.sym 13564 $abc$56607$n1543 -.sym 13565 $abc$56607$n816_1 -.sym 13566 $abc$56607$n1542 -.sym 13569 I2C.byte_counter[1] -.sym 13570 $abc$56607$n1541_1 -.sym 13571 $abc$56607$n833 -.sym 13572 $abc$56607$n754 -.sym 13575 I2C.byte_counter[0] -.sym 13576 I2C.byte_counter[1] -.sym 13577 $abc$56607$n807 +.sym 13563 $abc$27697$n571 +.sym 13564 $abc$27697$n19 +.sym 13565 $false +.sym 13566 $false +.sym 13569 $abc$27697$n576 +.sym 13570 $abc$27697$n577 +.sym 13571 $abc$27697$n571 +.sym 13572 $abc$27697$n575 +.sym 13575 UART.tx_activity +.sym 13576 last_uart_active +.sym 13577 uart_double_ff .sym 13578 $false -.sym 13581 I2C.byte_counter[0] -.sym 13582 $abc$56607$n673 -.sym 13583 $abc$56607$n834 -.sym 13584 I2C.is_read -.sym 13587 $abc$56607$n834 -.sym 13588 $abc$56607$n809 -.sym 13589 $abc$56607$n1537 -.sym 13590 I2C.byte_counter[0] -.sym 13593 $abc$56607$n673 -.sym 13594 $abc$56607$n807 -.sym 13595 I2C.is_read -.sym 13596 $abc$56607$n754 -.sym 13599 I2C.FLT_SDA.out -.sym 13600 $false +.sym 13581 $0\uart_double_ff[0:0] +.sym 13582 $abc$27697$n19 +.sym 13583 $false +.sym 13584 $false +.sym 13587 I2C.FLT_SCL.RESET$2 +.sym 13588 $abc$27697$n574 +.sym 13589 $abc$27697$n578 +.sym 13590 $false +.sym 13593 I2C_OUTPUT_TYPE[2] +.sym 13594 $abc$27697$n579 +.sym 13595 $abc$27697$n578 +.sym 13596 $abc$27697$n570 +.sym 13599 $abc$27697$n576 +.sym 13600 $abc$27697$n572 .sym 13601 $false .sym 13602 $false -.sym 13603 $abc$56607$n1278 +.sym 13603 $abc$27697$n288 .sym 13604 CLK$2$2 -.sym 13605 $false -.sym 13606 $abc$56607$n1095 -.sym 13607 $abc$56607$n809 -.sym 13608 $abc$56607$n1077 -.sym 13609 $abc$56607$n1478 -.sym 13610 $abc$56607$n1251 -.sym 13611 $abc$56607$n1096 -.sym 13612 i2c_input_data_type[2] -.sym 13613 i2c_input_data_type[1] -.sym 13680 $abc$56607$n1079 -.sym 13681 $abc$56607$n1082 -.sym 13682 $abc$56607$n745 +.sym 13605 $abc$27697$n23$2 +.sym 13606 $abc$27697$n1096_1 +.sym 13607 $abc$27697$n643 +.sym 13608 $abc$27697$n1092 +.sym 13609 $abc$27697$n542 +.sym 13611 $abc$27697$n645 +.sym 13612 $abc$27697$n644 +.sym 13613 LED1$2 +.sym 13680 UART_WR +.sym 13681 UART.TX_sig_last +.sym 13682 UART.tx_activity .sym 13683 $false -.sym 13686 $abc$56607$n1540 -.sym 13687 $abc$56607$n825 -.sym 13688 $abc$56607$n822 -.sym 13689 I2C.byte_counter[0] -.sym 13692 $abc$56607$n1073 -.sym 13693 I2C.received_byte[2] -.sym 13694 $abc$56607$n834 -.sym 13695 $abc$56607$n812 -.sym 13698 I2C.received_byte[1] -.sym 13699 $abc$56607$n1073 -.sym 13700 $abc$56607$n1079 -.sym 13701 $abc$56607$n745 -.sym 13704 I2C.received_byte[0] -.sym 13705 I2C.received_byte[3] -.sym 13706 I2C.received_byte[1] -.sym 13707 I2C.received_byte[2] -.sym 13710 I2C.received_byte[4] -.sym 13711 I2C.received_byte[5] -.sym 13712 $abc$56607$n828 -.sym 13713 $abc$56607$n835 -.sym 13716 $abc$56607$n812 -.sym 13717 $abc$56607$n828 -.sym 13718 $abc$56607$n835 +.sym 13686 $abc$27697$n578 +.sym 13687 I2C.is_read +.sym 13688 $abc$27697$n570 +.sym 13689 $false +.sym 13692 LED1$2 +.sym 13693 UART_WR +.sym 13694 last_isr +.sym 13695 KEYBOARD.isr +.sym 13698 $abc$27697$n44 +.sym 13699 $false +.sym 13700 $false +.sym 13701 $false +.sym 13710 KEYBOARD.isr +.sym 13711 $false +.sym 13712 $false +.sym 13713 $false +.sym 13716 UART.tx_activity +.sym 13717 $false +.sym 13718 $false .sym 13719 $false -.sym 13722 I2C.received_byte[4] -.sym 13723 I2C.received_byte[5] -.sym 13724 $abc$56607$n1074 +.sym 13722 UART_WR +.sym 13723 $false +.sym 13724 $false .sym 13725 $false -.sym 13729 $abc$56607$n848 -.sym 13730 $abc$56607$n1509 -.sym 13731 $abc$56607$n863 -.sym 13732 $abc$56607$n1075 -.sym 13733 $abc$56607$n1211 -.sym 13734 $abc$56607$n849 -.sym 13735 i2c_input_data_type[0] -.sym 13736 i2c_input_data_type[3] -.sym 13803 $abc$56607$n1073 -.sym 13804 I2C.received_byte[0] -.sym 13805 I2C.received_byte[4] -.sym 13806 $abc$56607$n1074 -.sym 13809 $abc$56607$n988 -.sym 13810 I2C.is_read -.sym 13811 $false -.sym 13812 $false -.sym 13815 $abc$56607$n667 -.sym 13816 $abc$56607$n747 -.sym 13817 $abc$56607$n742 -.sym 13818 $false -.sym 13821 $abc$56607$n1073 -.sym 13822 $abc$56607$n745 -.sym 13823 I2C.received_byte[3] -.sym 13824 $false -.sym 13827 $abc$56607$n769 -.sym 13828 I2C.FLT_SCL.RESET -.sym 13829 $false -.sym 13830 $false -.sym 13833 $abc$56607$n741 -.sym 13834 $abc$56607$n774 -.sym 13835 $abc$56607$n776 -.sym 13836 $abc$56607$n772 -.sym 13839 $abc$56607$n836 -.sym 13840 $abc$56607$n776 -.sym 13841 $abc$56607$n819 -.sym 13842 $abc$56607$n1544_1 -.sym 13845 $3\report_wr_en[0:0] +.sym 13726 I2C.FLT_SCL.RESET$2 +.sym 13727 CLK$2$2 +.sym 13728 $false +.sym 13730 $abc$27697$n859 +.sym 13731 $abc$27697$n858 +.sym 13732 $abc$27697$n860 +.sym 13733 $abc$27697$n854_1 +.sym 13734 $abc$27697$n856 +.sym 13735 KEYBOARD.report[3][6] +.sym 13736 KEYBOARD.report[3][7] +.sym 13803 $abc$27697$n823 +.sym 13804 $abc$27697$n850_1 +.sym 13805 $false +.sym 13806 $false +.sym 13815 $abc$27697$n866 +.sym 13816 I2C.byte_counter[0] +.sym 13817 I2C.byte_counter[1] +.sym 13818 $abc$27697$n873 +.sym 13821 I2C.byte_counter[0] +.sym 13822 I2C.byte_counter[1] +.sym 13823 $abc$27697$n866 +.sym 13824 $abc$27697$n873 +.sym 13827 KEYBOARD.report[3][4] +.sym 13828 KEYBOARD.report[3][5] +.sym 13829 KEYBOARD.report[3][6] +.sym 13830 KEYBOARD.report[3][7] +.sym 13833 $false +.sym 13834 I2C.byte_counter[0] +.sym 13835 $false +.sym 13836 $true$2 +.sym 13839 KEYBOARD.kbd_code_hid[4] +.sym 13840 $false +.sym 13841 $false +.sym 13842 $false +.sym 13845 KEYBOARD.kbd_code_hid[5] .sym 13846 $false .sym 13847 $false .sym 13848 $false -.sym 13849 $abc$56607$n1014 +.sym 13849 $abc$27697$n626 .sym 13850 CLK$2$2 -.sym 13851 $abc$56607$n23 -.sym 13852 $abc$56607$n873 -.sym 13853 $abc$56607$n760 -.sym 13854 $abc$56607$n901 -.sym 13855 $abc$56607$n1191 -.sym 13856 $abc$56607$n766 -.sym 13857 $abc$56607$n778 -.sym 13858 $abc$56607$n779 -.sym 13859 uart_double_ff -.sym 13926 $abc$56607$n741 -.sym 13927 $abc$56607$n774 -.sym 13928 $abc$56607$n754 -.sym 13929 $abc$56607$n988 -.sym 13932 last_trans -.sym 13933 I2C_TRANS -.sym 13934 $false -.sym 13935 $false -.sym 13938 $2\uart_double_ff[0:0] -.sym 13939 $abc$56607$n19 -.sym 13940 $false +.sym 13851 $abc$27697$n25$2 +.sym 13852 $abc$27697$n876 +.sym 13853 $abc$27697$n851_1 +.sym 13854 $abc$27697$n863 +.sym 13855 $abc$27697$n896 +.sym 13856 $abc$27697$n893 +.sym 13857 $abc$27697$n857_1 +.sym 13859 $abc$27697$n877_1 +.sym 13926 $abc$27697$n1144 +.sym 13927 $abc$27697$n741_1 +.sym 13928 $abc$27697$n1124_1 +.sym 13929 $abc$27697$n1142_1 +.sym 13932 $abc$27697$n683 +.sym 13933 $abc$27697$n732_1 +.sym 13934 $abc$27697$n1145_1 +.sym 13935 $abc$27697$n714 +.sym 13938 $abc$27697$n856 +.sym 13939 KEYBOARD.report[2][0] +.sym 13940 $abc$27697$n853_1 .sym 13941 $false -.sym 13944 $abc$56607$n742 -.sym 13945 $abc$56607$n19 -.sym 13946 $abc$56607$n741 -.sym 13947 $false -.sym 13950 $abc$56607$n742 -.sym 13951 $abc$56607$n19 -.sym 13952 $abc$56607$n776 -.sym 13953 $false -.sym 13956 $abc$56607$n754 -.sym 13957 $abc$56607$n819 -.sym 13958 $abc$56607$n769 +.sym 13944 KEYBOARD.kbd_code_hid[4] +.sym 13945 KEYBOARD.report[3][4] +.sym 13946 KEYBOARD.kbd_code_hid[5] +.sym 13947 KEYBOARD.report[3][5] +.sym 13950 KEYBOARD.kbd_code_hid[6] +.sym 13951 KEYBOARD.report[3][6] +.sym 13952 KEYBOARD.kbd_code_hid[7] +.sym 13953 KEYBOARD.report[3][7] +.sym 13956 $abc$27697$n858 +.sym 13957 KEYBOARD.report[3][1] +.sym 13958 $abc$27697$n865 .sym 13959 $false -.sym 13962 $abc$56607$n742 -.sym 13963 $abc$56607$n19 -.sym 13964 $abc$56607$n769 -.sym 13965 $abc$56607$n870 -.sym 13968 I2C_TRANS +.sym 13962 KEYBOARD.kbd_code_hid[0] +.sym 13963 KEYBOARD.report[3][0] +.sym 13964 KEYBOARD.kbd_code_hid[1] +.sym 13965 KEYBOARD.report[3][1] +.sym 13968 KEYBOARD.kbd_code_hid[0] .sym 13969 $false .sym 13970 $false .sym 13971 $false -.sym 13972 $abc$56607$n1221 +.sym 13972 $abc$27697$n626 .sym 13973 CLK$2$2 -.sym 13974 $abc$56607$n35$2 -.sym 13975 $abc$56607$n2551 -.sym 13976 $abc$56607$n2548 -.sym 13977 $abc$56607$n1230 -.sym 13978 $abc$56607$n1233 -.sym 13979 $abc$56607$n1232_1 -.sym 13980 $abc$56607$n1231 -.sym 13981 report_data_wadr[3] -.sym 14049 $abc$56607$n733 -.sym 14050 $abc$56607$n670 -.sym 14051 $false -.sym 14052 $false -.sym 14055 $abc$56607$n22 -.sym 14056 $3\report_wr_en[0:0] -.sym 14057 I2C.FLT_SCL.RESET -.sym 14058 $false -.sym 14067 ring_wr[3] -.sym 14068 $abc$56607$n1911 -.sym 14069 $abc$56607$n1089 -.sym 14070 $false -.sym 14073 $2\ring_wr[3:0][1] -.sym 14074 $false -.sym 14075 $false -.sym 14076 $false -.sym 14079 $2\ring_wr[3:0][2] -.sym 14080 $false -.sym 14081 $false -.sym 14082 $false -.sym 14085 $2\ring_wr[3:0][0] -.sym 14086 $false +.sym 13974 $abc$27697$n25$2 +.sym 13975 $abc$27697$n651 +.sym 13976 $abc$27697$n898 +.sym 13977 $abc$27697$n746_1 +.sym 13978 $abc$27697$n686 +.sym 13979 $abc$27697$n685 +.sym 13980 $abc$27697$n866_1 +.sym 13981 $abc$27697$n684 +.sym 13982 KEYBOARD.report[1][4] +.sym 14049 KEYBOARD.kbd_code_hid[0] +.sym 14050 KEYBOARD.report[2][0] +.sym 14051 KEYBOARD.kbd_code_hid[4] +.sym 14052 KEYBOARD.report[2][4] +.sym 14055 $abc$27697$n858 +.sym 14056 KEYBOARD.report[3][5] +.sym 14057 $abc$27697$n887 +.sym 14058 $abc$27697$n888 +.sym 14061 $abc$27697$n684 +.sym 14062 $abc$27697$n687 +.sym 14063 $false +.sym 14064 $false +.sym 14067 $abc$27697$n746_1 +.sym 14068 $abc$27697$n747 +.sym 14069 $abc$27697$n748 +.sym 14070 $abc$27697$n749 +.sym 14073 $abc$27697$n858 +.sym 14074 KEYBOARD.report[3][4] +.sym 14075 KEYBOARD.report[5][4] +.sym 14076 $abc$27697$n860 +.sym 14079 $abc$27697$n745 +.sym 14080 $abc$27697$n744 +.sym 14081 $abc$27697$n25$2 +.sym 14082 $abc$27697$n692_1 +.sym 14085 $abc$27697$n684 +.sym 14086 $abc$27697$n687 .sym 14087 $false .sym 14088 $false -.sym 14091 $2\ring_wr[3:0][3] +.sym 14091 KEYBOARD.kbd_code_hid[1] .sym 14092 $false .sym 14093 $false .sym 14094 $false -.sym 14095 $abc$56607$n992 +.sym 14095 $abc$27697$n641 .sym 14096 CLK$2$2 -.sym 14097 $abc$56607$n35$2 -.sym 14098 $abc$56607$n1223 -.sym 14099 $abc$56607$n1228 -.sym 14100 $abc$56607$n1226 -.sym 14101 $abc$56607$n895 -.sym 14102 $abc$56607$n1227_1 -.sym 14103 $abc$56607$n1224 -.sym 14104 report_data_wadr[2] -.sym 14105 report_data_wadr[1] -.sym 14214 $abc$56607$n1089 -.sym 14215 ring_wr[0] -.sym 14216 ring_wr[1] +.sym 14097 $abc$27697$n25$2 +.sym 14102 $abc$27697$n1671 +.sym 14103 $abc$27697$n897 +.sym 14104 $abc$27697$n702_1 +.sym 14105 $abc$27697$n700 +.sym 14172 $abc$27697$n688 +.sym 14173 $abc$27697$n689_1 +.sym 14174 $false +.sym 14175 $false +.sym 14178 $abc$27697$n856 +.sym 14179 KEYBOARD.report[2][2] +.sym 14180 KEYBOARD.report[5][2] +.sym 14181 $abc$27697$n860 +.sym 14184 KEYBOARD.kbd_code_hid[2] +.sym 14185 KEYBOARD.report[2][2] +.sym 14186 KEYBOARD.kbd_code_hid[7] +.sym 14187 KEYBOARD.report[2][7] +.sym 14190 KEYBOARD.report[2][0] +.sym 14191 KEYBOARD.report[2][1] +.sym 14192 KEYBOARD.report[2][2] +.sym 14193 KEYBOARD.report[2][3] +.sym 14196 $abc$27697$n700 +.sym 14197 $abc$27697$n716 +.sym 14198 $abc$27697$n1141 +.sym 14199 $abc$27697$n714 +.sym 14202 $abc$27697$n856 +.sym 14203 KEYBOARD.report[2][5] +.sym 14204 KEYBOARD.report[5][5] +.sym 14205 $abc$27697$n860 +.sym 14208 KEYBOARD.report[2][4] +.sym 14209 KEYBOARD.report[2][5] +.sym 14210 KEYBOARD.report[2][6] +.sym 14211 KEYBOARD.report[2][7] +.sym 14214 KEYBOARD.kbd_code_hid[0] +.sym 14215 $false +.sym 14216 $false .sym 14217 $false -.sym 14221 $abc$56607$n1541 -.sym 14222 $abc$56607$n896 -.sym 14224 UART.tx_clk_counter[0] -.sym 14226 UART.tx_clk_counter[1] -.sym 14257 $true -.sym 14294 UART.tx_clk_counter[0]$2 -.sym 14295 $false -.sym 14296 UART.tx_clk_counter[0] +.sym 14218 $abc$27697$n641 +.sym 14219 CLK$2$2 +.sym 14220 $abc$27697$n25$2 +.sym 14221 $abc$27697$n1121_1 +.sym 14222 $abc$27697$n1139 +.sym 14223 $abc$27697$n1141 +.sym 14224 $abc$27697$n701 +.sym 14225 KEYBOARD.report[5][2] +.sym 14226 KEYBOARD.report[5][7] +.sym 14227 KEYBOARD.report[5][3] +.sym 14228 KEYBOARD.report[5][6] +.sym 14295 I2C.FLT_SCL.RESET$2 +.sym 14296 $false .sym 14297 $false .sym 14298 $false -.sym 14300 $auto$alumacc.cc:470:replace_alu$12197.C[2] -.sym 14302 UART.tx_clk_counter[1] -.sym 14303 $true$2 -.sym 14306 $auto$alumacc.cc:470:replace_alu$12197.C[3] -.sym 14307 $false -.sym 14308 UART.tx_clk_counter[2] -.sym 14309 $true$2 -.sym 14310 $auto$alumacc.cc:470:replace_alu$12197.C[2] -.sym 14313 $false -.sym 14314 UART.tx_clk_counter[3] -.sym 14315 $true$2 -.sym 14316 $auto$alumacc.cc:470:replace_alu$12197.C[3] -.sym 14319 KEYBOARD.init_ram_cnt[8] +.sym 14301 KEYBOARD.kbd_code_hid[5] +.sym 14302 KEYBOARD.report[2][5] +.sym 14303 KEYBOARD.kbd_code_hid[6] +.sym 14304 KEYBOARD.report[2][6] +.sym 14307 KEYBOARD.kbd_code_hid[2] +.sym 14308 $false +.sym 14309 $false +.sym 14310 $false +.sym 14319 KEYBOARD.kbd_code_hid[5] .sym 14320 $false .sym 14321 $false .sym 14322 $false -.sym 14325 $abc$56607$n1541 -.sym 14326 $abc$56607$n1544 -.sym 14327 $abc$56607$n1545 +.sym 14325 KEYBOARD.kbd_code_hid[3] +.sym 14326 $false +.sym 14327 $false .sym 14328 $false -.sym 14331 $abc$56607$n1544 -.sym 14332 $abc$56607$n895 +.sym 14331 KEYBOARD.kbd_code_hid[7] +.sym 14332 $false .sym 14333 $false .sym 14334 $false -.sym 14337 $abc$56607$n1545 -.sym 14338 $abc$56607$n895 +.sym 14337 KEYBOARD.kbd_code_hid[6] +.sym 14338 $false .sym 14339 $false .sym 14340 $false -.sym 14341 $abc$56607$n1315 +.sym 14341 $abc$27697$n641 .sym 14342 CLK$2$2 -.sym 14343 $abc$56607$n35$2 -.sym 14388 $abc$56607$n31 -.sym 14418 $abc$56607$n33 -.sym 14444 $abc$56607$n33 -.sym 14445 $abc$56607$n697 -.sym 14446 $abc$56607$n706 -.sym 14447 $abc$56607$n699 -.sym 14448 $abc$56607$n700 -.sym 14450 $abc$56607$n707 -.sym 14451 I2C.FLT_SDA.out -.sym 14519 KBD_COLUMNS[4]$2 -.sym 14520 $false +.sym 14343 $abc$27697$n25$2 +.sym 14388 $abc$27697$n23 +.sym 14418 $abc$27697$n25 +.sym 14444 KEYBOARD.COLS_SHADOW[1] +.sym 14445 KEYBOARD.COLS_SHADOW[0] +.sym 14449 KEYBOARD.COLS_SHADOW[4] +.sym 14450 KEYBOARD.COLS_SHADOW[2] +.sym 14481 $true +.sym 14518 $abc$27697$n1072$2 +.sym 14519 $false +.sym 14520 $abc$27697$n1072 .sym 14521 $false .sym 14522 $false -.sym 14525 KBD_COLUMNS[3]$2 +.sym 14524 $auto$alumacc.cc:470:replace_alu$6025.C[2] .sym 14526 $false -.sym 14527 $false -.sym 14528 $false -.sym 14531 KBD_COLUMNS[0]$2 +.sym 14527 $abc$27697$n1073 +.sym 14530 $auto$alumacc.cc:470:replace_alu$6025.C[3] +.sym 14531 $false .sym 14532 $false -.sym 14533 $false -.sym 14534 $false -.sym 14543 KBD_COLUMNS[7]$2 +.sym 14533 $abc$27697$n1075 +.sym 14534 $auto$alumacc.cc:470:replace_alu$6025.C[2] +.sym 14536 $auto$alumacc.cc:470:replace_alu$6025.C[4] +.sym 14537 $false +.sym 14538 $false +.sym 14539 $abc$27697$n1076 +.sym 14540 $auto$alumacc.cc:470:replace_alu$6025.C[3] +.sym 14542 $auto$alumacc.cc:470:replace_alu$6025.C[5] +.sym 14543 $false .sym 14544 $false -.sym 14545 $false -.sym 14546 $false -.sym 14549 KBD_COLUMNS[2]$2 +.sym 14545 $abc$27697$n1078 +.sym 14546 $auto$alumacc.cc:470:replace_alu$6025.C[4] +.sym 14548 $auto$alumacc.cc:470:replace_alu$6025.C[6] +.sym 14549 $false .sym 14550 $false -.sym 14551 $false -.sym 14552 $false -.sym 14555 KBD_COLUMNS[1]$2 +.sym 14551 $abc$27697$n1079 +.sym 14552 $auto$alumacc.cc:470:replace_alu$6025.C[5] +.sym 14554 $auto$alumacc.cc:470:replace_alu$6025.C[7] +.sym 14555 $false .sym 14556 $false -.sym 14557 $false -.sym 14558 $false -.sym 14561 KBD_COLUMNS[5]$2 +.sym 14557 $abc$27697$n1081 +.sym 14558 $auto$alumacc.cc:470:replace_alu$6025.C[6] +.sym 14561 $false .sym 14562 $false -.sym 14563 $false -.sym 14564 $false -.sym 14565 $true -.sym 14566 CLK$2$2 -.sym 14567 $false +.sym 14563 $abc$27697$n1082 +.sym 14564 $auto$alumacc.cc:470:replace_alu$6025.C[7] .sym 14570 KBD_COLUMNS[5]$2 -.sym 14572 $abc$56607$n2480 -.sym 14573 $abc$56607$n1501 -.sym 14574 $abc$56607$n1004 -.sym 14575 $abc$56607$n1632 -.sym 14576 $abc$56607$n1502 -.sym 14577 I2C.FLT_SDA.counter[1] -.sym 14578 I2C.FLT_SDA.counter[2] -.sym 14579 I2C.FLT_SDA.counter[0] -.sym 14644 $true -.sym 14681 I2C.FLT_SDA.counter[0]$2 -.sym 14682 $false -.sym 14683 I2C.FLT_SDA.counter[0] +.sym 14579 $abc$27697$n1962 +.sym 14682 $abc$27697$n1082 +.sym 14683 $false .sym 14684 $false .sym 14685 $false -.sym 14687 $auto$alumacc.cc:470:replace_alu$12161.C[2] -.sym 14689 I2C.FLT_SDA.counter[1] -.sym 14690 $true$2 -.sym 14694 $false -.sym 14695 I2C.FLT_SDA.counter[2] -.sym 14696 $true$2 -.sym 14697 $auto$alumacc.cc:470:replace_alu$12161.C[2] -.sym 14700 $abc$56607$n2231 -.sym 14701 KEYBOARD.row_counter[0] -.sym 14702 $abc$56607$n33$2 +.sym 14688 $abc$27697$n1081 +.sym 14689 $false +.sym 14690 $false +.sym 14691 $false +.sym 14694 $abc$27697$n1486 +.sym 14695 I2C.byte_counter[7] +.sym 14696 $false +.sym 14697 $false +.sym 14700 $abc$27697$n1079 +.sym 14701 $false +.sym 14702 $false .sym 14703 $false -.sym 14706 I2C.FLT_SDA.counter[0] -.sym 14707 I2C.FLT_SDA.counter[1] -.sym 14708 I2C.FLT_SDA.counter[2] +.sym 14706 $abc$27697$n1078 +.sym 14707 $false +.sym 14708 $false .sym 14709 $false -.sym 14712 $abc$56607$n1003 -.sym 14713 $abc$56607$n1635 -.sym 14714 $false +.sym 14712 $abc$27697$n1656 +.sym 14713 $abc$27697$n1076 +.sym 14714 $abc$27697$n958 .sym 14715 $false -.sym 14718 $abc$56607$n27 -.sym 14719 $false -.sym 14720 $false +.sym 14718 $abc$27697$n1658 +.sym 14719 $abc$27697$n1079 +.sym 14720 $abc$27697$n958 .sym 14721 $false -.sym 14728 $abc$56607$n1490$2 +.sym 14724 $abc$27697$n1660 +.sym 14725 $abc$27697$n1082 +.sym 14726 $abc$27697$n958 +.sym 14727 $false +.sym 14728 $true .sym 14729 CLK$2$2 .sym 14730 $false -.sym 14731 $abc$56607$n994 -.sym 14732 $abc$56607$n690 -.sym 14733 $abc$56607$n683 -.sym 14734 $abc$56607$n689 -.sym 14735 $abc$56607$n695 -.sym 14736 $abc$56607$n680 -.sym 14737 $abc$56607$n686 -.sym 14738 $abc$56607$n730 -.sym 14805 $abc$56607$n698 -.sym 14806 $abc$56607$n710 -.sym 14807 $abc$56607$n695 +.sym 14731 $abc$27697$n1906 +.sym 14732 $abc$27697$n1890 +.sym 14733 $abc$27697$n1073 +.sym 14735 $abc$27697$n1908 +.sym 14736 $abc$27697$n1075 +.sym 14737 $abc$27697$n1076 +.sym 14738 KEYBOARD.row_time[0] +.sym 14805 $false +.sym 14806 $true$2 +.sym 14807 $abc$27697$n1072 .sym 14808 $false -.sym 14811 $abc$56607$n680 -.sym 14812 $abc$56607$n683 -.sym 14813 $abc$56607$n684 +.sym 14811 $abc$27697$n949 +.sym 14812 $abc$27697$n1655 +.sym 14813 $false .sym 14814 $false -.sym 14817 KEYBOARD.row_time[2] -.sym 14818 $abc$56607$n684 -.sym 14819 KEYBOARD.row_time[3] +.sym 14817 $abc$27697$n1075 +.sym 14818 I2C.byte_counter[0] +.sym 14819 I2C.byte_counter[1] .sym 14820 $false -.sym 14823 $abc$56607$n680 -.sym 14824 $abc$56607$n694 -.sym 14825 $abc$56607$n695 +.sym 14823 $abc$27697$n1486 +.sym 14824 I2C.byte_counter[0] +.sym 14825 $false .sym 14826 $false -.sym 14829 $abc$56607$n698 -.sym 14830 $abc$56607$n724 -.sym 14831 $abc$56607$n683 +.sym 14829 $abc$27697$n1653 +.sym 14830 $abc$27697$n1072 +.sym 14831 $abc$27697$n947 .sym 14832 $false -.sym 14835 KEYBOARD.row_time[2] -.sym 14836 $abc$56607$n694 -.sym 14837 $abc$56607$n686 -.sym 14838 KEYBOARD.row_time[3] -.sym 14841 $abc$56607$n694 -.sym 14842 KEYBOARD.row_time[2] -.sym 14843 KEYBOARD.row_time[3] -.sym 14844 $false -.sym 14847 $abc$56607$n2250 -.sym 14848 $false -.sym 14849 $false +.sym 14835 $abc$27697$n949 +.sym 14836 $abc$27697$n948 +.sym 14837 $false +.sym 14838 $false +.sym 14841 $abc$27697$n956 +.sym 14842 $abc$27697$n1075 +.sym 14843 $abc$27697$n1116 +.sym 14844 $abc$27697$n948 +.sym 14847 $abc$27697$n946 +.sym 14848 I2C.byte_counter[0] +.sym 14849 $abc$27697$n1116 .sym 14850 $false -.sym 14851 $abc$56607$n1490$2 +.sym 14851 $true .sym 14852 CLK$2$2 .sym 14853 $false -.sym 14854 $abc$56607$n953 -.sym 14855 $abc$56607$n954 -.sym 14856 $abc$56607$n936 -.sym 14857 $abc$56607$n957 -.sym 14858 $abc$56607$n955 -.sym 14859 kbd_report[5][3] -.sym 14860 kbd_report[5][7] -.sym 14861 kbd_report[5][0] -.sym 14890 $true -.sym 14927 KEYBOARD.row_counter[0]$2 -.sym 14928 $false -.sym 14929 KEYBOARD.row_counter[0] -.sym 14930 $false +.sym 14854 $abc$27697$n537 +.sym 14855 $abc$27697$n538 +.sym 14856 $abc$27697$n25 +.sym 14857 $abc$27697$n548 +.sym 14858 $abc$27697$n561 +.sym 14859 $abc$27697$n549 +.sym 14860 $abc$27697$n510_1 +.sym 14861 $abc$27697$n534 +.sym 14928 I2C.byte_counter[0] +.sym 14929 I2C.byte_counter[1] +.sym 14930 $abc$27697$n947 .sym 14931 $false -.sym 14933 $auto$alumacc.cc:470:replace_alu$12191.C[2] -.sym 14935 $false -.sym 14936 KEYBOARD.row_counter[1] -.sym 14939 $auto$alumacc.cc:470:replace_alu$12191.C[3] -.sym 14940 $false -.sym 14941 $false -.sym 14942 KEYBOARD.row_counter[2] -.sym 14943 $auto$alumacc.cc:470:replace_alu$12191.C[2] -.sym 14946 $false -.sym 14947 $false -.sym 14948 KEYBOARD.row_counter[3] -.sym 14949 $auto$alumacc.cc:470:replace_alu$12191.C[3] -.sym 14952 $abc$56607$n28 -.sym 14953 KEYBOARD.row_counter[3] -.sym 14954 $abc$56607$n33$2 +.sym 14934 $abc$27697$n948 +.sym 14935 $abc$27697$n1073 +.sym 14936 $false +.sym 14937 $false +.sym 14940 I2C_INPUT_LEN[3] +.sym 14941 $abc$27697$n635 +.sym 14942 $abc$27697$n634 +.sym 14943 $false +.sym 14946 I2C_INPUT_LEN[2] +.sym 14947 I2C_INPUT_LEN[1] +.sym 14948 I2C_INPUT_LEN[0] +.sym 14949 I2C_INPUT_LEN[3] +.sym 14952 $abc$27697$n634 +.sym 14953 $abc$27697$n640 +.sym 14954 $false .sym 14955 $false -.sym 14958 $abc$56607$n27 -.sym 14959 KEYBOARD.kbd_code_hid[5] -.sym 14960 $false +.sym 14958 I2C_INPUT_LEN[3] +.sym 14959 I2C_INPUT_LEN[2] +.sym 14960 $abc$27697$n634 .sym 14961 $false -.sym 14964 KEYBOARD.kbd_code_hid[0] -.sym 14965 $false -.sym 14966 $false +.sym 14964 I2C_INPUT_LEN[2] +.sym 14965 I2C_INPUT_LEN[0] +.sym 14966 I2C_INPUT_LEN[1] .sym 14967 $false -.sym 14970 KEYBOARD.kbd_code_hid[3] -.sym 14971 $false -.sym 14972 $false -.sym 14973 $false -.sym 14974 $abc$56607$n1328 +.sym 14970 $abc$27697$n1101 +.sym 14971 $abc$27697$n954_1 +.sym 14972 I2C.byte_counter[1] +.sym 14973 $abc$27697$n1116 +.sym 14974 $true .sym 14975 CLK$2$2 -.sym 14976 $abc$56607$n27 -.sym 14977 $abc$56607$n952 -.sym 14978 $abc$56607$n1435 -.sym 14979 $abc$56607$n1477 -.sym 14980 $abc$56607$n951 -.sym 14981 $abc$56607$n998 -.sym 14982 $abc$56607$n961 -.sym 14983 KEYBOARD.report[1][7] -.sym 14984 KEYBOARD.report[1][6] -.sym 15051 $abc$56607$n27 -.sym 15052 $abc$56607$n909 -.sym 15053 $abc$56607$n927 -.sym 15054 $false -.sym 15057 $abc$56607$n27 -.sym 15058 $abc$56607$n905 -.sym 15059 $abc$56607$n927 -.sym 15060 $false -.sym 15063 $abc$56607$n1516 -.sym 15064 $abc$56607$n989 -.sym 15065 $abc$56607$n959 -.sym 15066 $false -.sym 15069 $abc$56607$n912 -.sym 15070 $abc$56607$n905 -.sym 15071 $false -.sym 15072 $false -.sym 15075 $abc$56607$n976 -.sym 15076 $abc$56607$n1516 -.sym 15077 $abc$56607$n998 -.sym 15078 $abc$56607$n997 -.sym 15081 $abc$56607$n906 -.sym 15082 $abc$56607$n909 -.sym 15083 $abc$56607$n27 -.sym 15084 $abc$56607$n927 -.sym 15087 $abc$56607$n906 -.sym 15088 $abc$56607$n909 -.sym 15089 $false -.sym 15090 $false -.sym 15093 $abc$56607$n27 -.sym 15094 $abc$56607$n904 -.sym 15095 $abc$56607$n932 -.sym 15096 $abc$56607$n920 -.sym 15097 $abc$56607$n1483 +.sym 14976 $false +.sym 14977 $abc$27697$n557 +.sym 14978 $abc$27697$n996 +.sym 14979 $abc$27697$n551 +.sym 14980 $abc$27697$n553 +.sym 14981 $abc$27697$n992 +.sym 14982 $abc$27697$n993 +.sym 14983 $abc$27697$n554 +.sym 14984 KEYBOARD.temp[3] +.sym 15081 $abc$27697$n25$2 +.sym 15082 $false +.sym 15083 $false +.sym 15084 $false +.sym 15097 I2C.FLT_SCL.RESET$2 .sym 15098 CLK$2$2 -.sym 15099 $abc$56607$n35$2 -.sym 15100 $abc$56607$n985_1 -.sym 15101 $abc$56607$n987 -.sym 15102 $abc$56607$n1212 -.sym 15103 $abc$56607$n1514 -.sym 15104 $abc$56607$n1516 -.sym 15105 kbd_report[5][1] -.sym 15106 kbd_report[5][6] -.sym 15107 kbd_report[5][2] -.sym 15174 $abc$56607$n910 -.sym 15175 $abc$56607$n911 +.sym 15099 $false +.sym 15100 $abc$27697$n986 +.sym 15101 $abc$27697$n558 +.sym 15102 $abc$27697$n552 +.sym 15103 $abc$27697$n535 +.sym 15105 KEYBOARD.temp[2] +.sym 15107 KEYBOARD.temp[5] +.sym 15136 $true +.sym 15173 $abc$27697$n1488$2 +.sym 15174 $false +.sym 15175 $abc$27697$n1488 .sym 15176 $false .sym 15177 $false -.sym 15180 KEYBOARD.report[1][4] -.sym 15181 KEYBOARD.report[1][5] -.sym 15182 KEYBOARD.report[1][6] -.sym 15183 KEYBOARD.report[1][7] -.sym 15186 KEYBOARD.report[1][3] -.sym 15187 kbd_report[5][3] -.sym 15188 $abc$56607$n312 -.sym 15189 $abc$56607$n1113_1 -.sym 15192 KEYBOARD.kbd_code_hid[5] -.sym 15193 KEYBOARD.report[1][5] -.sym 15194 KEYBOARD.kbd_code_hid[3] -.sym 15195 KEYBOARD.report[1][3] -.sym 15198 $abc$56607$n2460 -.sym 15199 $false -.sym 15200 $false -.sym 15201 $false -.sym 15204 $abc$56607$n2458 +.sym 15179 $auto$alumacc.cc:470:replace_alu$6028.C[2] +.sym 15181 $abc$27697$n1490 +.sym 15182 $true$2 +.sym 15185 $auto$alumacc.cc:470:replace_alu$6028.C[3] +.sym 15186 $false +.sym 15187 $abc$27697$n1492 +.sym 15188 $true$2 +.sym 15189 $auto$alumacc.cc:470:replace_alu$6028.C[2] +.sym 15191 $abc$27697$n1891$2 +.sym 15192 $false +.sym 15193 $abc$27697$n1494 +.sym 15194 $true$2 +.sym 15195 $auto$alumacc.cc:470:replace_alu$6028.C[3] +.sym 15201 $abc$27697$n1891$2 +.sym 15204 $abc$27697$n1914 .sym 15205 $false .sym 15206 $false .sym 15207 $false -.sym 15210 $abc$56607$n2463 +.sym 15210 $abc$27697$n2034 .sym 15211 $false .sym 15212 $false .sym 15213 $false -.sym 15216 $abc$56607$n2461 +.sym 15216 $abc$27697$n2033 .sym 15217 $false .sym 15218 $false .sym 15219 $false -.sym 15220 $abc$56607$n1450 +.sym 15220 $abc$27697$n667$2 .sym 15221 CLK$2$2 -.sym 15222 $abc$56607$n35$2 -.sym 15223 $abc$56607$n1150 -.sym 15224 $abc$56607$n1111 -.sym 15225 $abc$56607$n1112 -.sym 15226 $abc$56607$n1151 -.sym 15227 $abc$56607$n1517 -.sym 15228 $abc$56607$n1523_1 -.sym 15229 $abc$56607$n1518 -.sym 15230 $abc$56607$n1149 -.sym 15297 KEYBOARD.report[1][0] -.sym 15298 KEYBOARD.report[1][1] -.sym 15299 KEYBOARD.report[1][2] -.sym 15300 KEYBOARD.report[1][3] -.sym 15303 KEYBOARD.kbd_code_hid[1] -.sym 15304 KEYBOARD.kbd_code_hid[2] -.sym 15305 KEYBOARD.kbd_code_hid[0] -.sym 15306 $false -.sym 15309 $abc$56607$n1120 -.sym 15310 KEYBOARD.report[0][3] -.sym 15311 $abc$56607$n1164 -.sym 15312 $false -.sym 15315 $abc$56607$n1116 -.sym 15316 $abc$56607$n1523_1 -.sym 15317 $abc$56607$n1522 -.sym 15318 $abc$56607$n1163 -.sym 15321 KEYBOARD.report[0][5] -.sym 15322 $abc$56607$n1120 -.sym 15323 $abc$56607$n1189 -.sym 15324 $abc$56607$n1116 -.sym 15327 KEYBOARD.report[0][5] -.sym 15328 KEYBOARD.report[1][5] -.sym 15329 $abc$56607$n1124 -.sym 15330 $abc$56607$n1116 -.sym 15333 $abc$56607$n27 -.sym 15334 KEYBOARD.report[0][6] -.sym 15335 $abc$56607$n1377 -.sym 15336 $false -.sym 15339 $abc$56607$n27 -.sym 15340 KEYBOARD.report[0][5] -.sym 15341 $abc$56607$n1375 +.sym 15222 $abc$27697$n27 +.sym 15223 $abc$27697$n1108_1 +.sym 15224 $abc$27697$n1109_1 +.sym 15225 $abc$27697$n592 +.sym 15226 $abc$27697$n621 +.sym 15227 $abc$27697$n1090_1 +.sym 15228 $abc$27697$n587 +.sym 15229 $abc$27697$n588 +.sym 15230 I2C.received_byte[5] +.sym 15297 $abc$27697$n641_1 +.sym 15298 $abc$27697$n642 +.sym 15299 $abc$27697$n631 +.sym 15300 $false +.sym 15303 $abc$27697$n589 +.sym 15304 $abc$27697$n639 +.sym 15305 $abc$27697$n632 +.sym 15306 $abc$27697$n636 +.sym 15309 I2C_INPUT_LEN[0] +.sym 15310 I2C_INPUT_LEN[1] +.sym 15311 $abc$27697$n637 +.sym 15312 $abc$27697$n638 +.sym 15315 $abc$27697$n633 +.sym 15316 $abc$27697$n591 +.sym 15317 $abc$27697$n1953 +.sym 15318 $false +.sym 15321 I2C_INPUT_LEN[1] +.sym 15322 I2C_INPUT_LEN[0] +.sym 15323 $abc$27697$n637 +.sym 15324 $abc$27697$n607 +.sym 15327 I2C.byte_counter[3] +.sym 15328 I2C.byte_counter[2] +.sym 15329 $abc$27697$n595 +.sym 15330 $false +.sym 15333 I2C_INPUT_LEN[1] +.sym 15334 $abc$27697$n637 +.sym 15335 I2C_INPUT_LEN[0] +.sym 15336 $abc$27697$n591 +.sym 15339 I2C.FLT_SDA.out +.sym 15340 $false +.sym 15341 $false .sym 15342 $false -.sym 15343 $abc$56607$n1457 +.sym 15343 $abc$27697$n483 .sym 15344 CLK$2$2 -.sym 15345 $abc$56607$n35$2 -.sym 15346 $abc$56607$n1529_1 -.sym 15347 $abc$56607$n1204 -.sym 15348 $abc$56607$n1203 -.sym 15349 $abc$56607$n1202 -.sym 15350 $abc$56607$n1138 -.sym 15351 $abc$56607$n1145 -.sym 15352 $abc$56607$n1128 -.sym 15353 KEYBOARD.report[2][6] -.sym 15426 $abc$56607$n1126 -.sym 15427 KEYBOARD.report[1][6] -.sym 15428 $abc$56607$n1124 -.sym 15429 $abc$56607$n1202 -.sym 15432 $abc$56607$n1126 -.sym 15433 KEYBOARD.report[1][0] -.sym 15434 $false +.sym 15345 $false +.sym 15346 $abc$27697$n590 +.sym 15347 $abc$27697$n605 +.sym 15348 $abc$27697$n593 +.sym 15349 $abc$27697$n612 +.sym 15350 $abc$27697$n594 +.sym 15351 $abc$27697$n607 +.sym 15352 $abc$27697$n614 +.sym 15353 $abc$27697$n611 +.sym 15420 i2c_input_data_type[0] +.sym 15421 i2c_input_data_type[3] +.sym 15422 i2c_input_data_type[1] +.sym 15423 i2c_input_data_type[2] +.sym 15426 I2C.is_read +.sym 15427 $abc$27697$n578 +.sym 15428 $abc$27697$n643 +.sym 15429 $abc$27697$n607 +.sym 15432 I2C.byte_counter[0] +.sym 15433 I2C.byte_counter[1] +.sym 15434 $abc$27697$n603 .sym 15435 $false -.sym 15438 KEYBOARD.report[1][6] -.sym 15439 kbd_report[5][6] -.sym 15440 $abc$56607$n312 -.sym 15441 $abc$56607$n1113_1 -.sym 15444 wr_cnt[0] -.sym 15445 wr_cnt[2] -.sym 15446 wr_cnt[3] -.sym 15447 wr_cnt[1] -.sym 15450 wr_cnt[2] -.sym 15451 wr_cnt[1] -.sym 15452 $abc$56607$n321 -.sym 15453 $false -.sym 15456 $abc$56607$n27 -.sym 15457 KEYBOARD.kbd_code_hid[0] -.sym 15458 $false +.sym 15438 I2C.byte_counter[1] +.sym 15439 I2C.byte_counter[0] +.sym 15440 $abc$27697$n603 +.sym 15441 $false +.sym 15444 last_trans +.sym 15445 I2C_TRANS +.sym 15446 $false +.sym 15447 $false +.sym 15450 i2c_input_data_type[1] +.sym 15451 i2c_input_data_type[0] +.sym 15452 i2c_input_data_type[3] +.sym 15453 i2c_input_data_type[2] +.sym 15456 $abc$27697$n675 +.sym 15457 $abc$27697$n677 +.sym 15458 $abc$27697$n672 .sym 15459 $false -.sym 15462 $abc$56607$n1528 -.sym 15463 $abc$56607$n1529_1 -.sym 15464 $abc$56607$n1530_1 +.sym 15462 I2C_TRANS +.sym 15463 $false +.sym 15464 $false .sym 15465 $false -.sym 15469 $abc$56607$n1115 -.sym 15470 $abc$56607$n1140 -.sym 15471 $abc$56607$n1127 -.sym 15472 $abc$56607$n1144 -.sym 15473 $abc$56607$n1134 -.sym 15475 $abc$56607$n1146 -.sym 15476 KEYBOARD.report[2][1] -.sym 15543 UART_WR -.sym 15544 UART.TX_sig_last -.sym 15545 UART.tx_activity -.sym 15546 $false -.sym 15549 wr_cnt[2] -.sym 15550 wr_cnt[1] -.sym 15551 $abc$56607$n321 +.sym 15466 I2C.FLT_SCL.RESET$2 +.sym 15467 CLK$2$2 +.sym 15468 $false +.sym 15469 $abc$27697$n1089 +.sym 15470 $abc$27697$n1114_1 +.sym 15471 $abc$27697$n829 +.sym 15472 $abc$27697$n1113 +.sym 15473 $abc$27697$n606 +.sym 15474 $abc$27697$n827 +.sym 15475 $abc$27697$n357 +.sym 15476 $abc$27697$n1115_1 +.sym 15543 $abc$27697$n820 +.sym 15544 I2C.received_byte[0] +.sym 15545 I2C.received_byte[4] +.sym 15546 $abc$27697$n822 +.sym 15549 $abc$27697$n820 +.sym 15550 I2C.received_byte[1] +.sym 15551 $abc$27697$n828 .sym 15552 $false -.sym 15555 $abc$56607$n1140 -.sym 15556 $abc$56607$n1145 -.sym 15557 KEYBOARD.report[0][1] -.sym 15558 $abc$56607$n1124 -.sym 15561 $abc$56607$n1133 -.sym 15562 $abc$56607$n1139 -.sym 15563 $abc$56607$n1130 -.sym 15564 $abc$56607$n1116 -.sym 15567 wr_cnt[2] -.sym 15568 wr_cnt[3] -.sym 15569 wr_cnt[0] +.sym 15555 I2C.received_byte[4] +.sym 15556 I2C.received_byte[5] +.sym 15557 $abc$27697$n822 +.sym 15558 $false +.sym 15561 $abc$27697$n820 +.sym 15562 I2C.received_byte[2] +.sym 15563 $abc$27697$n831 +.sym 15564 $false +.sym 15567 I2C.received_byte[5] +.sym 15568 I2C.received_byte[4] +.sym 15569 $abc$27697$n822 .sym 15570 $false -.sym 15573 $abc$56607$n1120 -.sym 15574 KEYBOARD.report[0][1] -.sym 15575 $abc$56607$n1134 +.sym 15573 $abc$27697$n823 +.sym 15574 $abc$27697$n824 +.sym 15575 $abc$27697$n574 .sym 15576 $false -.sym 15579 UART_WR -.sym 15580 $false -.sym 15581 $false -.sym 15582 $false -.sym 15585 $abc$56607$n896 -.sym 15586 $abc$56607$n898 -.sym 15587 $abc$56607$n893 +.sym 15579 I2C.received_byte[0] +.sym 15580 I2C.received_byte[7] +.sym 15581 I2C.received_byte[6] +.sym 15582 $abc$27697$n608 +.sym 15585 I2C.FLT_SDA.out +.sym 15586 $false +.sym 15587 $false .sym 15588 $false -.sym 15589 I2C.FLT_SCL.RESET +.sym 15589 $abc$27697$n510 .sym 15590 CLK$2$2 .sym 15591 $false -.sym 15592 $abc$56607$n1014 -.sym 15593 $abc$56607$n756 -.sym 15594 $abc$56607$n770 -.sym 15595 $abc$56607$n811 -.sym 15596 $abc$56607$n759 -.sym 15597 $abc$56607$n758 -.sym 15598 $abc$56607$n810 -.sym 15599 $abc$56607$n769 -.sym 15666 I2C.received_byte[0] -.sym 15667 I2C.received_byte[1] -.sym 15668 i2c_input_data_type[0] -.sym 15669 $abc$56607$n809 -.sym 15672 I2C.is_read -.sym 15673 $abc$56607$n832 -.sym 15674 $abc$56607$n807 -.sym 15675 $abc$56607$n736 -.sym 15678 $abc$56607$n1503 -.sym 15679 $abc$56607$n1504 -.sym 15680 $abc$56607$n769 +.sym 15594 $abc$27697$n873 +.sym 15595 $abc$27697$n1110 +.sym 15596 $abc$27697$n401 +.sym 15597 $abc$27697$n1111 +.sym 15598 $abc$27697$n615 +.sym 15599 $abc$27697$n826 +.sym 15666 $abc$27697$n629 +.sym 15667 $abc$27697$n645 +.sym 15668 $abc$27697$n644 +.sym 15669 $abc$27697$n794 +.sym 15672 $abc$27697$n630 +.sym 15673 i2c_input_data_type[0] +.sym 15674 $false +.sym 15675 $false +.sym 15678 $abc$27697$n630 +.sym 15679 i2c_input_data_type[3] +.sym 15680 $false .sym 15681 $false -.sym 15684 $abc$56607$n816_1 -.sym 15685 $abc$56607$n805 -.sym 15686 $abc$56607$n810 -.sym 15687 I2C.FLT_SCL.RESET -.sym 15690 $abc$56607$n809 -.sym 15691 i2c_input_data_type[0] -.sym 15692 $false +.sym 15684 $0\uart_double_ff[0:0] +.sym 15685 $abc$27697$n574 +.sym 15686 $false +.sym 15687 $false +.sym 15690 $abc$27697$n825 +.sym 15691 $abc$27697$n644 +.sym 15692 $abc$27697$n830_1 .sym 15693 $false -.sym 15696 $abc$56607$n815_1 -.sym 15697 $abc$56607$n806 -.sym 15698 $abc$56607$n807 -.sym 15699 $abc$56607$n22 -.sym 15702 $abc$56607$n808 -.sym 15703 $abc$56607$n805 -.sym 15704 $abc$56607$n806 -.sym 15705 $abc$56607$n807 -.sym 15708 $abc$56607$n804 -.sym 15709 $abc$56607$n754 -.sym 15710 $abc$56607$n1502_1 -.sym 15711 I2C.is_read -.sym 15715 $abc$56607$n270 -.sym 15716 $abc$56607$n771 -.sym 15717 $abc$56607$n754 -.sym 15718 $abc$56607$n815_1 -.sym 15719 $abc$56607$n822 -.sym 15720 $abc$56607$n2620 -.sym 15721 $abc$56607$n269 -.sym 15722 temp_output_report[2] -.sym 15789 i2c_input_data_type[3] -.sym 15790 i2c_input_data_type[2] -.sym 15791 $abc$56607$n1513 -.sym 15792 i2c_input_data_type[0] +.sym 15696 $abc$27697$n794 +.sym 15697 $abc$27697$n825 +.sym 15698 $abc$27697$n820 +.sym 15699 I2C.received_byte[3] +.sym 15702 $abc$27697$n825 +.sym 15703 $abc$27697$n645 +.sym 15704 $abc$27697$n827_1 +.sym 15705 $false +.sym 15708 $abc$27697$n825 +.sym 15709 $abc$27697$n629 +.sym 15710 $abc$27697$n819 +.sym 15711 $false +.sym 15712 $abc$27697$n401 +.sym 15713 CLK$2$2 +.sym 15714 $false +.sym 15715 $abc$27697$n841 +.sym 15717 $abc$27697$n455 +.sym 15718 $abc$27697$n844 +.sym 15721 $abc$27697$n1920 +.sym 15789 i2c_input_data_type[0] +.sym 15790 i2c_input_data_type[3] +.sym 15791 $abc$27697$n645 +.sym 15792 $false .sym 15795 i2c_input_data_type[3] -.sym 15796 i2c_input_data_type[1] -.sym 15797 i2c_input_data_type[2] +.sym 15796 $abc$27697$n644 +.sym 15797 $false .sym 15798 $false -.sym 15801 $abc$56607$n1513 -.sym 15802 i2c_input_data_type[1] -.sym 15803 $false +.sym 15801 $abc$27697$n645 +.sym 15802 i2c_input_data_type[0] +.sym 15803 $abc$27697$n643 .sym 15804 $false -.sym 15807 i2c_input_data_type[0] -.sym 15808 i2c_input_data_type[3] -.sym 15809 $abc$56607$n1077 -.sym 15810 $false -.sym 15813 i2c_input_data_type[3] -.sym 15814 $abc$56607$n848 -.sym 15815 i2c_input_data_type[2] -.sym 15816 $false -.sym 15819 i2c_input_data_type[3] -.sym 15820 i2c_input_data_type[2] -.sym 15821 $abc$56607$n1513 -.sym 15822 i2c_input_data_type[1] -.sym 15825 $abc$56607$n1075 +.sym 15807 $abc$27697$n674 +.sym 15808 $abc$27697$n677 +.sym 15809 $abc$27697$n672 +.sym 15810 I2C.FLT_SCL.RESET$2 +.sym 15819 $abc$27697$n630 +.sym 15820 i2c_input_data_type[1] +.sym 15821 $false +.sym 15822 $false +.sym 15825 $abc$27697$n630 .sym 15826 i2c_input_data_type[2] -.sym 15827 $abc$56607$n1513 -.sym 15828 $abc$56607$n1081 -.sym 15831 $abc$56607$n1075 -.sym 15832 $abc$56607$n1077 -.sym 15833 $abc$56607$n1078 +.sym 15827 $false +.sym 15828 $false +.sym 15831 $abc$27697$n1 +.sym 15832 $false +.sym 15833 $false .sym 15834 $false -.sym 15835 $abc$56607$n1155 +.sym 15835 $abc$27697$n278 .sym 15836 CLK$2$2 .sym 15837 $false -.sym 15840 $abc$56607$n312 -.sym 15841 $abc$56607$n772 -.sym 15842 $abc$56607$n881 -.sym 15843 $abc$56607$n773 -.sym 15844 $abc$56607$n768 -.sym 15845 UART_WR -.sym 15912 i2c_input_data_type[1] -.sym 15913 I2C.is_read -.sym 15914 $abc$56607$n849 -.sym 15915 $abc$56607$n988 -.sym 15918 I2C.is_read -.sym 15919 $abc$56607$n1513 -.sym 15920 $abc$56607$n863 -.sym 15921 $abc$56607$n988 -.sym 15924 i2c_input_data_type[1] -.sym 15925 i2c_input_data_type[0] -.sym 15926 i2c_input_data_type[3] -.sym 15927 i2c_input_data_type[2] -.sym 15930 $2\uart_double_ff[0:0] -.sym 15931 $abc$56607$n745 -.sym 15932 $false -.sym 15933 $false -.sym 15936 $abc$56607$n741 -.sym 15937 $abc$56607$n848 -.sym 15938 $abc$56607$n778 -.sym 15939 $abc$56607$n1509 -.sym 15942 $abc$56607$n1513 -.sym 15943 i2c_input_data_type[0] -.sym 15944 $false -.sym 15945 $false -.sym 15948 $abc$56607$n849 -.sym 15949 $abc$56607$n1075 -.sym 15950 $abc$56607$n1072 -.sym 15951 $abc$56607$n745 -.sym 15954 $abc$56607$n1075 -.sym 15955 i2c_input_data_type[3] -.sym 15956 $abc$56607$n1513 -.sym 15957 $abc$56607$n1084 -.sym 15958 $abc$56607$n1155 +.sym 15838 $true$2 +.sym 15845 $abc$27697$n21 +.sym 15918 $abc$27697$n873 +.sym 15919 I2C.byte_counter[0] +.sym 15920 I2C.byte_counter[1] +.sym 15921 $abc$27697$n866 +.sym 15924 $abc$27697$n873 +.sym 15925 I2C.byte_counter[1] +.sym 15926 I2C.byte_counter[0] +.sym 15927 $abc$27697$n866 +.sym 15930 I2C.byte_counter[0] +.sym 15931 I2C.byte_counter[1] +.sym 15932 $abc$27697$n866 +.sym 15933 $abc$27697$n873 +.sym 15936 $abc$27697$n866 +.sym 15937 I2C.byte_counter[1] +.sym 15938 I2C.byte_counter[0] +.sym 15939 $abc$27697$n873 +.sym 15942 $abc$27697$n866 +.sym 15943 $abc$27697$n873 +.sym 15944 I2C.byte_counter[0] +.sym 15945 I2C.byte_counter[1] +.sym 15948 KEYBOARD.kbd_code_hid[6] +.sym 15949 $false +.sym 15950 $false +.sym 15951 $false +.sym 15954 KEYBOARD.kbd_code_hid[7] +.sym 15955 $false +.sym 15956 $false +.sym 15957 $false +.sym 15958 $abc$27697$n626 .sym 15959 CLK$2$2 -.sym 15960 $false -.sym 15962 $abc$56607$n1943 -.sym 15963 $abc$56607$n1946 -.sym 15964 $abc$56607$n1949 -.sym 15965 $abc$56607$n867 -.sym 15966 $abc$56607$n781 -.sym 15967 $abc$56607$n1227 -.sym 15968 last_uart_active -.sym 16035 $abc$56607$n760 -.sym 16036 $abc$56607$n753 -.sym 16037 $abc$56607$n756 -.sym 16038 $abc$56607$n1501_1 -.sym 16041 $abc$56607$n763 -.sym 16042 $abc$56607$n766 -.sym 16043 $abc$56607$n761 -.sym 16044 $false -.sym 16047 $abc$56607$n779 -.sym 16048 $abc$56607$n778 -.sym 16049 $abc$56607$n1501_1 -.sym 16050 $false -.sym 16053 $abc$56607$n741 -.sym 16054 $abc$56607$n753 -.sym 16055 $abc$56607$n756 -.sym 16056 $false -.sym 16059 I2C_TRANS -.sym 16060 UART_WR -.sym 16061 $abc$56607$n751 -.sym 16062 int_tmr[19] -.sym 16065 $abc$56607$n769 -.sym 16066 $abc$56607$n753 -.sym 16067 $false -.sym 16068 $false -.sym 16071 UART_WR -.sym 16072 int_tmr[19] -.sym 16073 $abc$56607$n761 -.sym 16074 $false -.sym 16077 $2\uart_double_ff[0:0] -.sym 16078 $false -.sym 16079 $false -.sym 16080 $false -.sym 16081 $abc$56607$n1236 -.sym 16082 CLK$2$2 -.sym 16083 $abc$56607$n35$2 -.sym 16084 $6\report_data_wadr[7:0][0] -.sym 16085 $abc$56607$n2671 -.sym 16086 $abc$56607$n1249_1 -.sym 16087 $abc$56607$n2549 -.sym 16088 $abc$56607$n1236_1 -.sym 16089 report_data_wadr[4] -.sym 16090 report_data_wadr[7] -.sym 16091 report_data_wadr[0] -.sym 16158 $2\ring_wr[3:0][2] -.sym 16159 $2\ring_wr[3:0][0] -.sym 16160 $false -.sym 16161 $false -.sym 16164 $abc$56607$n1233 -.sym 16165 $abc$56607$n1232_1 -.sym 16166 ring_wr[0] -.sym 16167 $abc$56607$n1234 -.sym 16170 $abc$56607$n1231 -.sym 16171 $2\ring_wr[3:0][0] -.sym 16172 $2\ring_wr[3:0][2] -.sym 16173 $abc$56607$n670 -.sym 16176 wr_cnt[2] -.sym 16177 ring_wr[1] -.sym 16178 ring_wr[0] -.sym 16179 wr_cnt[1] -.sym 16182 wr_cnt[2] -.sym 16183 ring_wr[1] -.sym 16184 $abc$56607$n1233 -.sym 16185 $false -.sym 16188 $abc$56607$n1232_1 -.sym 16189 $abc$56607$n1234 -.sym 16190 ring_wr[0] -.sym 16191 $false -.sym 16194 $abc$56607$n1220 -.sym 16195 $abc$56607$n1959 -.sym 16196 $abc$56607$n1230 -.sym 16197 $abc$56607$n22 -.sym 16204 $abc$56607$n1018$2 +.sym 15960 $abc$27697$n25$2 +.sym 15961 $abc$27697$n1903 +.sym 15962 $abc$27697$n1902 +.sym 15963 $abc$27697$n678 +.sym 15964 $abc$27697$n677 +.sym 15965 UART.tx_bit_counter[2] +.sym 15966 UART.tx_bit_counter[0] +.sym 15967 UART.tx_bit_counter[3] +.sym 15968 UART.tx_bit_counter[1] +.sym 16035 $abc$27697$n860 +.sym 16036 KEYBOARD.report[5][3] +.sym 16037 $abc$27697$n877_1 +.sym 16038 $abc$27697$n878 +.sym 16041 $abc$27697$n860 +.sym 16042 KEYBOARD.report[5][0] +.sym 16043 $abc$27697$n852 +.sym 16044 $abc$27697$n857_1 +.sym 16047 $abc$27697$n860 +.sym 16048 KEYBOARD.report[5][1] +.sym 16049 $abc$27697$n864 +.sym 16050 $abc$27697$n866_1 +.sym 16053 $abc$27697$n858 +.sym 16054 KEYBOARD.report[3][7] +.sym 16055 $abc$27697$n897 +.sym 16056 $abc$27697$n898 +.sym 16059 $abc$27697$n858 +.sym 16060 KEYBOARD.report[3][6] +.sym 16061 KEYBOARD.report[5][6] +.sym 16062 $abc$27697$n860 +.sym 16065 $abc$27697$n858 +.sym 16066 KEYBOARD.report[3][0] +.sym 16067 $abc$27697$n859 +.sym 16068 KEYBOARD.report[1][0] +.sym 16077 $abc$27697$n856 +.sym 16078 KEYBOARD.report[2][3] +.sym 16079 $abc$27697$n859 +.sym 16080 KEYBOARD.report[1][3] +.sym 16086 $abc$27697$n1916 +.sym 16087 $abc$27697$n1918 +.sym 16088 KEYBOARD.report[1][7] +.sym 16089 KEYBOARD.report[1][5] +.sym 16090 KEYBOARD.report[1][1] +.sym 16091 KEYBOARD.report[1][6] +.sym 16158 $abc$27697$n751_1 +.sym 16159 $abc$27697$n684 +.sym 16160 $abc$27697$n25$2 +.sym 16161 $abc$27697$n692_1 +.sym 16164 $abc$27697$n859 +.sym 16165 KEYBOARD.report[1][7] +.sym 16166 KEYBOARD.report[6][7] +.sym 16167 $abc$27697$n854_1 +.sym 16170 KEYBOARD.kbd_code_hid[1] +.sym 16171 KEYBOARD.report[2][1] +.sym 16172 KEYBOARD.kbd_code_hid[3] +.sym 16173 KEYBOARD.report[2][3] +.sym 16176 KEYBOARD.report[1][0] +.sym 16177 KEYBOARD.report[1][1] +.sym 16178 KEYBOARD.report[1][2] +.sym 16179 KEYBOARD.report[1][3] +.sym 16182 KEYBOARD.report[1][4] +.sym 16183 KEYBOARD.report[1][5] +.sym 16184 KEYBOARD.report[1][6] +.sym 16185 KEYBOARD.report[1][7] +.sym 16188 $abc$27697$n856 +.sym 16189 KEYBOARD.report[2][1] +.sym 16190 $abc$27697$n859 +.sym 16191 KEYBOARD.report[1][1] +.sym 16194 $abc$27697$n685 +.sym 16195 $abc$27697$n686 +.sym 16196 $false +.sym 16197 $false +.sym 16200 KEYBOARD.kbd_code_hid[4] +.sym 16201 $false +.sym 16202 $false +.sym 16203 $false +.sym 16204 $abc$27697$n651 .sym 16205 CLK$2$2 -.sym 16206 $false -.sym 16208 $abc$56607$n1247 -.sym 16210 $abc$56607$n1245 -.sym 16211 report_data_wadr[6] -.sym 16214 report_data_wadr[5] -.sym 16281 $2\ring_wr[3:0][0] -.sym 16282 $abc$56607$n1224 -.sym 16283 $abc$56607$n670 -.sym 16284 $abc$56607$n22 -.sym 16287 $abc$56607$n1220 -.sym 16288 init_ram_cnt[1] -.sym 16289 init_ram_cnt[2] -.sym 16290 $abc$56607$n22 -.sym 16293 $2\ring_wr[3:0][1] -.sym 16294 $abc$56607$n1227_1 -.sym 16295 $abc$56607$n670 -.sym 16296 $abc$56607$n22 -.sym 16299 $abc$56607$n896 -.sym 16300 UART.tx_activity -.sym 16301 $false -.sym 16302 $false -.sym 16305 wr_cnt[1] -.sym 16306 ring_wr[0] -.sym 16307 wr_cnt[2] -.sym 16308 ring_wr[1] -.sym 16311 wr_cnt[1] -.sym 16312 ring_wr[0] -.sym 16313 $false -.sym 16314 $false -.sym 16317 $abc$56607$n1226 -.sym 16318 $abc$56607$n1228 -.sym 16319 $false -.sym 16320 $false -.sym 16323 $abc$56607$n22 -.sym 16324 init_ram_cnt[1] -.sym 16325 $abc$56607$n1220 -.sym 16326 $abc$56607$n1223 -.sym 16327 $abc$56607$n1018$2 -.sym 16328 CLK$2$2 -.sym 16329 $false -.sym 16332 $abc$56607$n1959 -.sym 16333 $abc$56607$n1961 -.sym 16334 $abc$56607$n1963 -.sym 16335 $abc$56607$n1965 -.sym 16336 $abc$56607$n1967 -.sym 16404 $false -.sym 16405 UART.tx_clk_counter[0] -.sym 16406 $false -.sym 16407 $true$2 -.sym 16410 UART.tx_clk_counter[1] -.sym 16411 UART.tx_clk_counter[0] -.sym 16412 $abc$56607$n897 -.sym 16413 $false -.sym 16422 $abc$56607$n1541 -.sym 16423 $abc$56607$n895 -.sym 16424 $false -.sym 16425 $false -.sym 16434 UART.tx_clk_counter[1] -.sym 16435 UART.tx_clk_counter[0] -.sym 16436 UART.tx_activity +.sym 16206 $abc$27697$n25$2 +.sym 16207 $abc$27697$n1919 +.sym 16209 $abc$27697$n1917 +.sym 16210 $abc$27697$n720 +.sym 16211 KEYBOARD.report[5][1] +.sym 16214 KEYBOARD.report[5][0] +.sym 16243 $true +.sym 16280 $abc$27697$n1915$2 +.sym 16281 $false +.sym 16282 $abc$27697$n1915 +.sym 16283 $false +.sym 16284 $false +.sym 16286 $auto$alumacc.cc:470:replace_alu$5935.C[2] +.sym 16288 $false +.sym 16289 $abc$27697$n1902 +.sym 16292 $auto$alumacc.cc:470:replace_alu$5935.C[3] +.sym 16294 $false +.sym 16295 $abc$27697$n1917 +.sym 16298 $abc$27697$n1671$2 +.sym 16300 $false +.sym 16301 $abc$27697$n1919 +.sym 16308 $abc$27697$n1671$2 +.sym 16311 $abc$27697$n856 +.sym 16312 KEYBOARD.report[2][7] +.sym 16313 KEYBOARD.report[5][7] +.sym 16314 $abc$27697$n860 +.sym 16317 KEYBOARD.report[5][0] +.sym 16318 KEYBOARD.report[5][1] +.sym 16319 KEYBOARD.report[5][2] +.sym 16320 KEYBOARD.report[5][3] +.sym 16323 $abc$27697$n701 +.sym 16324 $abc$27697$n702_1 +.sym 16325 $false +.sym 16326 $false +.sym 16331 $abc$27697$n1140 +.sym 16333 KEYBOARD.report[5][5] +.sym 16334 KEYBOARD.report[5][4] +.sym 16404 KEYBOARD.kbd_code_hid[6] +.sym 16405 KEYBOARD.report[5][6] +.sym 16406 KEYBOARD.kbd_code_hid[7] +.sym 16407 KEYBOARD.report[5][7] +.sym 16410 KEYBOARD.kbd_code_hid[2] +.sym 16411 KEYBOARD.report[5][2] +.sym 16412 KEYBOARD.kbd_code_hid[3] +.sym 16413 KEYBOARD.report[5][3] +.sym 16416 $abc$27697$n1139 +.sym 16417 $abc$27697$n1140 +.sym 16418 $abc$27697$n720 +.sym 16419 $abc$27697$n1121_1 +.sym 16422 KEYBOARD.report[5][4] +.sym 16423 KEYBOARD.report[5][5] +.sym 16424 KEYBOARD.report[5][6] +.sym 16425 KEYBOARD.report[5][7] +.sym 16428 KEYBOARD.kbd_code_hid[2] +.sym 16429 $false +.sym 16430 $false +.sym 16431 $false +.sym 16434 KEYBOARD.kbd_code_hid[7] +.sym 16435 $false +.sym 16436 $false .sym 16437 $false -.sym 16450 $abc$56607$n1315 +.sym 16440 KEYBOARD.kbd_code_hid[3] +.sym 16441 $false +.sym 16442 $false +.sym 16443 $false +.sym 16446 KEYBOARD.kbd_code_hid[6] +.sym 16447 $false +.sym 16448 $false +.sym 16449 $false +.sym 16450 $abc$27697$n610 .sym 16451 CLK$2$2 -.sym 16452 $abc$56607$n35$2 -.sym 16497 $abc$56607$n901 -.sym 16555 KEYBOARD.row_time[2] -.sym 16556 KEYBOARD.row_time[3] -.sym 16557 KEYBOARD.row_time[4] -.sym 16558 KEYBOARD.row_time[5] -.sym 16559 KEYBOARD.row_time[6] -.sym 16560 KEYBOARD.row_time[7] -.sym 16628 $abc$56607$n730 -.sym 16629 $abc$56607$n707 +.sym 16452 $abc$27697$n25$2 +.sym 16497 $abc$27697$n434 +.sym 16553 KEYBOARD.COLS_SHADOW[6] +.sym 16558 KEYBOARD.COLS_SHADOW[7] +.sym 16560 I2C.SDAF +.sym 16628 KBD_COLUMNS[1]$2 +.sym 16629 $false .sym 16630 $false .sym 16631 $false -.sym 16634 $abc$56607$n698 -.sym 16635 $abc$56607$n699 +.sym 16634 KBD_COLUMNS[0]$2 +.sym 16635 $false .sym 16636 $false .sym 16637 $false -.sym 16640 KEYBOARD.row_time[4] -.sym 16641 KEYBOARD.row_time[5] -.sym 16642 $abc$56607$n698 -.sym 16643 $abc$56607$n707 -.sym 16646 $abc$56607$n684 -.sym 16647 $abc$56607$n700 -.sym 16648 KEYBOARD.row_time[4] -.sym 16649 KEYBOARD.row_time[5] -.sym 16652 KEYBOARD.row_time[2] -.sym 16653 KEYBOARD.row_time[3] -.sym 16654 KEYBOARD.row_time[6] -.sym 16655 KEYBOARD.row_time[7] -.sym 16664 $abc$56607$n694 -.sym 16665 $abc$56607$n700 +.sym 16658 KBD_COLUMNS[4]$2 +.sym 16659 $false +.sym 16660 $false +.sym 16661 $false +.sym 16664 KBD_COLUMNS[2]$2 +.sym 16665 $false .sym 16666 $false .sym 16667 $false -.sym 16670 I2C.SDAF -.sym 16671 $false -.sym 16672 $false -.sym 16673 $false -.sym 16674 $abc$56607$n1501 +.sym 16674 $true .sym 16675 CLK$2$2 -.sym 16676 $abc$56607$n35$2 +.sym 16676 $false .sym 16677 KBD_COLUMNS[6]$2 .sym 16679 KBD_COLUMNS[7]$2 -.sym 16681 KEYBOARD.row_time[8] -.sym 16682 KEYBOARD.row_time[9] -.sym 16683 KEYBOARD.row_time[10] -.sym 16684 KEYBOARD.row_time[11] -.sym 16685 KEYBOARD.row_time[12] -.sym 16686 KEYBOARD.row_time[13] -.sym 16687 KEYBOARD.row_time[14] -.sym 16688 KEYBOARD.row_time[15] -.sym 16791 I2C.FLT_SDA.counter[0] -.sym 16792 I2C.FLT_SDA.counter[1] +.sym 16682 $abc$27697$n665 +.sym 16684 KEYBOARD.ram_wr +.sym 16753 $true +.sym 16790 $abc$27697$n1890$2 +.sym 16791 $false +.sym 16792 $abc$27697$n1890 .sym 16793 $false .sym 16794 $false -.sym 16797 $abc$56607$n2481 -.sym 16798 $abc$56607$n1632 -.sym 16799 $abc$56607$n1004 -.sym 16800 $false -.sym 16803 $abc$56607$n2480 -.sym 16804 I2C.FLT_SDA.out -.sym 16805 I2C.SDAF -.sym 16806 $false -.sym 16809 $false -.sym 16810 I2C.FLT_SDA.counter[0] -.sym 16811 $false -.sym 16812 $true$2 -.sym 16815 I2C.FLT_SDA.out -.sym 16816 I2C.SDAF -.sym 16817 $abc$56607$n1003 -.sym 16818 $false -.sym 16821 $abc$56607$n2480 +.sym 16796 $auto$alumacc.cc:470:replace_alu$6020.C[3] +.sym 16798 $false +.sym 16799 $abc$27697$n1906 +.sym 16802 $auto$alumacc.cc:470:replace_alu$6020.C[4] +.sym 16804 $false +.sym 16805 $abc$27697$n1908 +.sym 16808 $auto$alumacc.cc:470:replace_alu$6020.C[5] +.sym 16810 $false +.sym 16811 $abc$27697$n1909 +.sym 16814 $auto$alumacc.cc:470:replace_alu$6020.C[6] +.sym 16816 $false +.sym 16817 $abc$27697$n1907 +.sym 16820 $auto$alumacc.cc:470:replace_alu$6020.C[7] .sym 16822 $false -.sym 16823 $false -.sym 16824 $false -.sym 16827 $abc$56607$n2481 +.sym 16823 $abc$27697$n1910 +.sym 16826 $abc$27697$n1962$2 .sym 16828 $false -.sym 16829 $false -.sym 16830 $false -.sym 16833 $abc$56607$n1003 -.sym 16834 $abc$56607$n1632 -.sym 16835 $false -.sym 16836 $false -.sym 16837 $abc$56607$n1502 -.sym 16838 CLK$2$2 -.sym 16839 $abc$56607$n35$2 -.sym 16840 $abc$56607$n698 -.sym 16841 $abc$56607$n713 -.sym 16842 $abc$56607$n731 -.sym 16843 $abc$56607$n681 -.sym 16844 $abc$56607$n682 -.sym 16845 $abc$56607$n687 -.sym 16846 $abc$56607$n688 -.sym 16847 KEYBOARD.report[2][4] -.sym 16914 $abc$56607$n681 -.sym 16915 $abc$56607$n682 -.sym 16916 $abc$56607$n683 -.sym 16917 $abc$56607$n690 -.sym 16920 KEYBOARD.row_time[3] -.sym 16921 KEYBOARD.row_time[2] -.sym 16922 KEYBOARD.row_time[0] -.sym 16923 KEYBOARD.row_time[1] -.sym 16926 KEYBOARD.row_time[5] -.sym 16927 KEYBOARD.row_time[7] -.sym 16928 KEYBOARD.row_time[6] -.sym 16929 KEYBOARD.row_time[4] -.sym 16932 KEYBOARD.row_time[4] -.sym 16933 KEYBOARD.row_time[5] -.sym 16934 KEYBOARD.row_time[6] -.sym 16935 KEYBOARD.row_time[7] -.sym 16938 KEYBOARD.row_time[4] -.sym 16939 KEYBOARD.row_time[6] -.sym 16940 KEYBOARD.row_time[7] -.sym 16941 KEYBOARD.row_time[5] -.sym 16944 KEYBOARD.row_time[3] -.sym 16945 $abc$56607$n682 -.sym 16946 KEYBOARD.row_time[2] -.sym 16947 $abc$56607$n681 -.sym 16950 $abc$56607$n681 -.sym 16951 $abc$56607$n687 -.sym 16952 $abc$56607$n688 -.sym 16953 $abc$56607$n689 -.sym 16956 KEYBOARD.row_time[4] -.sym 16957 $abc$56607$n681 -.sym 16958 $abc$56607$n731 -.sym 16959 KEYBOARD.row_time[5] -.sym 16963 $abc$56607$n1494 -.sym 16964 $abc$56607$n2707 -.sym 16965 $abc$56607$n1475 -.sym 16966 $abc$56607$n1495 -.sym 16968 kbd_report[5][4] -.sym 16969 kbd_report[5][5] -.sym 17037 $abc$56607$n954 -.sym 17038 $abc$56607$n955 -.sym 17039 $abc$56607$n956 -.sym 17040 $abc$56607$n957 -.sym 17043 KEYBOARD.kbd_code_hid[7] -.sym 17044 kbd_report[5][7] -.sym 17045 kbd_report[5][3] -.sym 17046 KEYBOARD.kbd_code_hid[3] -.sym 17049 kbd_report[5][0] -.sym 17050 kbd_report[5][1] -.sym 17051 kbd_report[5][2] -.sym 17052 kbd_report[5][3] -.sym 17055 KEYBOARD.kbd_code_hid[2] -.sym 17056 kbd_report[5][2] -.sym 17057 kbd_report[5][1] -.sym 17058 KEYBOARD.kbd_code_hid[1] -.sym 17061 KEYBOARD.kbd_code_hid[6] -.sym 17062 kbd_report[5][6] -.sym 17063 kbd_report[5][0] -.sym 17064 KEYBOARD.kbd_code_hid[0] -.sym 17067 $abc$56607$n2461 -.sym 17068 $false -.sym 17069 $false -.sym 17070 $false -.sym 17073 $abc$56607$n2465 -.sym 17074 $false -.sym 17075 $false -.sym 17076 $false -.sym 17079 $abc$56607$n2458 -.sym 17080 $false -.sym 17081 $false -.sym 17082 $false -.sym 17083 $abc$56607$n1407 -.sym 17084 CLK$2$2 -.sym 17085 $abc$56607$n35$2 -.sym 17086 $abc$56607$n968 -.sym 17087 $abc$56607$n950 -.sym 17088 $abc$56607$n958 -.sym 17089 $abc$56607$n901_1 -.sym 17090 $abc$56607$n1328 -.sym 17091 $abc$56607$n1422 -.sym 17092 $abc$56607$n1407 -.sym 17093 KEYBOARD.report[1][4] -.sym 17160 $abc$56607$n27 -.sym 17161 $abc$56607$n927 -.sym 17162 $false -.sym 17163 $false -.sym 17166 $abc$56607$n952 -.sym 17167 $abc$56607$n976 -.sym 17168 $abc$56607$n959 -.sym 17169 $abc$56607$n975 -.sym 17172 $abc$56607$n27 -.sym 17173 $abc$56607$n944 -.sym 17174 $abc$56607$n927 -.sym 17175 $false -.sym 17178 $abc$56607$n953 -.sym 17179 $abc$56607$n952 +.sym 16829 $abc$27697$n1911 +.sym 16836 $abc$27697$n1962$2 +.sym 16840 $abc$27697$n1699 +.sym 16841 $abc$27697$n559 +.sym 16842 $abc$27697$n543 +.sym 16843 $abc$27697$n556_1 +.sym 16844 $abc$27697$n522 +.sym 16845 $abc$27697$n524_1 +.sym 16846 $abc$27697$n523 +.sym 16847 $abc$27697$n560 +.sym 16914 $abc$27697$n1075 +.sym 16915 $false +.sym 16916 $false +.sym 16917 $false +.sym 16920 $abc$27697$n1073 +.sym 16921 $false +.sym 16922 $false +.sym 16923 $false +.sym 16926 $abc$27697$n1486 +.sym 16927 I2C.byte_counter[1] +.sym 16928 $false +.sym 16929 $false +.sym 16938 $abc$27697$n1076 +.sym 16939 $false +.sym 16940 $false +.sym 16941 $false +.sym 16944 $abc$27697$n1486 +.sym 16945 I2C.byte_counter[2] +.sym 16946 $false +.sym 16947 $false +.sym 16950 $abc$27697$n1486 +.sym 16951 I2C.byte_counter[3] +.sym 16952 $false +.sym 16953 $false +.sym 16956 $false +.sym 16957 $true$2 +.sym 16958 KEYBOARD.row_time[0] +.sym 16959 $false +.sym 16960 I2C.FLT_SCL.RESET$2 +.sym 16961 CLK$2$2 +.sym 16962 $abc$27697$n29$2 +.sym 16963 $abc$27697$n540 +.sym 16964 $abc$27697$n530 +.sym 16965 $abc$27697$n511_1 +.sym 16966 $abc$27697$n525 +.sym 16967 $abc$27697$n533_1 +.sym 16968 $abc$27697$n531 +.sym 16969 $abc$27697$n539 +.sym 16970 KEYBOARD.temp[6] +.sym 17037 $abc$27697$n538 +.sym 17038 $abc$27697$n542_1 +.sym 17039 $abc$27697$n544 +.sym 17040 $false +.sym 17043 $abc$27697$n541 +.sym 17044 KEYBOARD.is_pressed +.sym 17045 KEYBOARD.COLS_SHADOW[4] +.sym 17046 $abc$27697$n539 +.sym 17049 $abc$27697$n510_1 +.sym 17050 $abc$27697$n548 +.sym 17051 $abc$27697$n561 +.sym 17052 $abc$27697$n553 +.sym 17055 $abc$27697$n549 +.sym 17056 $abc$27697$n551 +.sym 17057 $false +.sym 17058 $false +.sym 17061 $abc$27697$n556_1 +.sym 17062 $abc$27697$n559 +.sym 17063 $false +.sym 17064 $false +.sym 17067 $abc$27697$n550 +.sym 17068 KEYBOARD.COLS_SHADOW[1] +.sym 17069 KEYBOARD.is_pressed +.sym 17070 $abc$27697$n542_1 +.sym 17073 $abc$27697$n511_1 +.sym 17074 $abc$27697$n534 +.sym 17075 $abc$27697$n547 +.sym 17076 $abc$27697$n537 +.sym 17079 $abc$27697$n535 +.sym 17080 KEYBOARD.is_pressed +.sym 17081 KEYBOARD.COLS_SHADOW[5] +.sym 17082 $abc$27697$n531 +.sym 17086 $abc$27697$n999 +.sym 17087 $abc$27697$n1104 +.sym 17088 $abc$27697$n1103_1 +.sym 17089 $abc$27697$n988 +.sym 17090 $abc$27697$n1001 +.sym 17091 $abc$27697$n987 +.sym 17092 $abc$27697$n995 +.sym 17093 KEYBOARD.temp[4] +.sym 17160 $abc$27697$n558 +.sym 17161 KEYBOARD.COLS_SHADOW[2] +.sym 17162 KEYBOARD.is_pressed +.sym 17163 $abc$27697$n559 +.sym 17166 $abc$27697$n544 +.sym 17167 $abc$27697$n552 +.sym 17168 KEYBOARD.COLS_SHADOW[3] +.sym 17169 $abc$27697$n542_1 +.sym 17172 $abc$27697$n552 +.sym 17173 KEYBOARD.COLS_SHADOW[3] +.sym 17174 KEYBOARD.is_pressed +.sym 17175 $abc$27697$n544 +.sym 17178 $abc$27697$n554 +.sym 17179 $abc$27697$n557 .sym 17180 $false .sym 17181 $false -.sym 17184 $abc$56607$n944 -.sym 17185 $abc$56607$n953 -.sym 17186 $abc$56607$n962 -.sym 17187 $abc$56607$n969 -.sym 17190 $abc$56607$n952 -.sym 17191 $abc$56607$n962 -.sym 17192 $abc$56607$n926 +.sym 17184 $abc$27697$n531 +.sym 17185 $abc$27697$n535 +.sym 17186 KEYBOARD.COLS_SHADOW[5] +.sym 17187 $abc$27697$n539 +.sym 17190 $abc$27697$n550 +.sym 17191 KEYBOARD.COLS_SHADOW[1] +.sym 17192 $abc$27697$n542_1 .sym 17193 $false -.sym 17196 $abc$56607$n2465 -.sym 17197 $false -.sym 17198 $false -.sym 17199 $false -.sym 17202 $abc$56607$n2464 -.sym 17203 $false -.sym 17204 $false +.sym 17196 $abc$27697$n555 +.sym 17197 KEYBOARD.COLS_SHADOW[0] +.sym 17198 KEYBOARD.is_pressed +.sym 17199 $abc$27697$n556_1 +.sym 17202 $abc$27697$n552 +.sym 17203 KEYBOARD.COLS_SHADOW[3] +.sym 17204 $abc$27697$n544 .sym 17205 $false -.sym 17206 $abc$56607$n1450 +.sym 17206 I2C.FLT_SCL.RESET$2 .sym 17207 CLK$2$2 -.sym 17208 $abc$56607$n35$2 -.sym 17209 $abc$56607$n966 -.sym 17210 $abc$56607$n1210 -.sym 17211 $abc$56607$n1179 -.sym 17212 $abc$56607$n930 -.sym 17213 $abc$56607$n1152 -.sym 17214 KEYBOARD.report[4][5] -.sym 17215 KEYBOARD.report[4][7] -.sym 17216 KEYBOARD.report[4][2] -.sym 17283 KEYBOARD.kbd_code_hid[2] -.sym 17284 KEYBOARD.report[1][2] -.sym 17285 $abc$56607$n986 -.sym 17286 $abc$56607$n987 -.sym 17289 KEYBOARD.report[1][5] -.sym 17290 KEYBOARD.kbd_code_hid[5] -.sym 17291 KEYBOARD.kbd_code_hid[4] -.sym 17292 KEYBOARD.report[1][4] -.sym 17295 kbd_report[5][7] -.sym 17296 KEYBOARD.report[1][7] -.sym 17297 $abc$56607$n312 -.sym 17298 $abc$56607$n1113_1 -.sym 17301 KEYBOARD.kbd_code_hid[7] -.sym 17302 KEYBOARD.report[1][7] -.sym 17303 KEYBOARD.report[1][6] -.sym 17304 KEYBOARD.kbd_code_hid[6] -.sym 17307 $abc$56607$n1515_1 -.sym 17308 $abc$56607$n1514 -.sym 17309 $abc$56607$n985_1 -.sym 17310 $abc$56607$n952 -.sym 17313 $abc$56607$n2459 -.sym 17314 $false -.sym 17315 $false +.sym 17208 $false +.sym 17209 $abc$27697$n541 +.sym 17210 $abc$27697$n550 +.sym 17211 $abc$27697$n526 +.sym 17212 $abc$27697$n536 +.sym 17213 $abc$27697$n1720 +.sym 17214 $abc$27697$n985 +.sym 17215 KEYBOARD.kbd_code[0] +.sym 17216 KEYBOARD.temp[0] +.sym 17283 $abc$27697$n558 +.sym 17284 KEYBOARD.COLS_SHADOW[2] +.sym 17285 $abc$27697$n559 +.sym 17286 $false +.sym 17289 KEYBOARD.RAM.r_data[2] +.sym 17290 KEYBOARD.temp[2] +.sym 17291 $abc$27697$n536 +.sym 17292 $false +.sym 17295 KEYBOARD.RAM.r_data[3] +.sym 17296 KEYBOARD.temp[3] +.sym 17297 $abc$27697$n536 +.sym 17298 $false +.sym 17301 KEYBOARD.RAM.r_data[5] +.sym 17302 KEYBOARD.temp[5] +.sym 17303 $abc$27697$n536 +.sym 17304 $false +.sym 17313 $abc$27697$n558 +.sym 17314 KEYBOARD.COLS_SHADOW[2] +.sym 17315 $abc$27697$n559 .sym 17316 $false -.sym 17319 $abc$56607$n2464 -.sym 17320 $false -.sym 17321 $false -.sym 17322 $false -.sym 17325 $abc$56607$n2460 -.sym 17326 $false -.sym 17327 $false +.sym 17325 $abc$27697$n535 +.sym 17326 KEYBOARD.COLS_SHADOW[5] +.sym 17327 $abc$27697$n531 .sym 17328 $false -.sym 17329 $abc$56607$n1407 +.sym 17329 I2C.FLT_SCL.RESET$2 .sym 17330 CLK$2$2 -.sym 17331 $abc$56607$n35$2 -.sym 17332 $abc$56607$n1209 -.sym 17333 $abc$56607$n1211_1 -.sym 17334 $abc$56607$n972 -.sym 17335 $abc$56607$n1164 -.sym 17336 $abc$56607$n969 -.sym 17337 $abc$56607$n973 -.sym 17338 KEYBOARD.report[6][7] -.sym 17339 KEYBOARD.report[6][5] -.sym 17406 $abc$56607$n1112 -.sym 17407 kbd_report[5][2] -.sym 17408 $abc$56607$n1118 -.sym 17409 KEYBOARD.report[3][2] -.sym 17412 $abc$56607$n1112 -.sym 17413 kbd_report[5][0] -.sym 17414 $abc$56607$n1114 -.sym 17415 KEYBOARD.report[2][0] -.sym 17418 $abc$56607$n1113_1 -.sym 17419 $abc$56607$n312 -.sym 17420 $false +.sym 17331 $false +.sym 17334 $abc$27697$n27 +.sym 17336 KEYBOARD.ram_adr[3] +.sym 17337 KEYBOARD.ram_adr[4] +.sym 17339 KEYBOARD.ram_adr[7] +.sym 17406 $abc$27697$n618_1 +.sym 17407 $abc$27697$n1090_1 +.sym 17408 I2C.byte_counter[1] +.sym 17409 I2C.byte_counter[2] +.sym 17412 I2C.byte_counter[3] +.sym 17413 $abc$27697$n621 +.sym 17414 $abc$27697$n595 +.sym 17415 $abc$27697$n1108_1 +.sym 17418 I2C.byte_counter[0] +.sym 17419 I2C.byte_counter[3] +.sym 17420 I2C.byte_counter[2] .sym 17421 $false -.sym 17424 $abc$56607$n1115 -.sym 17425 KEYBOARD.report[1][2] -.sym 17426 KEYBOARD.report[2][2] -.sym 17427 $abc$56607$n1114 -.sym 17430 $abc$56607$n1115 -.sym 17431 KEYBOARD.report[1][0] -.sym 17432 KEYBOARD.report[6][0] -.sym 17433 $abc$56607$n1121 -.sym 17436 $abc$56607$n1119 -.sym 17437 KEYBOARD.report[4][3] -.sym 17438 KEYBOARD.report[6][3] -.sym 17439 $abc$56607$n1121 -.sym 17442 $abc$56607$n1517 -.sym 17443 $abc$56607$n1111 -.sym 17444 $abc$56607$n1117 +.sym 17424 $abc$27697$n607 +.sym 17425 I2C.byte_counter[0] +.sym 17426 I2C.byte_counter[1] +.sym 17427 $abc$27697$n603 +.sym 17430 $abc$27697$n591 +.sym 17431 $abc$27697$n592 +.sym 17432 I2C.byte_counter[1] +.sym 17433 $false +.sym 17436 $abc$27697$n591 +.sym 17437 $abc$27697$n592 +.sym 17438 $abc$27697$n588 +.sym 17439 $false +.sym 17442 I2C.byte_counter[0] +.sym 17443 I2C.byte_counter[2] +.sym 17444 $abc$27697$n589 .sym 17445 $false -.sym 17448 $abc$56607$n1150 -.sym 17449 $abc$56607$n1151 +.sym 17448 I2C.FLT_SDA.out +.sym 17449 $false .sym 17450 $false .sym 17451 $false -.sym 17455 $abc$56607$n907 -.sym 17456 $abc$56607$n977 -.sym 17457 $abc$56607$n976 -.sym 17458 $abc$56607$n906 -.sym 17459 $abc$56607$n978 -.sym 17460 $abc$56607$n908 -.sym 17461 $abc$56607$n980 -.sym 17462 KEYBOARD.report[2][3] -.sym 17529 $abc$56607$n1119 -.sym 17530 KEYBOARD.report[4][6] -.sym 17531 KEYBOARD.report[6][6] -.sym 17532 $abc$56607$n1121 -.sym 17535 $abc$56607$n1127 -.sym 17536 KEYBOARD.report[2][6] -.sym 17537 KEYBOARD.report[3][6] -.sym 17538 $abc$56607$n1146 -.sym 17541 $abc$56607$n1128 -.sym 17542 kbd_report[5][6] -.sym 17543 KEYBOARD.report[6][6] -.sym 17544 $abc$56607$n1142 -.sym 17547 $abc$56607$n1143 -.sym 17548 KEYBOARD.report[4][6] -.sym 17549 $abc$56607$n1203 -.sym 17550 $abc$56607$n1204 -.sym 17553 $abc$56607$n1112 -.sym 17554 kbd_report[5][1] -.sym 17555 $abc$56607$n1118 -.sym 17556 KEYBOARD.report[3][1] -.sym 17559 $abc$56607$n1128 -.sym 17560 kbd_report[5][1] -.sym 17561 $abc$56607$n1146 -.sym 17562 KEYBOARD.report[3][1] -.sym 17565 wr_cnt[1] -.sym 17566 wr_cnt[2] -.sym 17567 $abc$56607$n321 +.sym 17452 $abc$27697$n503 +.sym 17453 CLK$2$2 +.sym 17454 $false +.sym 17455 $abc$27697$n830 +.sym 17456 $abc$27697$n589 +.sym 17457 $abc$27697$n835 +.sym 17458 $abc$27697$n608 +.sym 17461 KEYBOARD.kbd_code[2] +.sym 17462 KEYBOARD.kbd_code[1] +.sym 17529 i2c_input_data_type[3] +.sym 17530 i2c_input_data_type[1] +.sym 17531 i2c_input_data_type[2] +.sym 17532 $false +.sym 17535 $abc$27697$n608 +.sym 17536 I2C.received_byte[0] +.sym 17537 $abc$27697$n606 +.sym 17538 $abc$27697$n607 +.sym 17541 $abc$27697$n594 +.sym 17542 $abc$27697$n595 +.sym 17543 I2C.byte_counter[1] +.sym 17544 $false +.sym 17547 $abc$27697$n603 +.sym 17548 I2C.byte_counter[0] +.sym 17549 I2C.byte_counter[1] +.sym 17550 $false +.sym 17553 I2C.byte_counter[2] +.sym 17554 I2C.byte_counter[3] +.sym 17555 $abc$27697$n574 +.sym 17556 $false +.sym 17559 i2c_input_data_type[1] +.sym 17560 i2c_input_data_type[3] +.sym 17561 i2c_input_data_type[0] +.sym 17562 i2c_input_data_type[2] +.sym 17565 I2C.received_byte[0] +.sym 17566 $abc$27697$n608 +.sym 17567 $abc$27697$n606 .sym 17568 $false -.sym 17571 $abc$56607$n2464 -.sym 17572 $false -.sym 17573 $false -.sym 17574 $false -.sym 17575 $abc$56607$n1435 -.sym 17576 CLK$2$2 -.sym 17577 $abc$56607$n35$2 -.sym 17578 $abc$56607$n791 -.sym 17579 $abc$56607$n1143 -.sym 17580 $abc$56607$n1113_1 -.sym 17581 $abc$56607$n1114 -.sym 17582 $abc$56607$n305 -.sym 17583 $abc$56607$n2708 -.sym 17584 KEYBOARD.report[4][3] -.sym 17585 KEYBOARD.report[4][1] -.sym 17652 $abc$56607$n312 -.sym 17653 $abc$56607$n1113_1 -.sym 17654 $false -.sym 17655 $false -.sym 17658 $abc$56607$n1141 -.sym 17659 $abc$56607$n1144 -.sym 17660 $false -.sym 17661 $false -.sym 17664 wr_cnt[1] -.sym 17665 $abc$56607$n321 -.sym 17666 wr_cnt[2] +.sym 17571 $abc$27697$n613 +.sym 17572 $abc$27697$n590 +.sym 17573 $abc$27697$n614 +.sym 17574 $abc$27697$n612 +.sym 17585 $abc$27697$n821 +.sym 17652 $abc$27697$n587 +.sym 17653 $abc$27697$n611 +.sym 17654 $abc$27697$n615 +.sym 17655 $abc$27697$n1115_1 +.sym 17658 I2C.received_byte[3] +.sym 17659 $abc$27697$n1113 +.sym 17660 $abc$27697$n606 +.sym 17661 $abc$27697$n590 +.sym 17664 I2C.received_byte[5] +.sym 17665 $false +.sym 17666 $false .sym 17667 $false -.sym 17670 $abc$56607$n1126 -.sym 17671 KEYBOARD.report[1][1] -.sym 17672 KEYBOARD.report[2][1] -.sym 17673 $abc$56607$n1127 -.sym 17676 $abc$56607$n1114 -.sym 17677 KEYBOARD.report[2][1] -.sym 17678 $abc$56607$n1135 -.sym 17679 $abc$56607$n1138 -.sym 17688 wr_cnt[1] -.sym 17689 wr_cnt[2] -.sym 17690 $abc$56607$n321 -.sym 17691 $false -.sym 17694 $abc$56607$n2459 -.sym 17695 $false -.sym 17696 $false +.sym 17670 I2C.received_byte[2] +.sym 17671 I2C.received_byte[0] +.sym 17672 i2c_input_data_type[0] +.sym 17673 I2C.received_byte[1] +.sym 17676 I2C.received_byte[4] +.sym 17677 I2C.received_byte[5] +.sym 17678 I2C.received_byte[7] +.sym 17679 I2C.received_byte[6] +.sym 17682 I2C.received_byte[6] +.sym 17683 $false +.sym 17684 $false +.sym 17685 $false +.sym 17688 $abc$27697$n587 +.sym 17689 I2C.is_read +.sym 17690 I2C.FLT_SCL.RESET$2 +.sym 17691 $abc$27697$n593 +.sym 17694 $abc$27697$n605 +.sym 17695 $abc$27697$n602 +.sym 17696 $abc$27697$n1114_1 .sym 17697 $false -.sym 17698 $abc$56607$n1435 -.sym 17699 CLK$2$2 -.sym 17700 $abc$56607$n35$2 -.sym 17701 $abc$56607$n793 -.sym 17702 $abc$56607$n1232 -.sym 17703 $abc$56607$n736 -.sym 17704 $abc$56607$n1007 -.sym 17705 $abc$56607$n746 -.sym 17706 $abc$56607$n842 -.sym 17707 $abc$56607$n1018 -.sym 17708 last_isr -.sym 17775 $abc$56607$n757 -.sym 17776 $abc$56607$n791 -.sym 17777 $abc$56607$n770 +.sym 17708 $abc$27697$n820 +.sym 17737 $true +.sym 17774 I2C.byte_counter[0]$2 +.sym 17775 $false +.sym 17776 I2C.byte_counter[0] +.sym 17777 $false .sym 17778 $false -.sym 17781 $abc$56607$n759 -.sym 17782 $abc$56607$n758 -.sym 17783 I2C.FLT_SCL.RESET -.sym 17784 $abc$56607$n757 -.sym 17787 $abc$56607$n733 -.sym 17788 $abc$56607$n771 -.sym 17789 I2C.FLT_SCL.RESET -.sym 17790 $abc$56607$n757 -.sym 17793 $abc$56607$n733 -.sym 17794 $abc$56607$n812 -.sym 17795 $abc$56607$n673 -.sym 17796 $false -.sym 17799 KEYBOARD.isr -.sym 17800 last_isr -.sym 17801 $false -.sym 17802 $false -.sym 17805 $abc$56607$n733 -.sym 17806 $abc$56607$n22 -.sym 17807 $false -.sym 17808 $false -.sym 17811 $abc$56607$n811 -.sym 17812 $abc$56607$n755 +.sym 17780 $auto$alumacc.cc:470:replace_alu$6014.C[2] +.sym 17782 I2C.byte_counter[1] +.sym 17783 $true$2 +.sym 17787 $false +.sym 17788 I2C.byte_counter[2] +.sym 17789 $false +.sym 17790 $auto$alumacc.cc:470:replace_alu$6014.C[2] +.sym 17793 $abc$27697$n1109_1 +.sym 17794 $abc$27697$n1089 +.sym 17795 $abc$27697$n574 +.sym 17796 $abc$27697$n1087_1 +.sym 17799 $abc$27697$n1110 +.sym 17800 I2C.FLT_SCL.RESET$2 +.sym 17801 $abc$27697$n1111 +.sym 17802 I2C.is_read +.sym 17805 $abc$27697$n574 +.sym 17806 $abc$27697$n578 +.sym 17807 I2C.FLT_SCL.RESET$2 +.sym 17808 $abc$27697$n1087_1 +.sym 17811 I2C.byte_counter[0] +.sym 17812 $abc$27697$n613 .sym 17813 $false .sym 17814 $false -.sym 17817 $abc$56607$n842 -.sym 17818 $abc$56607$n759 -.sym 17819 $abc$56607$n770 +.sym 17817 I2C.received_byte[7] +.sym 17818 $false +.sym 17819 $false .sym 17820 $false -.sym 17828 $abc$56607$n2577 -.sym 17829 $abc$56607$n755 -.sym 17831 temp_output_report[1] -.sym 17898 i2c_input_data_type[0] +.sym 17828 $abc$27697$n1953 +.sym 17830 $abc$27697$n845 +.sym 17831 LED3$2 +.sym 17898 i2c_input_data_type[3] .sym 17899 $false .sym 17900 $false .sym 17901 $false -.sym 17904 $abc$56607$n670 -.sym 17905 $abc$56607$n22 -.sym 17906 $false -.sym 17907 $false -.sym 17910 $abc$56607$n842 -.sym 17911 $abc$56607$n755 -.sym 17912 $false -.sym 17913 $false +.sym 17910 $abc$27697$n1092 +.sym 17911 I2C.FLT_SCL.RESET$2 +.sym 17912 I2C.is_read +.sym 17913 $abc$27697$n578 .sym 17916 i2c_input_data_type[1] -.sym 17917 i2c_input_data_type[0] -.sym 17918 i2c_input_data_type[3] -.sym 17919 i2c_input_data_type[2] -.sym 17922 i2c_input_data_type[1] -.sym 17923 i2c_input_data_type[3] -.sym 17924 i2c_input_data_type[0] -.sym 17925 i2c_input_data_type[2] -.sym 17928 i2c_input_data_type[2] -.sym 17929 $false -.sym 17930 $false -.sym 17931 $false -.sym 17934 i2c_input_data_type[1] +.sym 17917 $false +.sym 17918 $false +.sym 17919 $false +.sym 17934 i2c_input_data_type[2] .sym 17935 $false .sym 17936 $false .sym 17937 $false -.sym 17940 I2C.received_byte[2] -.sym 17941 $false -.sym 17942 $false -.sym 17943 $false -.sym 17944 $abc$56607$n1113 -.sym 17945 CLK$2$2 -.sym 17946 $false -.sym 17947 $abc$56607$n742 -.sym 17948 $abc$56607$n762 -.sym 17949 $13\int_tmr[19:0][0] -.sym 17951 $abc$56607$n761 -.sym 17952 $abc$56607$n744 -.sym 17953 $abc$56607$n743 -.sym 17954 int_tmr[1] -.sym 17983 $true -.sym 18020 wr_cnt[0]$3 .sym 18021 $false -.sym 18022 wr_cnt[0] +.sym 18022 $false .sym 18023 $false .sym 18024 $false -.sym 18026 $auto$alumacc.cc:470:replace_alu$12138.C[2] -.sym 18028 wr_cnt[1] -.sym 18029 $false -.sym 18033 $false -.sym 18034 wr_cnt[2] -.sym 18035 $true$2 -.sym 18036 $auto$alumacc.cc:470:replace_alu$12138.C[2] -.sym 18039 $abc$56607$n773 -.sym 18040 $abc$56607$n842 -.sym 18041 $abc$56607$n988 -.sym 18042 $abc$56607$n754 -.sym 18045 $abc$56607$n768 -.sym 18046 $abc$56607$n772 -.sym 18047 $false -.sym 18048 $false -.sym 18051 uart_double_ff -.sym 18052 $abc$56607$n774 -.sym 18053 $abc$56607$n762 -.sym 18054 $false -.sym 18057 last_uart_active -.sym 18058 $abc$56607$n741 -.sym 18059 UART.tx_activity -.sym 18060 $abc$56607$n769 -.sym 18063 $abc$56607$n774 -.sym 18064 $abc$56607$n747 +.sym 18063 UART.tx_activity +.sym 18064 $false .sym 18065 $false .sym 18066 $false -.sym 18067 $abc$56607$n881 -.sym 18068 CLK$2$2 -.sym 18069 $abc$56607$n35$2 -.sym 18070 $abc$56607$n1501_1 -.sym 18071 $abc$56607$n985 -.sym 18072 $abc$56607$n938 -.sym 18074 COM_DCD$2 -.sym 18076 $abc$56607$n782 -.sym 18077 LED1$2 -.sym 18106 $false -.sym 18143 $auto$maccmap.cc:240:synth$13026.C[5] -.sym 18145 $abc$56607$n2549 -.sym 18146 $abc$56607$n2551 -.sym 18149 $auto$maccmap.cc:240:synth$13026.C[6] -.sym 18150 $false -.sym 18151 $2\ring_wr[3:0][2] -.sym 18152 $abc$56607$n2671 -.sym 18153 $auto$maccmap.cc:240:synth$13026.C[5] -.sym 18155 $auto$maccmap.cc:240:synth$13026.C[7] -.sym 18156 $false -.sym 18157 $2\ring_wr[3:0][3] -.sym 18158 $false -.sym 18159 $auto$maccmap.cc:240:synth$13026.C[6] -.sym 18162 $false -.sym 18163 $false +.sym 18072 KEYBOARD.report[1][3] +.sym 18077 KEYBOARD.report[1][0] +.sym 18144 $false +.sym 18145 UART.tx_bit_counter[0] +.sym 18146 $false +.sym 18147 $true$2 +.sym 18150 UART.tx_bit_counter[0] +.sym 18151 UART.tx_bit_counter[1] +.sym 18152 $false +.sym 18153 $false +.sym 18156 UART.tx_bit_counter[0] +.sym 18157 UART.tx_bit_counter[1] +.sym 18158 UART.tx_bit_counter[2] +.sym 18159 UART.tx_activity +.sym 18162 UART.tx_bit_counter[3] +.sym 18163 $abc$27697$n678 .sym 18164 $false -.sym 18165 $auto$maccmap.cc:240:synth$13026.C[7] -.sym 18168 $abc$56607$n741 -.sym 18169 UART.tx_activity -.sym 18170 last_uart_active -.sym 18171 $abc$56607$n988 -.sym 18174 $abc$56607$n761 -.sym 18175 UART_WR -.sym 18176 $abc$56607$n769 -.sym 18177 $abc$56607$n753 -.sym 18180 $abc$56607$n778 -.sym 18181 $abc$56607$n867 +.sym 18165 $false +.sym 18168 $abc$27697$n1916 +.sym 18169 $false +.sym 18170 $false +.sym 18171 $false +.sym 18174 $abc$27697$n1903 +.sym 18175 $false +.sym 18176 $false +.sym 18177 $false +.sym 18180 $abc$27697$n1918 +.sym 18181 $false .sym 18182 $false .sym 18183 $false -.sym 18186 UART.tx_activity +.sym 18186 $abc$27697$n1902 .sym 18187 $false .sym 18188 $false .sym 18189 $false -.sym 18190 $abc$56607$n1227 +.sym 18190 $abc$27697$n542 .sym 18191 CLK$2$2 -.sym 18192 $abc$56607$n35$2 -.sym 18193 $abc$56607$n2546 -.sym 18194 $abc$56607$n1221_1 -.sym 18195 $abc$56607$n1243 -.sym 18196 $abc$56607$n1953 -.sym 18197 $abc$56607$n1234 -.sym 18198 $abc$56607$n1239 -.sym 18199 $abc$56607$n1242 -.sym 18200 int_tmr[19] +.sym 18192 $abc$27697$n21 +.sym 18193 $abc$27697$n756 +.sym 18194 $abc$27697$n754_1 +.sym 18195 $abc$27697$n752_1 +.sym 18196 $abc$27697$n751_1 +.sym 18197 $abc$27697$n755_1 +.sym 18198 $abc$27697$n753 +.sym 18199 $abc$27697$n757 +.sym 18200 KEYBOARD.report[1][2] +.sym 18229 $true +.sym 18266 UART.tx_bit_counter[0]$2 .sym 18267 $false -.sym 18268 wr_cnt[0] +.sym 18268 UART.tx_bit_counter[0] .sym 18269 $false .sym 18270 $false -.sym 18273 $2\ring_wr[3:0][1] -.sym 18274 $2\ring_wr[3:0][3] -.sym 18275 $false -.sym 18276 $false -.sym 18279 $abc$56607$n1949 -.sym 18280 $6\report_data_wadr[7:0][7] -.sym 18281 $abc$56607$n670 -.sym 18282 $abc$56607$n22 -.sym 18285 $2\ring_wr[3:0][1] -.sym 18286 $2\ring_wr[3:0][3] -.sym 18287 $false -.sym 18288 $false -.sym 18291 $abc$56607$n1239 -.sym 18292 $abc$56607$n2549 -.sym 18293 $abc$56607$n2551 -.sym 18294 $abc$56607$n670 -.sym 18297 $abc$56607$n1220 -.sym 18298 $abc$56607$n1961 -.sym 18299 $abc$56607$n1236_1 -.sym 18300 $abc$56607$n22 -.sym 18303 $abc$56607$n1220 -.sym 18304 $abc$56607$n1967 -.sym 18305 $abc$56607$n22 -.sym 18306 $abc$56607$n1249_1 -.sym 18309 $abc$56607$n1220 -.sym 18310 $abc$56607$n1221_1 -.sym 18311 $abc$56607$n771 -.sym 18312 $6\report_data_wadr[7:0][0] -.sym 18313 $abc$56607$n1018$2 +.sym 18272 $auto$alumacc.cc:470:replace_alu$6070.C[2] +.sym 18274 UART.tx_bit_counter[1] +.sym 18275 $true$2 +.sym 18278 $auto$alumacc.cc:470:replace_alu$6070.C[3] +.sym 18279 $false +.sym 18280 UART.tx_bit_counter[2] +.sym 18281 $true$2 +.sym 18282 $auto$alumacc.cc:470:replace_alu$6070.C[2] +.sym 18285 $false +.sym 18286 UART.tx_bit_counter[3] +.sym 18287 $true$2 +.sym 18288 $auto$alumacc.cc:470:replace_alu$6070.C[3] +.sym 18291 KEYBOARD.kbd_code_hid[7] +.sym 18292 $false +.sym 18293 $false +.sym 18294 $false +.sym 18297 KEYBOARD.kbd_code_hid[5] +.sym 18298 $false +.sym 18299 $false +.sym 18300 $false +.sym 18303 KEYBOARD.kbd_code_hid[1] +.sym 18304 $false +.sym 18305 $false +.sym 18306 $false +.sym 18309 KEYBOARD.kbd_code_hid[6] +.sym 18310 $false +.sym 18311 $false +.sym 18312 $false +.sym 18313 $abc$27697$n651 .sym 18314 CLK$2$2 -.sym 18315 $false -.sym 18317 $6\report_data_wadr[7:0][5] -.sym 18318 $6\report_data_wadr[7:0][6] -.sym 18319 $6\report_data_wadr[7:0][7] -.sym 18320 $abc$56607$n2680 -.sym 18321 $abc$56607$n2681 -.sym 18322 $abc$56607$n2678 -.sym 18396 $abc$56607$n1946 -.sym 18397 $6\report_data_wadr[7:0][6] -.sym 18398 $abc$56607$n670 -.sym 18399 $abc$56607$n22 -.sym 18408 $abc$56607$n1943 -.sym 18409 $6\report_data_wadr[7:0][5] -.sym 18410 $abc$56607$n670 -.sym 18411 $abc$56607$n22 -.sym 18414 $abc$56607$n1220 -.sym 18415 $abc$56607$n1965 -.sym 18416 $abc$56607$n22 -.sym 18417 $abc$56607$n1247 -.sym 18432 $abc$56607$n1220 -.sym 18433 $abc$56607$n1963 -.sym 18434 $abc$56607$n22 -.sym 18435 $abc$56607$n1245 -.sym 18436 $abc$56607$n1018$2 +.sym 18315 $abc$27697$n25$2 +.sym 18390 $abc$27697$n1918 +.sym 18391 $false +.sym 18392 $false +.sym 18393 $false +.sym 18402 $abc$27697$n1916 +.sym 18403 $false +.sym 18404 $false +.sym 18405 $false +.sym 18408 KEYBOARD.kbd_code_hid[0] +.sym 18409 KEYBOARD.report[5][0] +.sym 18410 KEYBOARD.kbd_code_hid[1] +.sym 18411 KEYBOARD.report[5][1] +.sym 18414 KEYBOARD.kbd_code_hid[1] +.sym 18415 $false +.sym 18416 $false +.sym 18417 $false +.sym 18432 KEYBOARD.kbd_code_hid[0] +.sym 18433 $false +.sym 18434 $false +.sym 18435 $false +.sym 18436 $abc$27697$n610 .sym 18437 CLK$2$2 -.sym 18438 $false -.sym 18446 $abc$56607$n22 -.sym 18475 $true -.sym 18512 init_ram_cnt[1]$3 -.sym 18513 $false -.sym 18514 init_ram_cnt[1] -.sym 18515 $false -.sym 18516 $false -.sym 18518 $auto$alumacc.cc:470:replace_alu$12144.C[3] -.sym 18520 init_ram_cnt[2] -.sym 18521 $true$2 -.sym 18524 $auto$alumacc.cc:470:replace_alu$12144.C[4] -.sym 18525 $false -.sym 18526 init_ram_cnt[3] -.sym 18527 $false -.sym 18528 $auto$alumacc.cc:470:replace_alu$12144.C[3] -.sym 18530 $auto$alumacc.cc:470:replace_alu$12144.C[5] -.sym 18531 $false -.sym 18532 init_ram_cnt[4] -.sym 18533 $true$2 -.sym 18534 $auto$alumacc.cc:470:replace_alu$12144.C[4] -.sym 18536 $auto$alumacc.cc:470:replace_alu$12144.C[6] -.sym 18537 $false -.sym 18538 init_ram_cnt[5] -.sym 18539 $true$2 -.sym 18540 $auto$alumacc.cc:470:replace_alu$12144.C[5] -.sym 18542 $auto$alumacc.cc:470:replace_alu$12144.C[7] -.sym 18543 $false -.sym 18544 init_ram_cnt[6] -.sym 18545 $true$2 -.sym 18546 $auto$alumacc.cc:470:replace_alu$12144.C[6] -.sym 18549 $false -.sym 18550 init_ram_cnt[7] -.sym 18551 $true$2 -.sym 18552 $auto$alumacc.cc:470:replace_alu$12144.C[7] -.sym 18698 $true -.sym 18735 KEYBOARD.row_time[0]$2 -.sym 18736 $false -.sym 18737 KEYBOARD.row_time[0] +.sym 18438 $abc$27697$n25$2 +.sym 18519 KEYBOARD.kbd_code_hid[4] +.sym 18520 KEYBOARD.report[5][4] +.sym 18521 KEYBOARD.kbd_code_hid[5] +.sym 18522 KEYBOARD.report[5][5] +.sym 18531 KEYBOARD.kbd_code_hid[5] +.sym 18532 $false +.sym 18533 $false +.sym 18534 $false +.sym 18537 KEYBOARD.kbd_code_hid[4] +.sym 18538 $false +.sym 18539 $false +.sym 18540 $false +.sym 18559 $abc$27697$n610 +.sym 18560 CLK$2$2 +.sym 18561 $abc$27697$n25$2 +.sym 18736 KBD_COLUMNS[6]$2 +.sym 18737 $false .sym 18738 $false .sym 18739 $false -.sym 18741 $auto$alumacc.cc:470:replace_alu$12194.C[2] -.sym 18743 $false -.sym 18744 KEYBOARD.row_time[1] -.sym 18747 $auto$alumacc.cc:470:replace_alu$12194.C[3] -.sym 18748 $false -.sym 18749 $false -.sym 18750 KEYBOARD.row_time[2] -.sym 18751 $auto$alumacc.cc:470:replace_alu$12194.C[2] -.sym 18753 $auto$alumacc.cc:470:replace_alu$12194.C[4] -.sym 18754 $false -.sym 18755 $false -.sym 18756 KEYBOARD.row_time[3] -.sym 18757 $auto$alumacc.cc:470:replace_alu$12194.C[3] -.sym 18759 $auto$alumacc.cc:470:replace_alu$12194.C[5] -.sym 18760 $false -.sym 18761 $false -.sym 18762 KEYBOARD.row_time[4] -.sym 18763 $auto$alumacc.cc:470:replace_alu$12194.C[4] -.sym 18765 $auto$alumacc.cc:470:replace_alu$12194.C[6] -.sym 18766 $false +.sym 18766 KBD_COLUMNS[7]$2 .sym 18767 $false -.sym 18768 KEYBOARD.row_time[5] -.sym 18769 $auto$alumacc.cc:470:replace_alu$12194.C[5] -.sym 18771 $auto$alumacc.cc:470:replace_alu$12194.C[7] -.sym 18772 $false -.sym 18773 $false -.sym 18774 KEYBOARD.row_time[6] -.sym 18775 $auto$alumacc.cc:470:replace_alu$12194.C[6] -.sym 18777 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18778 $false +.sym 18768 $false +.sym 18769 $false +.sym 18778 I2C.SDA_IN .sym 18779 $false -.sym 18780 KEYBOARD.row_time[7] -.sym 18781 $auto$alumacc.cc:470:replace_alu$12194.C[7] -.sym 18782 $abc$56607$n1490$2 +.sym 18780 $false +.sym 18781 $false +.sym 18782 $true .sym 18783 CLK$2$2 -.sym 18784 $abc$56607$n33$2 -.sym 18861 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18898 $auto$alumacc.cc:470:replace_alu$12194.C[9] -.sym 18899 $false -.sym 18900 $false -.sym 18901 KEYBOARD.row_time[8] -.sym 18902 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18904 $auto$alumacc.cc:470:replace_alu$12194.C[10] -.sym 18905 $false -.sym 18906 $false -.sym 18907 KEYBOARD.row_time[9] -.sym 18908 $auto$alumacc.cc:470:replace_alu$12194.C[9] -.sym 18910 $auto$alumacc.cc:470:replace_alu$12194.C[11] -.sym 18911 $false -.sym 18912 $false -.sym 18913 KEYBOARD.row_time[10] -.sym 18914 $auto$alumacc.cc:470:replace_alu$12194.C[10] -.sym 18916 $auto$alumacc.cc:470:replace_alu$12194.C[12] -.sym 18917 $false +.sym 18784 $false +.sym 18905 $abc$27697$n29$2 +.sym 18906 $abc$27697$n1699 +.sym 18907 I2C.FLT_SCL.RESET$2 +.sym 18908 $false +.sym 18917 $abc$27697$n1699 .sym 18918 $false -.sym 18919 KEYBOARD.row_time[11] -.sym 18920 $auto$alumacc.cc:470:replace_alu$12194.C[11] -.sym 18922 $auto$alumacc.cc:470:replace_alu$12194.C[13] -.sym 18923 $false -.sym 18924 $false -.sym 18925 KEYBOARD.row_time[12] -.sym 18926 $auto$alumacc.cc:470:replace_alu$12194.C[12] -.sym 18928 $auto$alumacc.cc:470:replace_alu$12194.C[14] -.sym 18929 $false -.sym 18930 $false -.sym 18931 KEYBOARD.row_time[13] -.sym 18932 $auto$alumacc.cc:470:replace_alu$12194.C[13] -.sym 18934 $auto$alumacc.cc:470:replace_alu$12194.C[15] -.sym 18935 $false -.sym 18936 $false -.sym 18937 KEYBOARD.row_time[14] -.sym 18938 $auto$alumacc.cc:470:replace_alu$12194.C[14] -.sym 18941 $false -.sym 18942 $false -.sym 18943 KEYBOARD.row_time[15] -.sym 18944 $auto$alumacc.cc:470:replace_alu$12194.C[15] -.sym 18945 $abc$56607$n1490$2 +.sym 18919 $false +.sym 18920 $false +.sym 18945 $abc$27697$n665 .sym 18946 CLK$2$2 -.sym 18947 $abc$56607$n33$2 -.sym 19022 $abc$56607$n681 -.sym 19023 $abc$56607$n687 -.sym 19024 KEYBOARD.row_time[8] -.sym 19025 KEYBOARD.row_time[9] -.sym 19028 KEYBOARD.row_time[4] -.sym 19029 KEYBOARD.row_time[5] -.sym 19030 KEYBOARD.row_time[2] -.sym 19031 KEYBOARD.row_time[3] -.sym 19034 $abc$56607$n688 -.sym 19035 KEYBOARD.row_time[10] -.sym 19036 KEYBOARD.row_time[11] +.sym 18947 $false +.sym 19022 $abc$27697$n515 +.sym 19023 $abc$27697$n516 +.sym 19024 $abc$27697$n517 +.sym 19025 $abc$27697$n524_1 +.sym 19028 $abc$27697$n532 +.sym 19029 $abc$27697$n560 +.sym 19030 $abc$27697$n517 +.sym 19031 $false +.sym 19034 KEYBOARD.row_time[2] +.sym 19035 KEYBOARD.row_time[3] +.sym 19036 $false .sym 19037 $false -.sym 19040 KEYBOARD.row_time[12] -.sym 19041 KEYBOARD.row_time[14] -.sym 19042 KEYBOARD.row_time[15] -.sym 19043 KEYBOARD.row_time[13] -.sym 19046 KEYBOARD.row_time[8] -.sym 19047 KEYBOARD.row_time[9] -.sym 19048 KEYBOARD.row_time[10] -.sym 19049 KEYBOARD.row_time[11] -.sym 19052 KEYBOARD.row_time[10] -.sym 19053 KEYBOARD.row_time[11] -.sym 19054 $false -.sym 19055 $false -.sym 19058 KEYBOARD.row_time[8] -.sym 19059 KEYBOARD.row_time[9] -.sym 19060 $false -.sym 19061 $false -.sym 19064 $abc$56607$n2462 -.sym 19065 $false -.sym 19066 $false +.sym 19040 $abc$27697$n520 +.sym 19041 $abc$27697$n523 +.sym 19042 $abc$27697$n528 +.sym 19043 $abc$27697$n543 +.sym 19046 $abc$27697$n523 +.sym 19047 $abc$27697$n524_1 +.sym 19048 $false +.sym 19049 $false +.sym 19052 KEYBOARD.row_time[3] +.sym 19053 KEYBOARD.row_time[1] +.sym 19054 KEYBOARD.row_time[2] +.sym 19055 KEYBOARD.row_time[0] +.sym 19058 KEYBOARD.row_time[4] +.sym 19059 KEYBOARD.row_time[5] +.sym 19060 KEYBOARD.row_time[6] +.sym 19061 KEYBOARD.row_time[7] +.sym 19064 $abc$27697$n528 +.sym 19065 KEYBOARD.row_time[2] +.sym 19066 KEYBOARD.row_time[3] .sym 19067 $false -.sym 19068 $abc$56607$n1435 -.sym 19069 CLK$2$2 -.sym 19070 $abc$56607$n35$2 -.sym 19145 $abc$56607$n27 -.sym 19146 $abc$56607$n912 -.sym 19147 I2C.FLT_SCL.RESET -.sym 19148 $false -.sym 19151 $abc$56607$n2231 -.sym 19152 KEYBOARD.row_counter[0] -.sym 19153 KEYBOARD.row_counter[1] -.sym 19154 $abc$56607$n2237 -.sym 19157 $abc$56607$n932 -.sym 19158 $abc$56607$n934 -.sym 19159 $abc$56607$n1494 -.sym 19160 $false -.sym 19163 $abc$56607$n27 -.sym 19164 I2C.FLT_SCL.RESET -.sym 19165 $abc$56607$n912 +.sym 19145 $abc$27697$n528 +.sym 19146 $abc$27697$n533_1 +.sym 19147 KEYBOARD.row_time[6] +.sym 19148 KEYBOARD.row_time[7] +.sym 19151 KEYBOARD.COLS_SHADOW[6] +.sym 19152 $abc$27697$n526 +.sym 19153 $abc$27697$n527_1 +.sym 19154 $abc$27697$n531 +.sym 19157 $abc$27697$n512 +.sym 19158 $abc$27697$n525 +.sym 19159 KEYBOARD.is_pressed +.sym 19160 $abc$27697$n530 +.sym 19163 $abc$27697$n526 +.sym 19164 KEYBOARD.COLS_SHADOW[6] +.sym 19165 $abc$27697$n527_1 .sym 19166 $false -.sym 19175 $abc$56607$n2462 -.sym 19176 $false -.sym 19177 $false -.sym 19178 $false -.sym 19181 $abc$56607$n2463 -.sym 19182 $false -.sym 19183 $false -.sym 19184 $false -.sym 19191 $abc$56607$n1407 +.sym 19169 KEYBOARD.row_time[2] +.sym 19170 KEYBOARD.row_time[3] +.sym 19171 $false +.sym 19172 $false +.sym 19175 $abc$27697$n532 +.sym 19176 $abc$27697$n518 +.sym 19177 $abc$27697$n523 +.sym 19178 $abc$27697$n533_1 +.sym 19181 KEYBOARD.row_time[4] +.sym 19182 KEYBOARD.row_time[5] +.sym 19183 $abc$27697$n532 +.sym 19184 $abc$27697$n540 +.sym 19187 $abc$27697$n526 +.sym 19188 KEYBOARD.COLS_SHADOW[6] +.sym 19189 $abc$27697$n527_1 +.sym 19190 $false +.sym 19191 I2C.FLT_SCL.RESET$2 .sym 19192 CLK$2$2 -.sym 19193 $abc$56607$n35$2 -.sym 19268 $abc$56607$n969 -.sym 19269 $abc$56607$n952 -.sym 19270 $false -.sym 19271 $false -.sym 19274 $abc$56607$n1494 -.sym 19275 $abc$56607$n933 -.sym 19276 $false +.sym 19193 $false +.sym 19194 KEYBOARD.RAM.r_data[0] +.sym 19196 KEYBOARD.RAM.r_data[1] +.sym 19198 KEYBOARD.RAM.r_data[2] +.sym 19200 KEYBOARD.RAM.r_data[3] +.sym 19268 $abc$27697$n1104 +.sym 19269 $abc$27697$n1001 +.sym 19270 $abc$27697$n993 +.sym 19271 $abc$27697$n559 +.sym 19274 $abc$27697$n992 +.sym 19275 $abc$27697$n988 +.sym 19276 $abc$27697$n996 .sym 19277 $false -.sym 19280 $abc$56607$n1495 -.sym 19281 $abc$56607$n959 -.sym 19282 $false +.sym 19280 $abc$27697$n992 +.sym 19281 $abc$27697$n988 +.sym 19282 $abc$27697$n542_1 .sym 19283 $false -.sym 19286 $abc$56607$n1494 -.sym 19287 $abc$56607$n928 -.sym 19288 $abc$56607$n926 +.sym 19286 $abc$27697$n541 +.sym 19287 KEYBOARD.COLS_SHADOW[4] +.sym 19288 $abc$27697$n539 .sym 19289 $false -.sym 19292 $abc$56607$n1475 -.sym 19293 $abc$56607$n1495 -.sym 19294 $abc$56607$n901_1 -.sym 19295 $abc$56607$n1477 -.sym 19298 $abc$56607$n1494 -.sym 19299 $abc$56607$n928 -.sym 19300 $abc$56607$n958 -.sym 19301 $abc$56607$n961 -.sym 19304 $abc$56607$n950 -.sym 19305 $abc$56607$n951 -.sym 19306 $abc$56607$n901_1 -.sym 19307 $abc$56607$n958 -.sym 19310 $abc$56607$n2462 -.sym 19311 $false -.sym 19312 $false +.sym 19292 $abc$27697$n512 +.sym 19293 $abc$27697$n525 +.sym 19294 $false +.sym 19295 $false +.sym 19298 $abc$27697$n539 +.sym 19299 $abc$27697$n525 +.sym 19300 $abc$27697$n988 +.sym 19301 $abc$27697$n559 +.sym 19304 $abc$27697$n996 +.sym 19305 $abc$27697$n993 +.sym 19306 $abc$27697$n559 +.sym 19307 $abc$27697$n986 +.sym 19310 KEYBOARD.COLS_SHADOW[4] +.sym 19311 $abc$27697$n541 +.sym 19312 $abc$27697$n539 .sym 19313 $false -.sym 19314 $abc$56607$n1450 +.sym 19314 I2C.FLT_SCL.RESET$2 .sym 19315 CLK$2$2 -.sym 19316 $abc$56607$n35$2 -.sym 19391 KEYBOARD.kbd_code_hid[3] -.sym 19392 KEYBOARD.report[4][3] -.sym 19393 KEYBOARD.report[4][1] -.sym 19394 KEYBOARD.kbd_code_hid[1] -.sym 19397 $abc$56607$n1119 -.sym 19398 KEYBOARD.report[4][7] -.sym 19399 $abc$56607$n1211_1 -.sym 19400 $abc$56607$n1212 -.sym 19403 kbd_report[5][4] -.sym 19404 KEYBOARD.report[1][4] -.sym 19405 $abc$56607$n312 -.sym 19406 $abc$56607$n1113_1 -.sym 19409 KEYBOARD.report[4][0] -.sym 19410 KEYBOARD.report[4][1] -.sym 19411 KEYBOARD.report[4][2] -.sym 19412 KEYBOARD.report[4][3] -.sym 19415 $abc$56607$n1119 -.sym 19416 KEYBOARD.report[4][2] -.sym 19417 KEYBOARD.report[6][2] -.sym 19418 $abc$56607$n1121 -.sym 19421 $abc$56607$n2463 -.sym 19422 $false -.sym 19423 $false +.sym 19316 $false +.sym 19317 KEYBOARD.RAM.r_data[4] +.sym 19319 KEYBOARD.RAM.r_data[5] +.sym 19321 KEYBOARD.RAM.r_data[6] +.sym 19323 KEYBOARD.RAM.r_data[7] +.sym 19391 KEYBOARD.RAM.r_data[4] +.sym 19392 KEYBOARD.temp[4] +.sym 19393 $abc$27697$n520 +.sym 19394 $abc$27697$n522 +.sym 19397 KEYBOARD.RAM.r_data[1] +.sym 19398 KEYBOARD.temp[1] +.sym 19399 $abc$27697$n536 +.sym 19400 $false +.sym 19403 KEYBOARD.RAM.r_data[6] +.sym 19404 KEYBOARD.temp[6] +.sym 19405 $abc$27697$n520 +.sym 19406 $abc$27697$n522 +.sym 19409 $abc$27697$n520 +.sym 19410 $abc$27697$n522 +.sym 19411 $false +.sym 19412 $false +.sym 19415 $abc$27697$n999 +.sym 19416 $abc$27697$n986 +.sym 19417 $abc$27697$n556_1 +.sym 19418 $abc$27697$n985 +.sym 19421 $abc$27697$n555 +.sym 19422 KEYBOARD.COLS_SHADOW[0] +.sym 19423 $abc$27697$n556_1 .sym 19424 $false -.sym 19427 $abc$56607$n2465 -.sym 19428 $false -.sym 19429 $false -.sym 19430 $false -.sym 19433 $abc$56607$n2460 -.sym 19434 $false -.sym 19435 $false +.sym 19427 $abc$27697$n987 +.sym 19428 $abc$27697$n986 +.sym 19429 $abc$27697$n556_1 +.sym 19430 $abc$27697$n985 +.sym 19433 $abc$27697$n555 +.sym 19434 KEYBOARD.COLS_SHADOW[0] +.sym 19435 $abc$27697$n556_1 .sym 19436 $false -.sym 19437 $abc$56607$n1422 +.sym 19437 I2C.FLT_SCL.RESET$2 .sym 19438 CLK$2$2 -.sym 19439 $abc$56607$n35$2 -.sym 19514 $abc$56607$n1118 -.sym 19515 KEYBOARD.report[3][7] -.sym 19516 $abc$56607$n1213 -.sym 19517 $abc$56607$n1210 -.sym 19520 $abc$56607$n1114 -.sym 19521 KEYBOARD.report[2][7] -.sym 19522 $false -.sym 19523 $false -.sym 19526 KEYBOARD.kbd_code_hid[2] -.sym 19527 KEYBOARD.report[3][2] -.sym 19528 KEYBOARD.report[3][0] -.sym 19529 KEYBOARD.kbd_code_hid[0] -.sym 19532 $abc$56607$n1118 -.sym 19533 KEYBOARD.report[3][3] -.sym 19534 $abc$56607$n1114 -.sym 19535 KEYBOARD.report[2][3] -.sym 19538 $abc$56607$n970 -.sym 19539 $abc$56607$n971 -.sym 19540 $abc$56607$n972 -.sym 19541 $abc$56607$n973 -.sym 19544 KEYBOARD.kbd_code_hid[6] -.sym 19545 KEYBOARD.report[3][6] -.sym 19546 KEYBOARD.report[3][1] -.sym 19547 KEYBOARD.kbd_code_hid[1] -.sym 19550 KEYBOARD.kbd_code_hid[7] -.sym 19551 $false -.sym 19552 $false -.sym 19553 $false -.sym 19556 KEYBOARD.kbd_code_hid[5] +.sym 19439 $false +.sym 19526 $abc$27697$n26 +.sym 19527 $false +.sym 19528 $false +.sym 19529 $false +.sym 19538 $abc$27697$n26 +.sym 19539 $false +.sym 19540 $false +.sym 19541 $false +.sym 19544 $false +.sym 19545 $false +.sym 19546 $false +.sym 19547 $false +.sym 19556 $false .sym 19557 $false .sym 19558 $false .sym 19559 $false -.sym 19560 $abc$56607$n1328 +.sym 19560 $abc$27697$n667$2 .sym 19561 CLK$2$2 -.sym 19562 $abc$56607$n27 -.sym 19637 KEYBOARD.report[2][4] -.sym 19638 KEYBOARD.report[2][5] -.sym 19639 KEYBOARD.report[2][6] -.sym 19640 KEYBOARD.report[2][7] -.sym 19643 KEYBOARD.kbd_code_hid[7] -.sym 19644 KEYBOARD.report[2][7] -.sym 19645 KEYBOARD.report[2][3] -.sym 19646 KEYBOARD.kbd_code_hid[3] -.sym 19649 $abc$56607$n977 -.sym 19650 $abc$56607$n978 -.sym 19651 $abc$56607$n979_1 -.sym 19652 $abc$56607$n980 -.sym 19655 $abc$56607$n907 -.sym 19656 $abc$56607$n908 -.sym 19657 $false +.sym 19562 $false +.sym 19637 I2C.received_byte[4] +.sym 19638 $false +.sym 19639 $false +.sym 19640 $false +.sym 19643 $abc$27697$n590 +.sym 19644 i2c_input_data_type[0] +.sym 19645 $false +.sym 19646 $false +.sym 19649 I2C.received_byte[1] +.sym 19650 $false +.sym 19651 $false +.sym 19652 $false +.sym 19655 I2C.received_byte[3] +.sym 19656 I2C.received_byte[1] +.sym 19657 I2C.received_byte[2] .sym 19658 $false -.sym 19661 KEYBOARD.kbd_code_hid[4] -.sym 19662 KEYBOARD.report[2][4] -.sym 19663 KEYBOARD.report[2][0] -.sym 19664 KEYBOARD.kbd_code_hid[0] -.sym 19667 KEYBOARD.report[2][0] -.sym 19668 KEYBOARD.report[2][1] -.sym 19669 KEYBOARD.report[2][2] -.sym 19670 KEYBOARD.report[2][3] -.sym 19673 KEYBOARD.kbd_code_hid[6] -.sym 19674 KEYBOARD.report[2][6] -.sym 19675 KEYBOARD.report[2][1] -.sym 19676 KEYBOARD.kbd_code_hid[1] -.sym 19679 $abc$56607$n2461 -.sym 19680 $false -.sym 19681 $false +.sym 19673 $abc$27697$n556_1 +.sym 19674 $abc$27697$n995 +.sym 19675 $abc$27697$n985 +.sym 19676 $false +.sym 19679 $abc$27697$n556_1 +.sym 19680 $abc$27697$n1102_1 +.sym 19681 $abc$27697$n985 .sym 19682 $false -.sym 19683 $abc$56607$n1435 +.sym 19683 I2C.FLT_SCL.RESET$2 .sym 19684 CLK$2$2 -.sym 19685 $abc$56607$n35$2 -.sym 19760 wr_cnt[0] -.sym 19761 wr_cnt[2] -.sym 19762 wr_cnt[1] -.sym 19763 wr_cnt[3] -.sym 19766 $abc$56607$n321 -.sym 19767 wr_cnt[2] -.sym 19768 wr_cnt[1] -.sym 19769 $false -.sym 19772 wr_cnt[0] -.sym 19773 wr_cnt[1] -.sym 19774 $abc$56607$n305 -.sym 19775 $false -.sym 19778 $abc$56607$n305 -.sym 19779 $abc$56607$n312 -.sym 19780 wr_cnt[0] -.sym 19781 wr_cnt[1] -.sym 19784 $false -.sym 19785 wr_cnt[0] -.sym 19786 $false -.sym 19787 $true$2 -.sym 19790 KEYBOARD.row_counter[0] -.sym 19791 KEYBOARD.row_counter[1] -.sym 19792 $abc$56607$n2231 -.sym 19793 $abc$56607$n2237 -.sym 19796 $abc$56607$n2461 +.sym 19685 $false +.sym 19686 KEYBOARD.kbd_code_hid[0] +.sym 19687 KEYBOARD.kbd_code_hid[1] +.sym 19688 KEYBOARD.kbd_code_hid[2] +.sym 19689 KEYBOARD.kbd_code_hid[3] +.sym 19690 KEYBOARD.kbd_code_hid[4] +.sym 19691 KEYBOARD.kbd_code_hid[5] +.sym 19692 KEYBOARD.kbd_code_hid[6] +.sym 19693 KEYBOARD.kbd_code_hid[7] +.sym 19722 $true +.sym 19759 $abc$27697$n835$2 +.sym 19760 $false +.sym 19761 $abc$27697$n835 +.sym 19762 $false +.sym 19763 $false +.sym 19765 $auto$alumacc.cc:470:replace_alu$5961.C[3] +.sym 19767 $false +.sym 19768 $abc$27697$n833 +.sym 19771 $auto$alumacc.cc:470:replace_alu$5961.C[4] +.sym 19773 $false +.sym 19774 $abc$27697$n832 +.sym 19777 $auto$alumacc.cc:470:replace_alu$5961.C[5] +.sym 19779 $false +.sym 19780 $abc$27697$n830 +.sym 19783 $auto$alumacc.cc:470:replace_alu$5961.C[6] +.sym 19785 $false +.sym 19786 $abc$27697$n829 +.sym 19789 $auto$alumacc.cc:470:replace_alu$5961.C[7] +.sym 19791 $false +.sym 19792 $abc$27697$n827 +.sym 19795 $abc$27697$n1950 .sym 19797 $false -.sym 19798 $false -.sym 19799 $false -.sym 19802 $abc$56607$n2459 -.sym 19803 $false -.sym 19804 $false -.sym 19805 $false -.sym 19806 $abc$56607$n1422 -.sym 19807 CLK$2$2 -.sym 19808 $abc$56607$n35$2 -.sym 19883 $abc$56607$n757 -.sym 19884 $abc$56607$n791 -.sym 19885 $abc$56607$n736 -.sym 19886 $abc$56607$n733 -.sym 19889 $abc$56607$n746 -.sym 19890 $abc$56607$n758 -.sym 19891 I2C.FLT_SCL.RESET -.sym 19892 $false -.sym 19895 I2C.FLT_SCL.RESET -.sym 19896 $abc$56607$n22 -.sym 19897 $false -.sym 19898 $false -.sym 19901 $abc$56607$n770 -.sym 19902 $abc$56607$n758 -.sym 19903 I2C.FLT_SCL.RESET -.sym 19904 $false -.sym 19907 KEYBOARD.isr -.sym 19908 last_isr -.sym 19909 $false -.sym 19910 $false -.sym 19913 $abc$56607$n733 -.sym 19914 $abc$56607$n736 -.sym 19915 $false -.sym 19916 $false -.sym 19919 $abc$56607$n770 -.sym 19920 $abc$56607$n793 -.sym 19921 $false -.sym 19922 $false -.sym 19925 KEYBOARD.isr -.sym 19926 $false -.sym 19927 $false -.sym 19928 $false -.sym 19929 $abc$56607$n1232 -.sym 19930 CLK$2$2 -.sym 19931 $abc$56607$n35$2 +.sym 19798 $abc$27697$n826 +.sym 19802 I2C.received_byte[0] +.sym 19803 $abc$27697$n606 +.sym 19804 $abc$27697$n608 +.sym 19805 $abc$27697$n1950 +.sym 19845 $true +.sym 19882 $abc$27697$n835$3 +.sym 19883 $false +.sym 19884 $abc$27697$n835 +.sym 19885 $false +.sym 19886 $false +.sym 19888 $auto$alumacc.cc:470:replace_alu$5996.C[3] +.sym 19890 $true$2 +.sym 19891 $abc$27697$n833 +.sym 19894 $auto$alumacc.cc:470:replace_alu$5996.C[4] +.sym 19896 $false +.sym 19897 $abc$27697$n832 +.sym 19900 $auto$alumacc.cc:470:replace_alu$5996.C[5] +.sym 19902 $false +.sym 19903 $abc$27697$n830 +.sym 19906 $auto$alumacc.cc:470:replace_alu$5996.C[6] +.sym 19908 $false +.sym 19909 $abc$27697$n829 +.sym 19912 $auto$alumacc.cc:470:replace_alu$5996.C[7] +.sym 19914 $false +.sym 19915 $abc$27697$n827 +.sym 19918 $abc$27697$n1959 +.sym 19920 $false +.sym 19921 $abc$27697$n826 +.sym 19925 $abc$27697$n821 +.sym 19926 $abc$27697$n615 +.sym 19927 $abc$27697$n574 +.sym 19928 $abc$27697$n1959 .sym 19968 $true -.sym 20005 $abc$56607$n270$2 +.sym 20005 $abc$27697$n845$2 .sym 20006 $false -.sym 20007 $abc$56607$n270 +.sym 20007 $abc$27697$n845 .sym 20008 $false .sym 20009 $false -.sym 20011 $auto$alumacc.cc:470:replace_alu$12087.C[2] +.sym 20011 $auto$alumacc.cc:470:replace_alu$5974.C[2] .sym 20013 $false -.sym 20014 $abc$56607$n269 -.sym 20017 $auto$alumacc.cc:470:replace_alu$12087.C[3] +.sym 20014 $abc$27697$n844 +.sym 20017 $auto$alumacc.cc:470:replace_alu$5974.C[3] .sym 20019 $true$2 -.sym 20020 $abc$56607$n2620 -.sym 20023 $abc$56607$n2577$2 +.sym 20020 $abc$27697$n1920 +.sym 20023 $abc$27697$n1953$2 .sym 20025 $false -.sym 20026 $abc$56607$n266 -.sym 20033 $abc$56607$n2577$2 -.sym 20036 $abc$56607$n744 -.sym 20037 $abc$56607$n745 -.sym 20038 $abc$56607$n746 -.sym 20039 $false -.sym 20048 I2C.received_byte[1] +.sym 20026 $abc$27697$n841 +.sym 20033 $abc$27697$n1953$2 +.sym 20042 i2c_input_data_type[0] +.sym 20043 $false +.sym 20044 $false +.sym 20045 $false +.sym 20048 temp_output_report[1] .sym 20049 $false .sym 20050 $false .sym 20051 $false -.sym 20052 $abc$56607$n1113 +.sym 20052 $abc$27697$n455 .sym 20053 CLK$2$2 -.sym 20054 $false -.sym 20129 $abc$56607$n842 -.sym 20130 $abc$56607$n743 -.sym 20131 $abc$56607$n746 -.sym 20132 $false -.sym 20135 $abc$56607$n667 -.sym 20136 $abc$56607$n747 -.sym 20137 $abc$56607$n743 -.sym 20138 $abc$56607$n746 -.sym 20141 $false -.sym 20142 $true$2 -.sym 20143 int_tmr[0] -.sym 20144 $false -.sym 20153 $abc$56607$n842 -.sym 20154 UART.tx_activity -.sym 20155 last_uart_active -.sym 20156 $abc$56607$n762 -.sym 20159 wr_cnt[0] -.sym 20160 wr_cnt[1] -.sym 20161 wr_cnt[2] -.sym 20162 wr_cnt[3] -.sym 20165 $abc$56607$n745 -.sym 20166 $abc$56607$n744 -.sym 20167 $false -.sym 20168 $false -.sym 20171 $abc$56607$n667 -.sym 20172 int_tmr[1] -.sym 20173 $false -.sym 20174 $false -.sym 20175 $abc$56607$n938 -.sym 20176 CLK$2$2 -.sym 20177 $abc$56607$n35$2 -.sym 20252 $abc$56607$n1500 -.sym 20253 $abc$56607$n1498 -.sym 20254 $abc$56607$n667 -.sym 20255 $false -.sym 20258 $abc$56607$n1501_1 -.sym 20259 $abc$56607$n781 -.sym 20260 $false -.sym 20261 $false -.sym 20264 $abc$56607$n782 -.sym 20265 $abc$56607$n781 -.sym 20266 $abc$56607$n1501_1 +.sym 20054 $abc$27697$n23$2 +.sym 20264 KEYBOARD.kbd_code_hid[3] +.sym 20265 $false +.sym 20266 $false .sym 20267 $false -.sym 20276 LED1$2 -.sym 20277 $false -.sym 20278 $false -.sym 20279 $false -.sym 20288 int_tmr[19] -.sym 20289 int_tmr[0] -.sym 20290 UART_WR -.sym 20291 $abc$56607$n761 -.sym 20294 $abc$56607$n667 -.sym 20295 $abc$56607$n670 -.sym 20296 I2C.FLT_SCL.RESET +.sym 20294 KEYBOARD.kbd_code_hid[0] +.sym 20295 $false +.sym 20296 $false .sym 20297 $false -.sym 20298 $abc$56607$n873 +.sym 20298 $abc$27697$n651 .sym 20299 CLK$2$2 -.sym 20300 $false -.sym 20375 $abc$56607$n1242 -.sym 20376 $abc$56607$n1243 -.sym 20377 ring_wr[1] -.sym 20378 ring_wr[3] -.sym 20381 $abc$56607$n22 -.sym 20382 $abc$56607$n1953 -.sym 20383 $false -.sym 20384 $false -.sym 20387 wr_cnt[3] -.sym 20388 ring_wr[2] -.sym 20389 $false -.sym 20390 $false -.sym 20393 $false -.sym 20394 init_ram_cnt[0] -.sym 20395 $true$2 -.sym 20396 $true$2 -.sym 20399 wr_cnt[3] -.sym 20400 ring_wr[2] -.sym 20401 $false -.sym 20402 $false -.sym 20405 $abc$56607$n2548 -.sym 20406 $abc$56607$n2546 -.sym 20407 $false -.sym 20408 $false -.sym 20411 $abc$56607$n1234 -.sym 20412 wr_cnt[2] -.sym 20413 ring_wr[1] -.sym 20414 $false -.sym 20417 int_tmr[19] -.sym 20418 $abc$56607$n1733 -.sym 20419 $abc$56607$n667 +.sym 20300 $abc$27697$n25$2 +.sym 20375 KEYBOARD.kbd_code_hid[1] +.sym 20376 KEYBOARD.report[1][1] +.sym 20377 KEYBOARD.report[1][6] +.sym 20378 KEYBOARD.kbd_code_hid[6] +.sym 20381 KEYBOARD.report[1][3] +.sym 20382 KEYBOARD.kbd_code_hid[3] +.sym 20383 KEYBOARD.kbd_code_hid[6] +.sym 20384 KEYBOARD.report[1][6] +.sym 20387 KEYBOARD.kbd_code_hid[7] +.sym 20388 KEYBOARD.report[1][7] +.sym 20389 $abc$27697$n753 +.sym 20390 $abc$27697$n754_1 +.sym 20393 KEYBOARD.kbd_code_hid[4] +.sym 20394 KEYBOARD.report[1][4] +.sym 20395 $abc$27697$n752_1 +.sym 20396 $abc$27697$n755_1 +.sym 20399 KEYBOARD.kbd_code_hid[5] +.sym 20400 KEYBOARD.report[1][5] +.sym 20401 $abc$27697$n756 +.sym 20402 $abc$27697$n757 +.sym 20405 KEYBOARD.kbd_code_hid[3] +.sym 20406 KEYBOARD.report[1][3] +.sym 20407 KEYBOARD.kbd_code_hid[2] +.sym 20408 KEYBOARD.report[1][2] +.sym 20411 KEYBOARD.report[1][1] +.sym 20412 KEYBOARD.kbd_code_hid[1] +.sym 20413 KEYBOARD.kbd_code_hid[0] +.sym 20414 KEYBOARD.report[1][0] +.sym 20417 KEYBOARD.kbd_code_hid[2] +.sym 20418 $false +.sym 20419 $false .sym 20420 $false -.sym 20421 $abc$56607$n985 +.sym 20421 $abc$27697$n651 .sym 20422 CLK$2$2 -.sym 20423 $abc$56607$n35$2 -.sym 20460 $false -.sym 20497 $auto$maccmap.cc:240:synth$13003.C[5] -.sym 20499 $abc$56607$n2546 -.sym 20500 $abc$56607$n2548 -.sym 20503 $auto$maccmap.cc:240:synth$13003.C[6] -.sym 20504 $false -.sym 20505 $abc$56607$n2678 -.sym 20506 $abc$56607$n2680 -.sym 20507 $auto$maccmap.cc:240:synth$13003.C[5] -.sym 20509 $auto$maccmap.cc:240:synth$13003.C[7] -.sym 20510 $false -.sym 20511 ring_wr[3] -.sym 20512 $abc$56607$n2681 -.sym 20513 $auto$maccmap.cc:240:synth$13003.C[6] -.sym 20516 $false -.sym 20517 $false -.sym 20518 $false -.sym 20519 $auto$maccmap.cc:240:synth$13003.C[7] -.sym 20522 ring_wr[3] -.sym 20523 $abc$56607$n1242 -.sym 20524 $false -.sym 20525 $false -.sym 20528 wr_cnt[3] -.sym 20529 ring_wr[1] -.sym 20530 ring_wr[3] -.sym 20531 ring_wr[2] -.sym 20534 wr_cnt[3] -.sym 20535 ring_wr[1] -.sym 20536 ring_wr[3] -.sym 20537 ring_wr[2] -.sym 20583 $true -.sym 20620 init_ram_cnt[1]$2 -.sym 20621 $false -.sym 20622 init_ram_cnt[1] -.sym 20623 $false -.sym 20624 $false -.sym 20626 $auto$alumacc.cc:470:replace_alu$12080.C[3] -.sym 20628 init_ram_cnt[2] -.sym 20629 $true$2 -.sym 20632 $auto$alumacc.cc:470:replace_alu$12080.C[4] -.sym 20634 init_ram_cnt[3] -.sym 20635 $false -.sym 20638 $auto$alumacc.cc:470:replace_alu$12080.C[5] -.sym 20640 init_ram_cnt[4] -.sym 20641 $true$2 -.sym 20644 $auto$alumacc.cc:470:replace_alu$12080.C[6] -.sym 20646 init_ram_cnt[5] -.sym 20647 $false -.sym 20650 $auto$alumacc.cc:470:replace_alu$12080.C[7] -.sym 20652 init_ram_cnt[6] -.sym 20653 $true$2 -.sym 20656 $abc$56607$n22$2 -.sym 20658 init_ram_cnt[7] -.sym 20659 $false -.sym 20666 $abc$56607$n22$2 +.sym 20423 $abc$27697$n25$2 .sym 20715 $false .sym 20717 KEYBOARD.ROWS_EN[0] .sym 20718 $false .sym 20720 KEYBOARD.ROWS_EN[1] -.sym 20771 I2C.SCLF -.sym 20774 KEYBOARD.COLS_SHADOW[6] -.sym 20851 $abc$56607$n2571 -.sym 20852 $abc$56607$n684 -.sym 20853 KEYBOARD.row_counter[0] -.sym 20984 $abc$56607$n694 -.sym 20985 $abc$56607$n917 -.sym 20986 $abc$56607$n712 -.sym 20987 $abc$56607$n916 -.sym 20988 $abc$56607$n1489 -.sym 20989 $abc$56607$n711 -.sym 20990 $abc$56607$n918 -.sym 20991 KEYBOARD.row_time[1] -.sym 21086 $abc$56607$n933 -.sym 21087 $abc$56607$n934 -.sym 21088 $abc$56607$n956 -.sym 21089 $abc$56607$n935 -.sym 21090 wr_cnt[1] -.sym 21091 wr_cnt[3] -.sym 21092 wr_cnt[2] -.sym 21093 wr_cnt[0] -.sym 21188 $abc$56607$n1431 -.sym 21189 $abc$56607$n928 -.sym 21190 $abc$56607$n929 -.sym 21191 $abc$56607$n965 -.sym 21192 $abc$56607$n912 -.sym 21193 KEYBOARD.report[3][4] -.sym 21194 KEYBOARD.report[3][7] -.sym 21195 KEYBOARD.report[3][6] -.sym 21290 $abc$56607$n971 -.sym 21291 $abc$56607$n1191_1 -.sym 21292 $abc$56607$n1189 -.sym 21293 $abc$56607$n913 -.sym 21294 $abc$56607$n1178 -.sym 21295 $abc$56607$n1181 -.sym 21296 $abc$56607$n1192 -.sym 21297 $abc$56607$n1190 -.sym 21392 $abc$56607$n1117 -.sym 21393 $abc$56607$n970 -.sym 21394 $abc$56607$n914 -.sym 21395 KEYBOARD.report[3][3] -.sym 21396 KEYBOARD.report[3][0] -.sym 21397 KEYBOARD.report[3][5] -.sym 21398 KEYBOARD.report[3][2] -.sym 21399 KEYBOARD.report[3][1] -.sym 21494 $abc$56607$n986 -.sym 21495 $abc$56607$n979_1 -.sym 21496 $abc$56607$n2459 -.sym 21497 $abc$56607$n1530_1 -.sym 21498 $abc$56607$n2461 -.sym 21499 $abc$56607$n2460 -.sym 21500 $abc$56607$n2465 -.sym 21501 KEYBOARD.report[1][1] -.sym 21596 $abc$56607$n1135 -.sym 21597 $abc$56607$n1141 -.sym 21598 $abc$56607$n1136 -.sym 21599 $abc$56607$n1119 -.sym 21600 KEYBOARD.report[2][5] -.sym 21601 KEYBOARD.report[2][7] -.sym 21602 KEYBOARD.report[2][2] -.sym 21603 KEYBOARD.report[2][0] -.sym 21698 $abc$56607$n757 -.sym 21699 $abc$56607$n1142 -.sym 21700 $abc$56607$n670 -.sym 21702 $abc$56607$n321 -.sym 21704 $abc$56607$n21 -.sym 21705 temp_output_report[0] -.sym 21801 $abc$56607$n266 +.sym 20777 I2C.FLT_SDA.out +.sym 20848 $abc$27697$n1228 +.sym 20853 KEYBOARD.RAM.last_we +.sym 20984 $abc$27697$n529 +.sym 20985 $abc$27697$n514 +.sym 20986 $abc$27697$n542_1 +.sym 20987 $abc$27697$n527_1 +.sym 20988 $abc$27697$n518 +.sym 20989 $abc$27697$n517 +.sym 20990 $abc$27697$n513 +.sym 20991 $abc$27697$n528 +.sym 21086 $abc$27697$n546 +.sym 21087 $abc$27697$n545 +.sym 21088 $abc$27697$n547 +.sym 21089 $abc$27697$n544 +.sym 21090 $abc$27697$n29 +.sym 21091 $abc$27697$n564 +.sym 21092 $abc$27697$n512 +.sym 21093 KEYBOARD.temp[7] +.sym 21188 $abc$27697$n521 +.sym 21189 $abc$27697$n1102_1 +.sym 21190 $abc$27697$n563 +.sym 21191 $abc$27697$n520 +.sym 21192 $abc$27697$n516 +.sym 21193 $abc$27697$n515 +.sym 21194 $abc$27697$n532 +.sym 21195 KEYBOARD.temp[1] +.sym 21290 $abc$27697$n519 +.sym 21293 $abc$27697$n555 +.sym 21294 KEYBOARD.ram_adr[1] +.sym 21295 KEYBOARD.ram_adr[5] +.sym 21296 KEYBOARD.ram_adr[6] +.sym 21298 $undef +.sym 21299 $undef +.sym 21300 $undef +.sym 21301 $undef +.sym 21302 $undef +.sym 21303 $undef +.sym 21304 $undef +.sym 21305 $undef +.sym 21306 KEYBOARD.ram_adr[0] +.sym 21307 KEYBOARD.ram_adr[1] +.sym 21308 $false +.sym 21309 KEYBOARD.ram_adr[2] +.sym 21310 KEYBOARD.ram_adr[3] +.sym 21311 KEYBOARD.ram_adr[4] +.sym 21312 KEYBOARD.ram_adr[5] +.sym 21313 KEYBOARD.ram_adr[6] +.sym 21314 KEYBOARD.ram_adr[7] +.sym 21315 $false +.sym 21316 $false +.sym 21317 CLK$2$2 +.sym 21318 $abc$27697$n1228 +.sym 21319 KEYBOARD.temp[0] +.sym 21320 $undef +.sym 21321 KEYBOARD.temp[1] +.sym 21322 $undef +.sym 21323 KEYBOARD.temp[2] +.sym 21324 $undef +.sym 21325 KEYBOARD.temp[3] +.sym 21326 $undef +.sym 21327 $true$2 +.sym 21395 KEYBOARD.ROWS_EN[13] +.sym 21400 $undef +.sym 21401 $undef +.sym 21402 $undef +.sym 21403 $undef +.sym 21404 $undef +.sym 21405 $undef +.sym 21406 $undef +.sym 21407 $undef +.sym 21408 KEYBOARD.ram_adr[0] +.sym 21409 KEYBOARD.ram_adr[1] +.sym 21410 $false +.sym 21411 KEYBOARD.ram_adr[2] +.sym 21412 KEYBOARD.ram_adr[3] +.sym 21413 KEYBOARD.ram_adr[4] +.sym 21414 KEYBOARD.ram_adr[5] +.sym 21415 KEYBOARD.ram_adr[6] +.sym 21416 KEYBOARD.ram_adr[7] +.sym 21417 $false +.sym 21418 $false +.sym 21419 CLK$2$2 +.sym 21420 $true +.sym 21421 $true$2 +.sym 21422 KEYBOARD.temp[5] +.sym 21423 $undef +.sym 21424 KEYBOARD.temp[6] +.sym 21425 $undef +.sym 21426 KEYBOARD.temp[7] +.sym 21427 $undef +.sym 21428 KEYBOARD.temp[4] +.sym 21429 $undef +.sym 21495 $abc$27697$n2032 +.sym 21496 $abc$27697$n619 +.sym 21497 $abc$27697$n2028 +.sym 21498 $abc$27697$n618_1 +.sym 21499 KEYBOARD.ram_adr[2] +.sym 21500 KEYBOARD.ram_adr[0] +.sym 21597 $abc$27697$n832 +.sym 21598 $abc$27697$n833 +.sym 21599 temp_output_report[0] +.sym 21601 temp_output_report[1] +.sym 21603 temp_output_report[2] +.sym 21698 $abc$27697$n1682 +.sym 21699 KEYBOARD.kbd_code[3] +.sym 21701 KEYBOARD.kbd_code[7] +.sym 21702 KEYBOARD.kbd_code[6] +.sym 21703 KEYBOARD.kbd_code[4] +.sym 21704 KEYBOARD.row_counter[3] +.sym 21705 KEYBOARD.kbd_code[5] +.sym 21714 $false +.sym 21715 $false +.sym 21717 $false +.sym 21718 $false +.sym 21719 $false +.sym 21720 $false +.sym 21721 $false +.sym 21722 $false +.sym 21725 $false +.sym 21726 $false$2 +.sym 21727 $false +.sym 21728 $false +.sym 21729 $false +.sym 21730 $false +.sym 21731 $false +.sym 21732 $false +.sym 21733 $false +.sym 21734 $false +.sym 21735 $false .sym 21803 LED4$2 .sym 21804 LED2$2 -.sym 21805 LED3$2 -.sym 21905 $abc$56607$n774 -.sym 21907 int_tmr[0] -.sym 21908 int_tmr[3] -.sym 21909 int_tmr[7] -.sym 22004 $abc$56607$n1498 -.sym 22005 int_tmr[10] -.sym 22006 int_tmr[13] -.sym 22007 int_tmr[11] -.sym 22008 int_tmr[9] -.sym 22009 int_tmr[12] -.sym 22010 int_tmr[8] -.sym 22011 int_tmr[14] -.sym 22106 $abc$56607$n246 -.sym 22107 $abc$56607$n1955 -.sym 22110 $abc$56607$n2618 -.sym 22112 init_ram_cnt[0] -.sym 22113 init_ram_cnt[1] -.sym 22208 $abc$56607$n734 -.sym 22209 $abc$56607$n733 -.sym 22210 $abc$56607$n243 -.sym 22211 $abc$56607$n236 -.sym 22212 $abc$56607$n237 -.sym 22213 $abc$56607$n240 -.sym 22214 $abc$56607$n735 -.sym 22215 $abc$56607$n239 -.sym 22312 init_ram_cnt[2] -.sym 22313 init_ram_cnt[3] -.sym 22314 init_ram_cnt[4] -.sym 22315 init_ram_cnt[5] -.sym 22316 init_ram_cnt[6] -.sym 22317 init_ram_cnt[7] +.sym 21816 KEYBOARD.kbd_code[0] +.sym 21817 KEYBOARD.kbd_code[1] +.sym 21819 KEYBOARD.kbd_code[2] +.sym 21820 KEYBOARD.kbd_code[3] +.sym 21821 KEYBOARD.kbd_code[4] +.sym 21822 KEYBOARD.kbd_code[5] +.sym 21823 KEYBOARD.kbd_code[6] +.sym 21824 KEYBOARD.kbd_code[7] +.sym 21827 CLK$2$2 +.sym 21828 $true +.sym 21829 $true$2 +.sym 21903 KEYBOARD.ROWS_EN[1] +.sym 21906 KEYBOARD.ROWS_EN[0] +.sym 21908 KEYBOARD.ROWS_EN[5] +.sym 21909 KEYBOARD.ROWS_EN[2] .sym 22487 $false .sym 22489 KEYBOARD.ROWS_EN[2] .sym 22490 $false .sym 22492 KEYBOARD.ROWS_EN[3] -.sym 22544 I2C.SDAF -.sym 22623 SCL$2 -.sym 22624 $false -.sym 22625 $false -.sym 22626 $false -.sym 22641 KBD_COLUMNS[6]$2 -.sym 22642 $false -.sym 22643 $false -.sym 22644 $false -.sym 22663 $true +.sym 22544 $abc$27697$n679 +.sym 22545 $abc$27697$n680 +.sym 22546 $abc$27697$n1292 +.sym 22547 $abc$27697$n766 +.sym 22549 I2C.FLT_SDA.counter[1] +.sym 22659 I2C.SDAF +.sym 22660 $false +.sym 22661 $false +.sym 22662 $false +.sym 22663 $abc$27697$n679 .sym 22664 CLK$2$2 -.sym 22665 $false -.sym 22742 $true -.sym 22779 KEYBOARD.kbd_code_hid[3]$2 -.sym 22780 $false -.sym 22781 KEYBOARD.kbd_code_hid[3] -.sym 22782 $false -.sym 22783 $false -.sym 22785 $auto$alumacc.cc:470:replace_alu$12041.C[5] -.sym 22787 KEYBOARD.kbd_code_hid[4] -.sym 22788 $true$2 -.sym 22791 $auto$alumacc.cc:470:replace_alu$12041.C[6] -.sym 22793 KEYBOARD.kbd_code_hid[5] +.sym 22665 $abc$27697$n23$2 +.sym 22672 $abc$27697$n1057 +.sym 22673 $abc$27697$n1293 +.sym 22674 $abc$27697$n1054 +.sym 22675 $abc$27697$n765 +.sym 22676 I2C.FLT_SDA.counter[2] +.sym 22677 I2C.FLT_SDA.counter[0] +.sym 22792 KEYBOARD.RAM.last_we +.sym 22793 KEYBOARD.ram_wr .sym 22794 $false -.sym 22797 $auto$alumacc.cc:470:replace_alu$12041.C[7] -.sym 22799 KEYBOARD.kbd_code_hid[6] -.sym 22800 $false -.sym 22803 $abc$56607$n2571$2 -.sym 22805 KEYBOARD.kbd_code_hid[7] -.sym 22806 $false -.sym 22813 $abc$56607$n2571$2 -.sym 22816 KEYBOARD.row_time[0] -.sym 22817 KEYBOARD.row_time[1] -.sym 22818 $false -.sym 22819 $false -.sym 22822 $abc$56607$n2244 +.sym 22795 $false +.sym 22822 KEYBOARD.ram_wr .sym 22823 $false .sym 22824 $false .sym 22825 $false -.sym 22826 $abc$56607$n1490$2 +.sym 22826 $true .sym 22827 CLK$2$2 .sym 22828 $false -.sym 22829 $abc$56607$n2572 -.sym 22830 $abc$56607$n2462 -.sym 22833 $abc$56607$n919 -.sym 22834 $abc$56607$n2231 -.sym 22836 KEYBOARD.row_time[0] -.sym 22903 KEYBOARD.row_time[0] -.sym 22904 KEYBOARD.row_time[1] -.sym 22905 $false -.sym 22906 $false -.sym 22909 $abc$56607$n918 -.sym 22910 $abc$56607$n919 -.sym 22911 KEYBOARD.kbd_code_hid[3] -.sym 22912 $false -.sym 22915 KEYBOARD.row_time[6] -.sym 22916 $abc$56607$n684 -.sym 22917 KEYBOARD.row_time[7] -.sym 22918 $false -.sym 22921 $abc$56607$n917 -.sym 22922 $abc$56607$n2571 -.sym 22923 $abc$56607$n2572 +.sym 22830 $abc$27697$n670 +.sym 22833 KEYBOARD.row_time[1] +.sym 22903 KEYBOARD.row_time[4] +.sym 22904 KEYBOARD.row_time[6] +.sym 22905 KEYBOARD.row_time[7] +.sym 22906 KEYBOARD.row_time[5] +.sym 22909 KEYBOARD.row_time[3] +.sym 22910 $abc$27697$n516 +.sym 22911 KEYBOARD.row_time[2] +.sym 22912 $abc$27697$n515 +.sym 22915 $abc$27697$n532 +.sym 22916 $abc$27697$n518 +.sym 22917 $abc$27697$n529 +.sym 22918 $abc$27697$n543 +.sym 22921 $abc$27697$n514 +.sym 22922 $abc$27697$n528 +.sym 22923 $abc$27697$n529 .sym 22924 $false -.sym 22927 $abc$56607$n33$2 -.sym 22928 KEYBOARD.row_time[0] -.sym 22929 $abc$56607$n1490$2 +.sym 22927 KEYBOARD.row_time[0] +.sym 22928 KEYBOARD.row_time[1] +.sym 22929 $false .sym 22930 $false -.sym 22933 $abc$56607$n698 -.sym 22934 $abc$56607$n712 -.sym 22935 $abc$56607$n713 -.sym 22936 $false -.sym 22939 KEYBOARD.kbd_code_hid[2] -.sym 22940 KEYBOARD.kbd_code_hid[1] -.sym 22941 KEYBOARD.kbd_code_hid[0] +.sym 22933 KEYBOARD.row_time[5] +.sym 22934 KEYBOARD.row_time[7] +.sym 22935 KEYBOARD.row_time[6] +.sym 22936 KEYBOARD.row_time[4] +.sym 22939 $abc$27697$n514 +.sym 22940 $abc$27697$n517 +.sym 22941 $abc$27697$n518 .sym 22942 $false -.sym 22945 KEYBOARD.row_time[1] -.sym 22946 $false +.sym 22945 KEYBOARD.row_time[0] +.sym 22946 KEYBOARD.row_time[1] .sym 22947 $false .sym 22948 $false -.sym 22949 $abc$56607$n1489 -.sym 22950 CLK$2$2 -.sym 22951 $abc$56607$n33$2 -.sym 22954 $abc$56607$n1897 -.sym 22955 $abc$56607$n1899 -.sym 22956 $abc$56607$n1894 -.sym 22957 KEYBOARD.ROWS_EN[14] -.sym 22959 KEYBOARD.ROWS_EN[8] -.sym 23026 $abc$56607$n928 -.sym 23027 $abc$56607$n934 -.sym 23028 $false -.sym 23029 $false -.sym 23032 $abc$56607$n935 -.sym 23033 $abc$56607$n936 -.sym 23034 $false +.sym 22954 KEYBOARD.row_time[2] +.sym 22955 KEYBOARD.row_time[3] +.sym 22956 KEYBOARD.row_time[4] +.sym 22957 KEYBOARD.row_time[5] +.sym 22958 KEYBOARD.row_time[6] +.sym 22959 KEYBOARD.row_time[7] +.sym 23026 KEYBOARD.row_time[4] +.sym 23027 KEYBOARD.row_time[5] +.sym 23028 KEYBOARD.row_time[6] +.sym 23029 KEYBOARD.row_time[7] +.sym 23032 $abc$27697$n546 +.sym 23033 KEYBOARD.row_time[2] +.sym 23034 KEYBOARD.row_time[3] .sym 23035 $false -.sym 23038 KEYBOARD.kbd_code_hid[4] -.sym 23039 kbd_report[5][4] -.sym 23040 KEYBOARD.kbd_code_hid[5] -.sym 23041 kbd_report[5][5] -.sym 23044 kbd_report[5][4] -.sym 23045 kbd_report[5][5] -.sym 23046 kbd_report[5][6] -.sym 23047 kbd_report[5][7] -.sym 23050 $abc$56607$n670 -.sym 23051 $abc$56607$n791 -.sym 23052 wr_cnt[0] -.sym 23053 wr_cnt[1] -.sym 23056 $abc$56607$n670 -.sym 23057 $abc$56607$n791 -.sym 23058 $abc$56607$n1899 -.sym 23059 $false -.sym 23062 $abc$56607$n670 -.sym 23063 $abc$56607$n791 -.sym 23064 $abc$56607$n1897 +.sym 23038 KEYBOARD.COLS_SHADOW[7] +.sym 23039 $abc$27697$n519 +.sym 23040 $abc$27697$n513 +.sym 23041 $abc$27697$n539 +.sym 23044 $abc$27697$n532 +.sym 23045 $abc$27697$n545 +.sym 23046 $abc$27697$n518 +.sym 23047 $false +.sym 23050 $abc$27697$n563 +.sym 23051 $abc$27697$n540 +.sym 23052 $abc$27697$n564 +.sym 23053 $false +.sym 23056 KEYBOARD.row_time[4] +.sym 23057 KEYBOARD.row_time[5] +.sym 23058 KEYBOARD.row_time[10] +.sym 23059 KEYBOARD.row_time[11] +.sym 23062 $abc$27697$n519 +.sym 23063 KEYBOARD.COLS_SHADOW[7] +.sym 23064 $abc$27697$n513 .sym 23065 $false -.sym 23068 $abc$56607$n791 -.sym 23069 $abc$56607$n1894 -.sym 23070 $abc$56607$n670 +.sym 23068 KEYBOARD.COLS_SHADOW[7] +.sym 23069 $abc$27697$n519 +.sym 23070 $abc$27697$n513 .sym 23071 $false -.sym 23072 $abc$56607$n1007 +.sym 23072 I2C.FLT_SCL.RESET$2 .sym 23073 CLK$2$2 -.sym 23074 $abc$56607$n35$2 -.sym 23075 $abc$56607$n964 -.sym 23076 $abc$56607$n29 -.sym 23077 $abc$56607$n932 -.sym 23078 $abc$56607$n962 -.sym 23079 $abc$56607$n963 -.sym 23080 KEYBOARD.report[4][4] -.sym 23081 KEYBOARD.report[4][0] -.sym 23082 KEYBOARD.report[4][6] -.sym 23149 $abc$56607$n968 -.sym 23150 $abc$56607$n1494 -.sym 23151 $abc$56607$n926 -.sym 23152 $abc$56607$n959 -.sym 23155 $abc$56607$n929 -.sym 23156 $abc$56607$n930 +.sym 23074 $false +.sym 23075 KEYBOARD.row_time[8] +.sym 23076 KEYBOARD.row_time[9] +.sym 23077 KEYBOARD.row_time[10] +.sym 23078 KEYBOARD.row_time[11] +.sym 23079 KEYBOARD.row_time[12] +.sym 23080 KEYBOARD.row_time[13] +.sym 23081 KEYBOARD.row_time[14] +.sym 23082 KEYBOARD.row_time[15] +.sym 23149 KEYBOARD.row_time[10] +.sym 23150 KEYBOARD.row_time[11] +.sym 23151 $false +.sym 23152 $false +.sym 23155 $abc$27697$n1103_1 +.sym 23156 $abc$27697$n993 .sym 23157 $false .sym 23158 $false -.sym 23161 KEYBOARD.report[4][4] -.sym 23162 KEYBOARD.report[4][5] -.sym 23163 KEYBOARD.report[4][6] -.sym 23164 KEYBOARD.report[4][7] -.sym 23167 KEYBOARD.kbd_code_hid[7] -.sym 23168 KEYBOARD.report[4][7] -.sym 23169 KEYBOARD.report[4][2] -.sym 23170 KEYBOARD.kbd_code_hid[2] -.sym 23173 $abc$56607$n913 -.sym 23174 $abc$56607$n914 -.sym 23175 $false -.sym 23176 $false -.sym 23179 $abc$56607$n2462 -.sym 23180 $false -.sym 23181 $false -.sym 23182 $false -.sym 23185 $abc$56607$n2465 -.sym 23186 $false -.sym 23187 $false -.sym 23188 $false -.sym 23191 $abc$56607$n2464 -.sym 23192 $false -.sym 23193 $false +.sym 23161 KEYBOARD.row_time[8] +.sym 23162 $abc$27697$n515 +.sym 23163 KEYBOARD.row_time[9] +.sym 23164 $false +.sym 23167 KEYBOARD.row_time[8] +.sym 23168 $abc$27697$n521 +.sym 23169 $abc$27697$n515 +.sym 23170 KEYBOARD.row_time[9] +.sym 23173 KEYBOARD.row_time[8] +.sym 23174 KEYBOARD.row_time[9] +.sym 23175 KEYBOARD.row_time[10] +.sym 23176 KEYBOARD.row_time[11] +.sym 23179 KEYBOARD.row_time[12] +.sym 23180 KEYBOARD.row_time[14] +.sym 23181 KEYBOARD.row_time[15] +.sym 23182 KEYBOARD.row_time[13] +.sym 23185 $abc$27697$n515 +.sym 23186 $abc$27697$n521 +.sym 23187 KEYBOARD.row_time[8] +.sym 23188 KEYBOARD.row_time[9] +.sym 23191 $abc$27697$n550 +.sym 23192 KEYBOARD.COLS_SHADOW[1] +.sym 23193 $abc$27697$n542_1 .sym 23194 $false -.sym 23195 $abc$56607$n1431 +.sym 23195 I2C.FLT_SCL.RESET$2 .sym 23196 CLK$2$2 -.sym 23197 $abc$56607$n35$2 -.sym 23198 $abc$56607$n947 -.sym 23199 $abc$56607$n938_1 -.sym 23200 $abc$56607$n948 -.sym 23201 $abc$56607$n944 -.sym 23202 $abc$56607$n945 -.sym 23203 $abc$56607$n937 -.sym 23204 $abc$56607$n946 -.sym 23205 $abc$56607$n1180_1 -.sym 23272 KEYBOARD.kbd_code_hid[7] -.sym 23273 KEYBOARD.report[3][7] -.sym 23274 KEYBOARD.report[3][4] -.sym 23275 KEYBOARD.kbd_code_hid[4] -.sym 23278 $abc$56607$n1118 -.sym 23279 KEYBOARD.report[3][5] -.sym 23280 KEYBOARD.report[6][5] -.sym 23281 $abc$56607$n1121 -.sym 23284 $abc$56607$n1190 -.sym 23285 $abc$56607$n1191_1 -.sym 23286 $abc$56607$n1192 -.sym 23287 $false -.sym 23290 KEYBOARD.report[3][4] -.sym 23291 KEYBOARD.report[3][5] -.sym 23292 KEYBOARD.report[3][6] -.sym 23293 KEYBOARD.report[3][7] -.sym 23296 $abc$56607$n1179 -.sym 23297 $abc$56607$n1180_1 -.sym 23298 $abc$56607$n1181 +.sym 23197 $false +.sym 23272 KEYBOARD.RAM.r_data[7] +.sym 23273 KEYBOARD.temp[7] +.sym 23274 $abc$27697$n520 +.sym 23275 $abc$27697$n522 +.sym 23290 KEYBOARD.RAM.r_data[0] +.sym 23291 KEYBOARD.temp[0] +.sym 23292 $abc$27697$n536 +.sym 23293 $false +.sym 23296 $abc$27697$n1675 +.sym 23297 $false +.sym 23298 $false .sym 23299 $false -.sym 23302 $abc$56607$n1114 -.sym 23303 KEYBOARD.report[2][4] -.sym 23304 KEYBOARD.report[4][4] -.sym 23305 $abc$56607$n1119 -.sym 23308 $abc$56607$n1114 -.sym 23309 KEYBOARD.report[2][5] -.sym 23310 KEYBOARD.report[4][5] -.sym 23311 $abc$56607$n1119 -.sym 23314 kbd_report[5][5] -.sym 23315 KEYBOARD.report[1][5] -.sym 23316 $abc$56607$n312 -.sym 23317 $abc$56607$n1113_1 -.sym 23321 $abc$56607$n1377 -.sym 23322 $abc$56607$n1213 -.sym 23323 KEYBOARD.ROWS_EN[11] -.sym 23324 KEYBOARD.ROWS_EN[10] -.sym 23325 KEYBOARD.ROWS_EN[15] -.sym 23326 KEYBOARD.ROWS_EN[12] -.sym 23327 KEYBOARD.ROWS_EN[13] +.sym 23302 $false +.sym 23303 $false +.sym 23304 $false +.sym 23305 $false +.sym 23308 $false +.sym 23309 $false +.sym 23310 $false +.sym 23311 $false +.sym 23318 $abc$27697$n667$2 +.sym 23319 CLK$2$2 +.sym 23320 $false +.sym 23322 KEYBOARD.ROWS_EN[8] +.sym 23324 KEYBOARD.ROWS_EN[11] +.sym 23325 KEYBOARD.ROWS_EN[12] +.sym 23327 KEYBOARD.ROWS_EN[10] .sym 23328 KEYBOARD.ROWS_EN[9] -.sym 23395 $abc$56607$n1118 -.sym 23396 KEYBOARD.report[3][0] -.sym 23397 KEYBOARD.report[4][0] -.sym 23398 $abc$56607$n1119 -.sym 23401 KEYBOARD.kbd_code_hid[3] -.sym 23402 KEYBOARD.report[3][3] -.sym 23403 KEYBOARD.kbd_code_hid[5] -.sym 23404 KEYBOARD.report[3][5] -.sym 23407 KEYBOARD.report[3][0] -.sym 23408 KEYBOARD.report[3][1] -.sym 23409 KEYBOARD.report[3][2] -.sym 23410 KEYBOARD.report[3][3] -.sym 23413 $abc$56607$n2461 +.sym 23413 $abc$27697$n2032 .sym 23414 $false .sym 23415 $false .sym 23416 $false -.sym 23419 $abc$56607$n2458 -.sym 23420 $false -.sym 23421 $false -.sym 23422 $false -.sym 23425 $abc$56607$n2463 -.sym 23426 $false -.sym 23427 $false -.sym 23428 $false -.sym 23431 $abc$56607$n2460 -.sym 23432 $false -.sym 23433 $false -.sym 23434 $false -.sym 23437 $abc$56607$n2459 -.sym 23438 $false -.sym 23439 $false -.sym 23440 $false -.sym 23441 $abc$56607$n1431 +.sym 23441 $abc$27697$n667$2 .sym 23442 CLK$2$2 -.sym 23443 $abc$56607$n35$2 -.sym 23444 $abc$56607$n2464 -.sym 23445 $abc$56607$n2705 -.sym 23446 $abc$56607$n2706 -.sym 23447 $abc$56607$n2702 -.sym 23448 $abc$56607$n1118 -.sym 23449 KEYBOARD.report[6][6] -.sym 23450 KEYBOARD.report[6][1] -.sym 23451 KEYBOARD.report[6][4] -.sym 23518 KEYBOARD.kbd_code_hid[1] -.sym 23519 KEYBOARD.report[1][1] -.sym 23520 KEYBOARD.report[1][0] -.sym 23521 KEYBOARD.kbd_code_hid[0] -.sym 23524 KEYBOARD.kbd_code_hid[2] -.sym 23525 KEYBOARD.report[2][2] -.sym 23526 KEYBOARD.kbd_code_hid[5] -.sym 23527 KEYBOARD.report[2][5] -.sym 23530 $abc$56607$n27 -.sym 23531 KEYBOARD.kbd_code_hid[1] -.sym 23532 $false -.sym 23533 $false -.sym 23536 $abc$56607$n1114 -.sym 23537 KEYBOARD.report[2][6] -.sym 23538 KEYBOARD.report[3][6] -.sym 23539 $abc$56607$n1118 -.sym 23542 $abc$56607$n27 -.sym 23543 KEYBOARD.kbd_code_hid[3] -.sym 23544 $false +.sym 23443 $abc$27697$n27 +.sym 23444 $abc$27697$n2030 +.sym 23445 $abc$27697$n2031 +.sym 23447 $abc$27697$n667 +.sym 23448 $abc$27697$n2033 +.sym 23449 $abc$27697$n2024 +.sym 23524 $abc$27697$n1675 +.sym 23525 $abc$27697$n1672 +.sym 23526 $abc$27697$n1678 +.sym 23527 $false +.sym 23530 I2C.received_byte[0] +.sym 23531 I2C.received_byte[3] +.sym 23532 I2C.received_byte[1] +.sym 23533 I2C.received_byte[2] +.sym 23536 $abc$27697$n1672 +.sym 23537 $abc$27697$n1678 +.sym 23538 $abc$27697$n1675 +.sym 23539 $false +.sym 23542 $abc$27697$n606 +.sym 23543 $abc$27697$n619 +.sym 23544 $abc$27697$n590 .sym 23545 $false -.sym 23548 $abc$56607$n27 -.sym 23549 KEYBOARD.kbd_code_hid[2] +.sym 23548 $abc$27697$n1678 +.sym 23549 $false .sym 23550 $false .sym 23551 $false -.sym 23554 $abc$56607$n27 -.sym 23555 KEYBOARD.kbd_code_hid[7] +.sym 23554 $abc$27697$n1672 +.sym 23555 $false .sym 23556 $false .sym 23557 $false -.sym 23560 $abc$56607$n2459 -.sym 23561 $false -.sym 23562 $false -.sym 23563 $false -.sym 23564 $abc$56607$n1450 +.sym 23564 $abc$27697$n667$2 .sym 23565 CLK$2$2 -.sym 23566 $abc$56607$n35$2 -.sym 23567 $abc$56607$n2704 -.sym 23568 $abc$56607$n1137 -.sym 23569 $abc$56607$n1121 -.sym 23570 $abc$56607$n2701 -.sym 23572 $abc$56607$n35 -.sym 23573 $abc$56607$n1120 -.sym 23574 $abc$56607$n2703 -.sym 23641 $abc$56607$n1115 -.sym 23642 KEYBOARD.report[1][1] -.sym 23643 $abc$56607$n1136 -.sym 23644 $false -.sym 23647 $abc$56607$n1142 -.sym 23648 KEYBOARD.report[6][1] -.sym 23649 $abc$56607$n1143 -.sym 23650 KEYBOARD.report[4][1] -.sym 23653 $abc$56607$n1119 -.sym 23654 KEYBOARD.report[4][1] -.sym 23655 $abc$56607$n1137 +.sym 23566 $false +.sym 23568 $abc$27697$n1675 +.sym 23570 $abc$27697$n2034 +.sym 23571 $abc$27697$n2026 +.sym 23573 $abc$27697$n1672 +.sym 23647 I2C.received_byte[3] +.sym 23648 $false +.sym 23649 $false +.sym 23650 $false +.sym 23653 I2C.received_byte[2] +.sym 23654 $false +.sym 23655 $false .sym 23656 $false -.sym 23659 $abc$56607$n305 -.sym 23660 wr_cnt[1] -.sym 23661 wr_cnt[0] -.sym 23662 $abc$56607$n312 -.sym 23665 $abc$56607$n2463 -.sym 23666 $false -.sym 23667 $false -.sym 23668 $false -.sym 23671 $abc$56607$n2465 +.sym 23659 I2C.received_byte[0] +.sym 23660 $false +.sym 23661 $false +.sym 23662 $false +.sym 23671 I2C.received_byte[1] .sym 23672 $false .sym 23673 $false .sym 23674 $false -.sym 23677 $abc$56607$n2460 -.sym 23678 $false -.sym 23679 $false -.sym 23680 $false -.sym 23683 $abc$56607$n2458 +.sym 23683 I2C.received_byte[2] .sym 23684 $false .sym 23685 $false .sym 23686 $false -.sym 23687 $abc$56607$n1435 +.sym 23687 $abc$27697$n357 .sym 23688 CLK$2$2 -.sym 23689 $abc$56607$n35$2 -.sym 23692 $true$2 -.sym 23694 KEYBOARD.ROWS_EN[0] -.sym 23764 $abc$56607$n842 -.sym 23765 $abc$56607$n744 -.sym 23766 $abc$56607$n746 +.sym 23689 $false +.sym 23691 $abc$27697$n1674 +.sym 23692 $abc$27697$n1677 +.sym 23693 $abc$27697$n1680 +.sym 23695 KEYBOARD.row_counter[0] +.sym 23696 KEYBOARD.row_counter[2] +.sym 23697 KEYBOARD.row_counter[1] +.sym 23764 $abc$27697$n26 +.sym 23765 KEYBOARD.row_counter[3] +.sym 23766 $abc$27697$n29$2 .sym 23767 $false -.sym 23770 wr_cnt[1] -.sym 23771 wr_cnt[2] -.sym 23772 $abc$56607$n321 +.sym 23770 $abc$27697$n1720 +.sym 23771 $abc$27697$n1674 +.sym 23772 $false .sym 23773 $false -.sym 23776 last_isr -.sym 23777 KEYBOARD.isr -.sym 23778 $false -.sym 23779 $false -.sym 23788 $false -.sym 23789 wr_cnt[0] -.sym 23790 $true$2 -.sym 23791 $true$2 -.sym 23800 I2C.byte_counter[0] -.sym 23801 $abc$56607$n673 +.sym 23782 $abc$27697$n1720 +.sym 23783 $false +.sym 23784 $false +.sym 23785 $false +.sym 23788 $abc$27697$n1720 +.sym 23789 $abc$27697$n1682 +.sym 23790 $false +.sym 23791 $false +.sym 23794 $abc$27697$n1720 +.sym 23795 $abc$27697$n1677 +.sym 23796 $false +.sym 23797 $false +.sym 23800 $abc$27697$n1682 +.sym 23801 $false .sym 23802 $false .sym 23803 $false -.sym 23806 I2C.received_byte[0] -.sym 23807 $false +.sym 23806 $abc$27697$n1720 +.sym 23807 $abc$27697$n1680 .sym 23808 $false .sym 23809 $false -.sym 23810 $abc$56607$n1113 +.sym 23810 I2C.FLT_SCL.RESET$2 .sym 23811 CLK$2$2 .sym 23812 $false -.sym 23814 int_tmr[2] -.sym 23815 int_tmr[4] -.sym 23817 int_tmr[6] -.sym 23820 int_tmr[5] -.sym 23893 i2c_input_data_type[3] -.sym 23894 $false -.sym 23895 $false -.sym 23896 $false +.sym 23815 $abc$27697$n1678 +.sym 23816 $abc$27697$n26 +.sym 23818 COM_DCD$2 .sym 23905 temp_output_report[2] .sym 23906 $false .sym 23907 $false @@ -9926,662 +8681,320 @@ .sym 23912 $false .sym 23913 $false .sym 23914 $false -.sym 23917 temp_output_report[1] -.sym 23918 $false -.sym 23919 $false -.sym 23920 $false -.sym 23933 $abc$56607$n1211 +.sym 23933 $abc$27697$n455 .sym 23934 CLK$2$2 -.sym 23935 $abc$56607$n35$2 -.sym 23938 $13\int_tmr[19:0][2] -.sym 23939 $13\int_tmr[19:0][3] -.sym 23940 $13\int_tmr[19:0][4] -.sym 23941 $13\int_tmr[19:0][5] -.sym 23942 $13\int_tmr[19:0][6] -.sym 23943 $13\int_tmr[19:0][7] -.sym 24028 UART.tx_activity -.sym 24029 last_uart_active -.sym 24030 $false -.sym 24031 $false -.sym 24040 $abc$56607$n667 -.sym 24041 $13\int_tmr[19:0][0] -.sym 24042 $false -.sym 24043 $false -.sym 24046 $abc$56607$n667 -.sym 24047 $13\int_tmr[19:0][3] +.sym 23935 $abc$27697$n23$2 +.sym 23938 KEYBOARD.ROWS_EN[4] +.sym 23939 KEYBOARD.ROWS_EN[3] +.sym 23940 KEYBOARD.ROWS_EN[7] +.sym 23941 KEYBOARD.ROWS_EN[6] +.sym 24016 $abc$27697$n2026 +.sym 24017 $false +.sym 24018 $false +.sym 24019 $false +.sym 24034 $abc$27697$n2024 +.sym 24035 $false +.sym 24036 $false +.sym 24037 $false +.sym 24046 $abc$27697$n2032 +.sym 24047 $false .sym 24048 $false .sym 24049 $false -.sym 24052 $abc$56607$n667 -.sym 24053 $13\int_tmr[19:0][7] +.sym 24052 $abc$27697$n2028 +.sym 24053 $false .sym 24054 $false .sym 24055 $false -.sym 24056 $abc$56607$n901$2 +.sym 24056 $abc$27697$n667$2 .sym 24057 CLK$2$2 -.sym 24058 $abc$56607$n35$2 -.sym 24059 $13\int_tmr[19:0][8] -.sym 24060 $13\int_tmr[19:0][9] -.sym 24061 $13\int_tmr[19:0][10] -.sym 24062 $13\int_tmr[19:0][11] -.sym 24063 $13\int_tmr[19:0][12] -.sym 24064 $13\int_tmr[19:0][13] -.sym 24065 $13\int_tmr[19:0][14] -.sym 24066 $13\int_tmr[19:0][15] -.sym 24133 UART.tx_activity -.sym 24134 last_uart_active -.sym 24135 $abc$56607$n742 -.sym 24136 $abc$56607$n747 -.sym 24139 $abc$56607$n667 -.sym 24140 $13\int_tmr[19:0][10] -.sym 24141 $false -.sym 24142 $false -.sym 24145 $abc$56607$n667 -.sym 24146 $13\int_tmr[19:0][13] -.sym 24147 $false -.sym 24148 $false -.sym 24151 $abc$56607$n667 -.sym 24152 $13\int_tmr[19:0][11] -.sym 24153 $false -.sym 24154 $false -.sym 24157 $abc$56607$n667 -.sym 24158 $13\int_tmr[19:0][9] -.sym 24159 $false -.sym 24160 $false -.sym 24163 $abc$56607$n667 -.sym 24164 $13\int_tmr[19:0][12] -.sym 24165 $false -.sym 24166 $false -.sym 24169 $abc$56607$n667 -.sym 24170 $13\int_tmr[19:0][8] -.sym 24171 $false -.sym 24172 $false -.sym 24175 $abc$56607$n667 -.sym 24176 $13\int_tmr[19:0][14] -.sym 24177 $false -.sym 24178 $false -.sym 24179 $abc$56607$n901$2 -.sym 24180 CLK$2$2 -.sym 24181 $abc$56607$n35$2 -.sym 24182 $13\int_tmr[19:0][16] -.sym 24183 $13\int_tmr[19:0][17] -.sym 24184 $13\int_tmr[19:0][18] -.sym 24185 $abc$56607$n1733 -.sym 24186 int_tmr[15] -.sym 24187 int_tmr[16] -.sym 24188 int_tmr[18] -.sym 24189 int_tmr[17] -.sym 24256 init_ram_cnt[0] -.sym 24257 $false -.sym 24258 $false -.sym 24259 $false -.sym 24262 init_ram_cnt[1] -.sym 24263 $false -.sym 24264 $false -.sym 24265 $false -.sym 24280 init_ram_cnt[3] -.sym 24281 $false -.sym 24282 $false -.sym 24283 $false -.sym 24292 $false -.sym 24293 $true$2 -.sym 24294 init_ram_cnt[0] -.sym 24295 $false -.sym 24298 init_ram_cnt[1] -.sym 24299 init_ram_cnt[0] -.sym 24300 $false -.sym 24301 $false -.sym 24302 $abc$56607$n842 -.sym 24303 CLK$2$2 -.sym 24304 $abc$56607$n35$2 -.sym 24379 init_ram_cnt[0] -.sym 24380 init_ram_cnt[1] -.sym 24381 $abc$56607$n735 -.sym 24382 $false -.sym 24385 $abc$56607$n734 -.sym 24386 init_ram_cnt[7] -.sym 24387 init_ram_cnt[5] -.sym 24388 $false -.sym 24391 init_ram_cnt[2] -.sym 24392 $false -.sym 24393 $false -.sym 24394 $false -.sym 24397 init_ram_cnt[7] -.sym 24398 $false -.sym 24399 $false -.sym 24400 $false -.sym 24403 init_ram_cnt[6] -.sym 24404 $false -.sym 24405 $false -.sym 24406 $false -.sym 24409 init_ram_cnt[4] -.sym 24410 $false -.sym 24411 $false -.sym 24412 $false -.sym 24415 init_ram_cnt[2] -.sym 24416 init_ram_cnt[6] -.sym 24417 init_ram_cnt[4] -.sym 24418 init_ram_cnt[3] -.sym 24421 init_ram_cnt[5] -.sym 24422 $false -.sym 24423 $false -.sym 24424 $false -.sym 24428 $abc$56607$n1220 -.sym 24429 KEYBOARD.ROWS_EN[6] -.sym 24430 KEYBOARD.ROWS_EN[3] -.sym 24431 KEYBOARD.ROWS_EN[5] -.sym 24432 KEYBOARD.ROWS_EN[2] -.sym 24433 KEYBOARD.ROWS_EN[7] -.sym 24434 KEYBOARD.ROWS_EN[4] -.sym 24435 KEYBOARD.ROWS_EN[1] -.sym 24464 $true -.sym 24501 init_ram_cnt[0]$2 -.sym 24502 $false -.sym 24503 init_ram_cnt[0] -.sym 24504 $false -.sym 24505 $false -.sym 24507 $auto$alumacc.cc:470:replace_alu$12129.C[2] -.sym 24509 $false -.sym 24510 init_ram_cnt[1] -.sym 24513 $auto$alumacc.cc:470:replace_alu$12129.C[3] -.sym 24514 $false -.sym 24515 $false -.sym 24516 init_ram_cnt[2] -.sym 24517 $auto$alumacc.cc:470:replace_alu$12129.C[2] -.sym 24519 $auto$alumacc.cc:470:replace_alu$12129.C[4] -.sym 24520 $false -.sym 24521 $false -.sym 24522 init_ram_cnt[3] -.sym 24523 $auto$alumacc.cc:470:replace_alu$12129.C[3] -.sym 24525 $auto$alumacc.cc:470:replace_alu$12129.C[5] -.sym 24526 $false -.sym 24527 $false -.sym 24528 init_ram_cnt[4] -.sym 24529 $auto$alumacc.cc:470:replace_alu$12129.C[4] -.sym 24531 $auto$alumacc.cc:470:replace_alu$12129.C[6] -.sym 24532 $false -.sym 24533 $false -.sym 24534 init_ram_cnt[5] -.sym 24535 $auto$alumacc.cc:470:replace_alu$12129.C[5] -.sym 24537 $auto$alumacc.cc:470:replace_alu$12129.C[7] -.sym 24538 $false -.sym 24539 $false -.sym 24540 init_ram_cnt[6] -.sym 24541 $auto$alumacc.cc:470:replace_alu$12129.C[6] -.sym 24544 $false -.sym 24545 $false -.sym 24546 init_ram_cnt[7] -.sym 24547 $auto$alumacc.cc:470:replace_alu$12129.C[7] -.sym 24548 $abc$56607$n842 -.sym 24549 CLK$2$2 -.sym 24550 $abc$56607$n35$2 +.sym 24058 $abc$27697$n26 .sym 24596 $false .sym 24598 KEYBOARD.ROWS_EN[4] .sym 24599 $false .sym 24601 KEYBOARD.ROWS_EN[5] -.sym 24730 I2C.SDA_IN -.sym 24731 $false +.sym 24730 $abc$27697$n1293 +.sym 24731 $abc$27697$n766 .sym 24732 $false .sym 24733 $false -.sym 24764 $true +.sym 24736 I2C.FLT_SDA.out +.sym 24737 I2C.SDAF +.sym 24738 $abc$27697$n765 +.sym 24739 $false +.sym 24742 I2C.FLT_SDA.counter[0] +.sym 24743 I2C.FLT_SDA.counter[1] +.sym 24744 $false +.sym 24745 $false +.sym 24748 $abc$27697$n1292 +.sym 24749 $abc$27697$n1054 +.sym 24750 I2C.FLT_SDA.out +.sym 24751 I2C.SDAF +.sym 24760 $abc$27697$n1292 +.sym 24761 $false +.sym 24762 $false +.sym 24763 $false +.sym 24764 $abc$27697$n680 .sym 24765 CLK$2$2 -.sym 24766 $false +.sym 24766 $abc$27697$n23$2 .sym 24847 $true -.sym 24884 KEYBOARD.kbd_code_hid[0]$2 +.sym 24884 I2C.FLT_SDA.counter[0]$2 .sym 24885 $false -.sym 24886 KEYBOARD.kbd_code_hid[0] +.sym 24886 I2C.FLT_SDA.counter[0] .sym 24887 $false .sym 24888 $false -.sym 24890 $auto$alumacc.cc:470:replace_alu$12053.C[2] -.sym 24892 KEYBOARD.kbd_code_hid[1] -.sym 24893 $false -.sym 24896 $auto$alumacc.cc:470:replace_alu$12053.C[3] -.sym 24898 KEYBOARD.kbd_code_hid[2] -.sym 24899 $false -.sym 24902 $auto$alumacc.cc:470:replace_alu$12053.C[4] -.sym 24904 KEYBOARD.kbd_code_hid[3] +.sym 24890 $auto$alumacc.cc:470:replace_alu$6034.C[2] +.sym 24892 I2C.FLT_SDA.counter[1] +.sym 24893 $true$2 +.sym 24897 $false +.sym 24898 I2C.FLT_SDA.counter[2] +.sym 24899 $true$2 +.sym 24900 $auto$alumacc.cc:470:replace_alu$6034.C[2] +.sym 24903 $abc$27697$n765 +.sym 24904 $abc$27697$n1057 .sym 24905 $false -.sym 24908 $auto$alumacc.cc:470:replace_alu$12053.C[5] -.sym 24910 KEYBOARD.kbd_code_hid[4] +.sym 24906 $false +.sym 24909 $false +.sym 24910 I2C.FLT_SDA.counter[0] .sym 24911 $false -.sym 24914 $auto$alumacc.cc:470:replace_alu$12053.C[6] -.sym 24916 KEYBOARD.kbd_code_hid[5] -.sym 24917 $true$2 -.sym 24920 $auto$alumacc.cc:470:replace_alu$12053.C[7] -.sym 24922 KEYBOARD.kbd_code_hid[6] +.sym 24912 $true$2 +.sym 24915 I2C.FLT_SDA.counter[0] +.sym 24916 I2C.FLT_SDA.counter[1] +.sym 24917 I2C.FLT_SDA.counter[2] +.sym 24918 $false +.sym 24921 $abc$27697$n1293 +.sym 24922 $false .sym 24923 $false -.sym 24926 $abc$56607$n2572$2 -.sym 24928 KEYBOARD.kbd_code_hid[7] +.sym 24924 $false +.sym 24927 $abc$27697$n765 +.sym 24928 $abc$27697$n1054 .sym 24929 $false -.sym 25043 $abc$56607$n2572$2 -.sym 25046 $abc$56607$n27 -.sym 25047 KEYBOARD.kbd_code_hid[4] -.sym 25048 $false +.sym 24930 $false +.sym 24931 $abc$27697$n680 +.sym 24932 CLK$2$2 +.sym 24933 $abc$27697$n23$2 +.sym 25046 $abc$27697$n29$2 +.sym 25047 KEYBOARD.row_time[0] +.sym 25048 I2C.FLT_SCL.RESET$2 .sym 25049 $false -.sym 25064 KEYBOARD.kbd_code_hid[5] -.sym 25065 KEYBOARD.kbd_code_hid[6] -.sym 25066 KEYBOARD.kbd_code_hid[4] -.sym 25067 KEYBOARD.kbd_code_hid[7] -.sym 25070 $false -.sym 25071 $true$2 -.sym 25072 KEYBOARD.row_counter[0] -.sym 25073 $false -.sym 25082 $false -.sym 25083 $true$2 -.sym 25084 KEYBOARD.row_time[0] -.sym 25085 $false -.sym 25086 $abc$56607$n1490$2 +.sym 25064 KEYBOARD.row_time[1] +.sym 25065 $false +.sym 25066 $false +.sym 25067 $false +.sym 25086 $abc$27697$n670 .sym 25087 CLK$2$2 -.sym 25088 $abc$56607$n33$2 +.sym 25088 $abc$27697$n29$2 .sym 25157 $true -.sym 25194 wr_cnt[0]$2 +.sym 25194 KEYBOARD.row_time[0]$2 .sym 25195 $false -.sym 25196 wr_cnt[0] +.sym 25196 KEYBOARD.row_time[0] .sym 25197 $false .sym 25198 $false -.sym 25200 $auto$alumacc.cc:470:replace_alu$12114.C[2] +.sym 25200 $auto$alumacc.cc:470:replace_alu$6064.C[2] .sym 25202 $false -.sym 25203 wr_cnt[1] -.sym 25206 $auto$alumacc.cc:470:replace_alu$12114.C[3] +.sym 25203 KEYBOARD.row_time[1] +.sym 25206 $auto$alumacc.cc:470:replace_alu$6064.C[3] .sym 25207 $false .sym 25208 $false -.sym 25209 wr_cnt[2] -.sym 25210 $auto$alumacc.cc:470:replace_alu$12114.C[2] +.sym 25209 KEYBOARD.row_time[2] +.sym 25210 $auto$alumacc.cc:470:replace_alu$6064.C[2] +.sym 25212 $auto$alumacc.cc:470:replace_alu$6064.C[4] .sym 25213 $false .sym 25214 $false -.sym 25215 wr_cnt[3] -.sym 25216 $auto$alumacc.cc:470:replace_alu$12114.C[3] +.sym 25215 KEYBOARD.row_time[3] +.sym 25216 $auto$alumacc.cc:470:replace_alu$6064.C[3] +.sym 25218 $auto$alumacc.cc:470:replace_alu$6064.C[5] .sym 25219 $false -.sym 25220 $true$2 -.sym 25221 wr_cnt[0] -.sym 25222 $false -.sym 25225 $abc$56607$n2707 +.sym 25220 $false +.sym 25221 KEYBOARD.row_time[4] +.sym 25222 $auto$alumacc.cc:470:replace_alu$6064.C[4] +.sym 25224 $auto$alumacc.cc:470:replace_alu$6064.C[6] +.sym 25225 $false .sym 25226 $false -.sym 25227 $false -.sym 25228 $false -.sym 25237 $abc$56607$n2701 +.sym 25227 KEYBOARD.row_time[5] +.sym 25228 $auto$alumacc.cc:470:replace_alu$6064.C[5] +.sym 25230 $auto$alumacc.cc:470:replace_alu$6064.C[7] +.sym 25231 $false +.sym 25232 $false +.sym 25233 KEYBOARD.row_time[6] +.sym 25234 $auto$alumacc.cc:470:replace_alu$6064.C[6] +.sym 25236 $auto$alumacc.cc:470:replace_alu$6064.C[8] +.sym 25237 $false .sym 25238 $false -.sym 25239 $false -.sym 25240 $false -.sym 25241 $abc$56607$n1471$2 +.sym 25239 KEYBOARD.row_time[7] +.sym 25240 $auto$alumacc.cc:470:replace_alu$6064.C[7] +.sym 25241 I2C.FLT_SCL.RESET$2 .sym 25242 CLK$2$2 -.sym 25243 $abc$56607$n29 -.sym 25350 KEYBOARD.kbd_code_hid[6] -.sym 25351 KEYBOARD.report[4][6] -.sym 25352 KEYBOARD.report[4][0] -.sym 25353 KEYBOARD.kbd_code_hid[0] -.sym 25356 $abc$56607$n28 +.sym 25243 $abc$27697$n29$2 +.sym 25312 $auto$alumacc.cc:470:replace_alu$6064.C[8] +.sym 25349 $auto$alumacc.cc:470:replace_alu$6064.C[9] +.sym 25350 $false +.sym 25351 $false +.sym 25352 KEYBOARD.row_time[8] +.sym 25353 $auto$alumacc.cc:470:replace_alu$6064.C[8] +.sym 25355 $auto$alumacc.cc:470:replace_alu$6064.C[10] +.sym 25356 $false .sym 25357 $false -.sym 25358 $false -.sym 25359 $false -.sym 25362 $abc$56607$n937 -.sym 25363 $abc$56607$n938_1 -.sym 25364 $abc$56607$n933 -.sym 25365 $false -.sym 25368 $abc$56607$n963 -.sym 25369 $abc$56607$n964 -.sym 25370 $abc$56607$n965 -.sym 25371 $abc$56607$n966 -.sym 25374 KEYBOARD.kbd_code_hid[4] -.sym 25375 KEYBOARD.report[4][4] -.sym 25376 KEYBOARD.kbd_code_hid[5] -.sym 25377 KEYBOARD.report[4][5] -.sym 25380 $abc$56607$n2462 +.sym 25358 KEYBOARD.row_time[9] +.sym 25359 $auto$alumacc.cc:470:replace_alu$6064.C[9] +.sym 25361 $auto$alumacc.cc:470:replace_alu$6064.C[11] +.sym 25362 $false +.sym 25363 $false +.sym 25364 KEYBOARD.row_time[10] +.sym 25365 $auto$alumacc.cc:470:replace_alu$6064.C[10] +.sym 25367 $auto$alumacc.cc:470:replace_alu$6064.C[12] +.sym 25368 $false +.sym 25369 $false +.sym 25370 KEYBOARD.row_time[11] +.sym 25371 $auto$alumacc.cc:470:replace_alu$6064.C[11] +.sym 25373 $auto$alumacc.cc:470:replace_alu$6064.C[13] +.sym 25374 $false +.sym 25375 $false +.sym 25376 KEYBOARD.row_time[12] +.sym 25377 $auto$alumacc.cc:470:replace_alu$6064.C[12] +.sym 25379 $auto$alumacc.cc:470:replace_alu$6064.C[14] +.sym 25380 $false .sym 25381 $false -.sym 25382 $false -.sym 25383 $false -.sym 25386 $abc$56607$n2458 +.sym 25382 KEYBOARD.row_time[13] +.sym 25383 $auto$alumacc.cc:470:replace_alu$6064.C[13] +.sym 25385 $auto$alumacc.cc:470:replace_alu$6064.C[15] +.sym 25386 $false .sym 25387 $false -.sym 25388 $false -.sym 25389 $false -.sym 25392 $abc$56607$n2464 +.sym 25388 KEYBOARD.row_time[14] +.sym 25389 $auto$alumacc.cc:470:replace_alu$6064.C[14] +.sym 25392 $false .sym 25393 $false -.sym 25394 $false -.sym 25395 $false -.sym 25396 $abc$56607$n1422 +.sym 25394 KEYBOARD.row_time[15] +.sym 25395 $auto$alumacc.cc:470:replace_alu$6064.C[15] +.sym 25396 I2C.FLT_SCL.RESET$2 .sym 25397 CLK$2$2 -.sym 25398 $abc$56607$n35$2 -.sym 25505 KEYBOARD.kbd_code_hid[2] -.sym 25506 KEYBOARD.report[6][2] -.sym 25507 KEYBOARD.report[6][1] -.sym 25508 KEYBOARD.kbd_code_hid[1] -.sym 25511 KEYBOARD.report[6][0] -.sym 25512 KEYBOARD.report[6][1] -.sym 25513 KEYBOARD.report[6][2] -.sym 25514 KEYBOARD.report[6][3] -.sym 25517 KEYBOARD.kbd_code_hid[7] -.sym 25518 KEYBOARD.report[6][7] -.sym 25519 KEYBOARD.report[6][0] -.sym 25520 KEYBOARD.kbd_code_hid[0] -.sym 25523 $abc$56607$n945 -.sym 25524 $abc$56607$n946 -.sym 25525 $abc$56607$n947 -.sym 25526 $abc$56607$n948 -.sym 25529 KEYBOARD.kbd_code_hid[4] -.sym 25530 KEYBOARD.report[6][4] -.sym 25531 KEYBOARD.report[6][3] -.sym 25532 KEYBOARD.kbd_code_hid[3] -.sym 25535 KEYBOARD.report[6][4] -.sym 25536 KEYBOARD.report[6][5] -.sym 25537 KEYBOARD.report[6][6] -.sym 25538 KEYBOARD.report[6][7] -.sym 25541 KEYBOARD.kbd_code_hid[6] -.sym 25542 KEYBOARD.report[6][6] -.sym 25543 KEYBOARD.report[6][5] -.sym 25544 KEYBOARD.kbd_code_hid[5] -.sym 25547 $abc$56607$n1118 -.sym 25548 KEYBOARD.report[3][4] -.sym 25549 KEYBOARD.report[6][4] -.sym 25550 $abc$56607$n1121 +.sym 25398 $abc$27697$n29$2 .sym 25556 SCL$2 -.sym 25660 KEYBOARD.kbd_code_hid[0] -.sym 25661 KEYBOARD.kbd_code_hid[1] -.sym 25662 KEYBOARD.kbd_code_hid[2] -.sym 25663 $false -.sym 25666 $abc$56607$n1121 -.sym 25667 KEYBOARD.report[6][7] +.sym 25666 $abc$27697$n2024 +.sym 25667 $false .sym 25668 $false .sym 25669 $false -.sym 25672 $abc$56607$n2704 -.sym 25673 $false -.sym 25674 $false -.sym 25675 $false -.sym 25678 $abc$56607$n2703 +.sym 25678 $abc$27697$n2030 .sym 25679 $false .sym 25680 $false .sym 25681 $false -.sym 25684 $abc$56607$n2708 +.sym 25684 $abc$27697$n2031 .sym 25685 $false .sym 25686 $false .sym 25687 $false -.sym 25690 $abc$56607$n2705 -.sym 25691 $false -.sym 25692 $false -.sym 25693 $false -.sym 25696 $abc$56607$n2706 +.sym 25696 $abc$27697$n2028 .sym 25697 $false .sym 25698 $false .sym 25699 $false -.sym 25702 $abc$56607$n2702 +.sym 25702 $abc$27697$n2026 .sym 25703 $false .sym 25704 $false .sym 25705 $false -.sym 25706 $abc$56607$n1471$2 +.sym 25706 $abc$27697$n667$2 .sym 25707 CLK$2$2 -.sym 25708 $abc$56607$n29 +.sym 25708 $abc$27697$n27 .sym 25709 I2C.SDA_IN -.sym 25815 $abc$56607$n27 -.sym 25816 KEYBOARD.kbd_code_hid[6] -.sym 25817 $false +.sym 25815 $abc$27697$n1678 +.sym 25816 $abc$27697$n1672 +.sym 25817 $abc$27697$n1675 .sym 25818 $false -.sym 25821 $abc$56607$n2231 -.sym 25822 KEYBOARD.row_counter[0] -.sym 25823 KEYBOARD.row_counter[1] -.sym 25824 $abc$56607$n2237 -.sym 25827 KEYBOARD.row_counter[0] -.sym 25828 KEYBOARD.row_counter[1] -.sym 25829 $abc$56607$n2231 -.sym 25830 $abc$56607$n2237 -.sym 25833 $abc$56607$n2237 -.sym 25834 KEYBOARD.row_counter[0] -.sym 25835 KEYBOARD.row_counter[1] -.sym 25836 $abc$56607$n2231 -.sym 25839 $abc$56607$n312 -.sym 25840 wr_cnt[0] -.sym 25841 wr_cnt[1] -.sym 25842 $abc$56607$n305 -.sym 25845 KEYBOARD.kbd_code_hid[6] -.sym 25846 $false -.sym 25847 $false +.sym 25821 $abc$27697$n1675 +.sym 25822 $abc$27697$n1672 +.sym 25823 $abc$27697$n1678 +.sym 25824 $false +.sym 25833 $abc$27697$n29$2 +.sym 25834 I2C.FLT_SCL.RESET$2 +.sym 25835 $false +.sym 25836 $false +.sym 25839 $abc$27697$n1672 +.sym 25840 $abc$27697$n1675 +.sym 25841 $abc$27697$n1678 +.sym 25842 $false +.sym 25845 $abc$27697$n1675 +.sym 25846 $abc$27697$n1672 +.sym 25847 $abc$27697$n1678 .sym 25848 $false -.sym 25851 KEYBOARD.kbd_code_hid[1] -.sym 25852 $false -.sym 25853 $false -.sym 25854 $false -.sym 25857 KEYBOARD.kbd_code_hid[4] -.sym 25858 $false -.sym 25859 $false -.sym 25860 $false -.sym 25861 $abc$56607$n1328 -.sym 25862 CLK$2$2 -.sym 25863 $abc$56607$n27 -.sym 25970 $abc$56607$n2237 -.sym 25971 KEYBOARD.row_counter[0] -.sym 25972 KEYBOARD.row_counter[1] -.sym 25973 $abc$56607$n2231 -.sym 25976 $abc$56607$n1121 -.sym 25977 KEYBOARD.report[6][1] +.sym 25976 KEYBOARD.row_counter[0] +.sym 25977 KEYBOARD.row_counter[1] .sym 25978 $false .sym 25979 $false -.sym 25982 $abc$56607$n305 -.sym 25983 wr_cnt[0] -.sym 25984 wr_cnt[1] -.sym 25985 $abc$56607$n312 -.sym 25988 $abc$56607$n2231 -.sym 25989 $abc$56607$n2237 -.sym 25990 KEYBOARD.row_counter[0] -.sym 25991 KEYBOARD.row_counter[1] -.sym 26000 I2C.FLT_SCL.RESET -.sym 26001 $false -.sym 26002 $false -.sym 26003 $false -.sym 26006 wr_cnt[0] -.sym 26007 wr_cnt[1] -.sym 26008 $abc$56607$n305 -.sym 26009 $abc$56607$n312 -.sym 26012 $abc$56607$n2231 -.sym 26013 $abc$56607$n2237 -.sym 26014 KEYBOARD.row_counter[0] -.sym 26015 KEYBOARD.row_counter[1] -.sym 26137 $false -.sym 26138 $false -.sym 26139 $false +.sym 25988 $abc$27697$n1675 +.sym 25989 $abc$27697$n1672 +.sym 25990 $abc$27697$n1678 +.sym 25991 $false +.sym 25994 $abc$27697$n1675 +.sym 25995 $abc$27697$n1678 +.sym 25996 $abc$27697$n1672 +.sym 25997 $false +.sym 26006 $false +.sym 26007 $true$2 +.sym 26008 KEYBOARD.row_counter[0] +.sym 26009 $false +.sym 26131 $abc$27697$n1672 +.sym 26132 KEYBOARD.row_counter[0] +.sym 26133 $abc$27697$n29$2 +.sym 26134 $false +.sym 26137 $abc$27697$n29$2 +.sym 26138 KEYBOARD.row_counter[0] +.sym 26139 KEYBOARD.row_counter[1] .sym 26140 $false -.sym 26149 $abc$56607$n2701 -.sym 26150 $false -.sym 26151 $false -.sym 26152 $false -.sym 26171 $abc$56607$n1471$2 +.sym 26143 $abc$27697$n1678 +.sym 26144 KEYBOARD.row_counter[2] +.sym 26145 $abc$27697$n29$2 +.sym 26146 $false +.sym 26155 $abc$27697$n1674 +.sym 26156 $false +.sym 26157 $false +.sym 26158 $false +.sym 26161 $abc$27697$n1680 +.sym 26162 $false +.sym 26163 $false +.sym 26164 $false +.sym 26167 $abc$27697$n1677 +.sym 26168 $false +.sym 26169 $false +.sym 26170 $false +.sym 26171 I2C.FLT_SCL.RESET$2 .sym 26172 CLK$2$2 -.sym 26173 $abc$56607$n28 -.sym 26286 $abc$56607$n667 -.sym 26287 $13\int_tmr[19:0][2] -.sym 26288 $false -.sym 26289 $false -.sym 26292 $abc$56607$n667 -.sym 26293 $13\int_tmr[19:0][4] -.sym 26294 $false -.sym 26295 $false -.sym 26304 $abc$56607$n667 -.sym 26305 $13\int_tmr[19:0][6] -.sym 26306 $false -.sym 26307 $false -.sym 26322 $abc$56607$n667 -.sym 26323 $13\int_tmr[19:0][5] -.sym 26324 $false -.sym 26325 $false -.sym 26326 $abc$56607$n901$2 -.sym 26327 CLK$2$2 -.sym 26328 $abc$56607$n35$2 -.sym 26397 $true -.sym 26434 int_tmr[0]$2 -.sym 26435 $false -.sym 26436 int_tmr[0] -.sym 26437 $false -.sym 26438 $false -.sym 26440 $auto$alumacc.cc:470:replace_alu$12123.C[2] -.sym 26442 $false -.sym 26443 int_tmr[1] -.sym 26446 $auto$alumacc.cc:470:replace_alu$12123.C[3] -.sym 26447 $false +.sym 26173 $false +.sym 26242 $true +.sym 26279 KEYBOARD.row_counter[0]$2 +.sym 26280 $false +.sym 26281 KEYBOARD.row_counter[0] +.sym 26282 $false +.sym 26283 $false +.sym 26285 $auto$alumacc.cc:470:replace_alu$6061.C[2] +.sym 26287 $false +.sym 26288 KEYBOARD.row_counter[1] +.sym 26291 $auto$alumacc.cc:470:replace_alu$6061.C[3] +.sym 26292 $false +.sym 26293 $false +.sym 26294 KEYBOARD.row_counter[2] +.sym 26295 $auto$alumacc.cc:470:replace_alu$6061.C[2] +.sym 26298 $false +.sym 26299 $false +.sym 26300 KEYBOARD.row_counter[3] +.sym 26301 $auto$alumacc.cc:470:replace_alu$6061.C[3] +.sym 26310 LED1$2 +.sym 26311 $false +.sym 26312 $false +.sym 26313 $false +.sym 26447 $abc$27697$n2031 .sym 26448 $false -.sym 26449 int_tmr[2] -.sym 26450 $auto$alumacc.cc:470:replace_alu$12123.C[2] -.sym 26452 $auto$alumacc.cc:470:replace_alu$12123.C[4] -.sym 26453 $false +.sym 26449 $false +.sym 26450 $false +.sym 26453 $abc$27697$n2030 .sym 26454 $false -.sym 26455 int_tmr[3] -.sym 26456 $auto$alumacc.cc:470:replace_alu$12123.C[3] -.sym 26458 $auto$alumacc.cc:470:replace_alu$12123.C[5] -.sym 26459 $false +.sym 26455 $false +.sym 26456 $false +.sym 26459 $abc$27697$n2034 .sym 26460 $false -.sym 26461 int_tmr[4] -.sym 26462 $auto$alumacc.cc:470:replace_alu$12123.C[4] -.sym 26464 $auto$alumacc.cc:470:replace_alu$12123.C[6] -.sym 26465 $false +.sym 26461 $false +.sym 26462 $false +.sym 26465 $abc$27697$n2033 .sym 26466 $false -.sym 26467 int_tmr[5] -.sym 26468 $auto$alumacc.cc:470:replace_alu$12123.C[5] -.sym 26470 $auto$alumacc.cc:470:replace_alu$12123.C[7] -.sym 26471 $false -.sym 26472 $false -.sym 26473 int_tmr[6] -.sym 26474 $auto$alumacc.cc:470:replace_alu$12123.C[6] -.sym 26476 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26477 $false -.sym 26478 $false -.sym 26479 int_tmr[7] -.sym 26480 $auto$alumacc.cc:470:replace_alu$12123.C[7] -.sym 26552 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26589 $auto$alumacc.cc:470:replace_alu$12123.C[9] -.sym 26590 $false -.sym 26591 $false -.sym 26592 int_tmr[8] -.sym 26593 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26595 $auto$alumacc.cc:470:replace_alu$12123.C[10] -.sym 26596 $false -.sym 26597 $false -.sym 26598 int_tmr[9] -.sym 26599 $auto$alumacc.cc:470:replace_alu$12123.C[9] -.sym 26601 $auto$alumacc.cc:470:replace_alu$12123.C[11] -.sym 26602 $false -.sym 26603 $false -.sym 26604 int_tmr[10] -.sym 26605 $auto$alumacc.cc:470:replace_alu$12123.C[10] -.sym 26607 $auto$alumacc.cc:470:replace_alu$12123.C[12] -.sym 26608 $false -.sym 26609 $false -.sym 26610 int_tmr[11] -.sym 26611 $auto$alumacc.cc:470:replace_alu$12123.C[11] -.sym 26613 $auto$alumacc.cc:470:replace_alu$12123.C[13] -.sym 26614 $false -.sym 26615 $false -.sym 26616 int_tmr[12] -.sym 26617 $auto$alumacc.cc:470:replace_alu$12123.C[12] -.sym 26619 $auto$alumacc.cc:470:replace_alu$12123.C[14] -.sym 26620 $false -.sym 26621 $false -.sym 26622 int_tmr[13] -.sym 26623 $auto$alumacc.cc:470:replace_alu$12123.C[13] -.sym 26625 $auto$alumacc.cc:470:replace_alu$12123.C[15] -.sym 26626 $false -.sym 26627 $false -.sym 26628 int_tmr[14] -.sym 26629 $auto$alumacc.cc:470:replace_alu$12123.C[14] -.sym 26631 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26632 $false -.sym 26633 $false -.sym 26634 int_tmr[15] -.sym 26635 $auto$alumacc.cc:470:replace_alu$12123.C[15] -.sym 26707 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26744 $auto$alumacc.cc:470:replace_alu$12123.C[17] -.sym 26745 $false -.sym 26746 $false -.sym 26747 int_tmr[16] -.sym 26748 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26750 $auto$alumacc.cc:470:replace_alu$12123.C[18] -.sym 26751 $false -.sym 26752 $false -.sym 26753 int_tmr[17] -.sym 26754 $auto$alumacc.cc:470:replace_alu$12123.C[17] -.sym 26756 $auto$alumacc.cc:470:replace_alu$12123.C[19] -.sym 26757 $false -.sym 26758 $false -.sym 26759 int_tmr[18] -.sym 26760 $auto$alumacc.cc:470:replace_alu$12123.C[18] -.sym 26763 $false -.sym 26764 $false -.sym 26765 int_tmr[19] -.sym 26766 $auto$alumacc.cc:470:replace_alu$12123.C[19] -.sym 26769 $abc$56607$n667 -.sym 26770 $13\int_tmr[19:0][15] -.sym 26771 $false -.sym 26772 $false -.sym 26775 $abc$56607$n667 -.sym 26776 $13\int_tmr[19:0][16] -.sym 26777 $false -.sym 26778 $false -.sym 26781 $abc$56607$n667 -.sym 26782 $13\int_tmr[19:0][18] -.sym 26783 $false -.sym 26784 $false -.sym 26787 $abc$56607$n667 -.sym 26788 $13\int_tmr[19:0][17] -.sym 26789 $false -.sym 26790 $false -.sym 26791 $abc$56607$n901$2 -.sym 26792 CLK$2$2 -.sym 26793 $abc$56607$n35$2 -.sym 26862 $true -.sym 26899 $abc$56607$n246$2 -.sym 26900 $false -.sym 26901 $abc$56607$n246 -.sym 26902 $false -.sym 26903 $false -.sym 26905 $auto$alumacc.cc:470:replace_alu$12069.C[2] -.sym 26907 $true$2 -.sym 26908 $abc$56607$n1955 -.sym 26911 $auto$alumacc.cc:470:replace_alu$12069.C[3] -.sym 26913 $false -.sym 26914 $abc$56607$n243 -.sym 26917 $auto$alumacc.cc:470:replace_alu$12069.C[4] -.sym 26919 $true$2 -.sym 26920 $abc$56607$n2618 -.sym 26923 $auto$alumacc.cc:470:replace_alu$12069.C[5] -.sym 26925 $false -.sym 26926 $abc$56607$n240 -.sym 26929 $auto$alumacc.cc:470:replace_alu$12069.C[6] -.sym 26931 $false -.sym 26932 $abc$56607$n239 -.sym 26935 $auto$alumacc.cc:470:replace_alu$12069.C[7] -.sym 26937 $false -.sym 26938 $abc$56607$n237 -.sym 26941 $abc$56607$n2575 -.sym 26943 $false -.sym 26944 $abc$56607$n236 -.sym 27055 init_ram_cnt[5] -.sym 27056 init_ram_cnt[7] -.sym 27057 $abc$56607$n734 -.sym 27058 $abc$56607$n2575 -.sym 27061 $abc$56607$n2707 -.sym 27062 $false -.sym 27063 $false -.sym 27064 $false -.sym 27067 $abc$56607$n2704 -.sym 27068 $false -.sym 27069 $false -.sym 27070 $false -.sym 27073 $abc$56607$n2706 -.sym 27074 $false -.sym 27075 $false -.sym 27076 $false -.sym 27079 $abc$56607$n2703 -.sym 27080 $false -.sym 27081 $false -.sym 27082 $false -.sym 27085 $abc$56607$n2708 -.sym 27086 $false -.sym 27087 $false -.sym 27088 $false -.sym 27091 $abc$56607$n2705 -.sym 27092 $false -.sym 27093 $false -.sym 27094 $false -.sym 27097 $abc$56607$n2702 -.sym 27098 $false -.sym 27099 $false -.sym 27100 $false -.sym 27101 $abc$56607$n1471$2 -.sym 27102 CLK$2$2 -.sym 27103 $abc$56607$n28 +.sym 26467 $false +.sym 26468 $false +.sym 26481 $abc$27697$n667$2 +.sym 26482 CLK$2$2 +.sym 26483 $abc$27697$n26 .sym 27189 $false .sym 27191 KEYBOARD.ROWS_EN[6] .sym 27192 $false @@ -10597,10 +9010,10 @@ .sym 27373 $false .sym 27375 KEYBOARD.ROWS_EN[12] .sym 27400 COM_DCD$2 -.sym 27429 $abc$56607$n1018 +.sym 27429 $abc$27697$n667 .sym 27430 $false .sym 27432 I2C.SDA_DIR -.sym 27459 $abc$56607$n35 +.sym 27459 $abc$27697$n29 .sym 27463 I2C_TRANS .sym 27519 LED4$2 .sym 27522 LED3$2 diff --git a/i2c_keyboard/hardware.bin b/i2c_keyboard/hardware.bin index 573b30a..a3498aa 100644 Binary files a/i2c_keyboard/hardware.bin and b/i2c_keyboard/hardware.bin differ diff --git a/i2c_keyboard/hardware.blif b/i2c_keyboard/hardware.blif index 925f3ea..fcc83d6 100644 --- a/i2c_keyboard/hardware.blif +++ b/i2c_keyboard/hardware.blif @@ -7,3593 +7,2565 @@ .names $true 1 .names $undef -.gate SB_LUT4 I0=$abc$56607$n658 I1=$abc$56607$n2229 I2=$abc$56607$n663 I3=UART.tx_activity O=$abc$56607$n1 +.gate SB_LUT4 I0=$abc$27697$n496 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$27697$n1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$27697$n496 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$27697$n500 I1=$abc$27697$n1671 I2=$abc$27697$n505 I3=UART.tx_activity O=$abc$27697$n3 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$56607$n659 I1=$abc$56607$n662 I2=$abc$56607$n2509 I3=$abc$56607$n2495 O=$abc$56607$n658 +.gate SB_LUT4 I0=$abc$27697$n501 I1=$abc$27697$n504 I2=$abc$27697$n1328 I3=$abc$27697$n1314 O=$abc$27697$n500 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$56607$n660 I3=$false O=$abc$56607$n659 +.param LUT_INIT 0000110000000101 +.gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$27697$n502 I3=$false O=$abc$27697$n501 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n2518 I1=$abc$56607$n2519 I2=$false I3=$false O=$abc$56607$n660 +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$27697$n1902 I1=$abc$27697$n1903 I2=$false I3=$false O=$abc$27697$n502 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$56607$n2518 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$27697$n1902 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$56607$n660 I3=$false O=$abc$56607$n662 +.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$27697$n502 I3=$false O=$abc$27697$n504 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n664 I1=$abc$56607$n665 I2=$abc$56607$n2495 I3=$abc$56607$n2509 O=$abc$56607$n663 +.gate SB_LUT4 I0=$abc$27697$n506 I1=$abc$27697$n507 I2=$abc$27697$n1314 I3=$abc$27697$n1328 O=$abc$27697$n505 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$56607$n660 I3=$false O=$abc$56607$n664 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$56607$n660 I3=$false O=$abc$56607$n665 +.param LUT_INIT 1010001100000000 +.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$27697$n502 I3=$false O=$abc$27697$n506 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n670 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n3 +.gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$27697$n502 I3=$false O=$abc$27697$n507 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$56607$n667 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$56607$n670 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$56607$n19 +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$27697$n19 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n673 I2=$false I3=$false O=$abc$56607$n21 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$abc$56607$n674 I3=I2C.byte_counter[1] O=$abc$56607$n673 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$56607$n674 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n676 I1=$abc$56607$n715 I2=$abc$56607$n728 I3=$abc$56607$n720 O=$abc$56607$n27 +.gate SB_LUT4 I0=$abc$27697$n510_1 I1=$abc$27697$n548 I2=$abc$27697$n561 I3=$abc$27697$n553 O=$abc$27697$n25 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=$abc$56607$n677 I1=$abc$56607$n701 I2=$abc$56607$n714 I3=$abc$56607$n704 O=$abc$56607$n676 +.gate SB_LUT4 I0=$abc$27697$n511_1 I1=$abc$27697$n534 I2=$abc$27697$n547 I3=$abc$27697$n537 O=$abc$27697$n510_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=$abc$56607$n678 I1=$abc$56607$n691 I2=KEYBOARD.is_pressed I3=$abc$56607$n696 O=$abc$56607$n677 +.gate SB_LUT4 I0=$abc$27697$n512 I1=$abc$27697$n525 I2=KEYBOARD.is_pressed I3=$abc$27697$n530 O=$abc$27697$n511_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$56607$n685 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$56607$n679 I3=$false O=$abc$56607$n678 +.gate SB_LUT4 I0=$abc$27697$n519 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$27697$n513 I3=$false O=$abc$27697$n512 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n680 I1=$abc$56607$n683 I2=$abc$56607$n684 I3=$false O=$abc$56607$n679 +.gate SB_LUT4 I0=$abc$27697$n514 I1=$abc$27697$n517 I2=$abc$27697$n518 I3=$false O=$abc$27697$n513 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$56607$n682 I2=KEYBOARD.row_time[2] I3=$abc$56607$n681 O=$abc$56607$n680 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$27697$n516 I2=KEYBOARD.row_time[2] I3=$abc$27697$n515 O=$abc$27697$n514 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$56607$n681 +.gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$27697$n515 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$56607$n682 +.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$27697$n516 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[4] O=$abc$56607$n683 +.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[4] O=$abc$27697$n517 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$56607$n684 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$27697$n518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n685 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$27697$n520 I3=$abc$27697$n522 O=$abc$27697$n519 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100110011 -.gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n687 I2=$abc$56607$n688 I3=$abc$56607$n689 O=$abc$56607$n686 +.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=$abc$27697$n521 I2=$abc$27697$n515 I3=KEYBOARD.row_time[9] O=$abc$27697$n520 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$56607$n687 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$27697$n521 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=$false I3=$false O=$abc$56607$n688 +.gate SB_LUT4 I0=$abc$27697$n523 I1=$abc$27697$n524_1 I2=$false I3=$false O=$abc$27697$n522 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$56607$n689 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$27697$n523 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$56607$n690 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[0] O=$abc$27697$n524_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n692 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$56607$n693 I3=$false O=$abc$56607$n691 +.gate SB_LUT4 I0=$abc$27697$n526 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$27697$n527_1 I3=$false O=$abc$27697$n525 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n692 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$27697$n520 I3=$abc$27697$n522 O=$abc$27697$n526 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100110011 -.gate SB_LUT4 I0=$abc$56607$n680 I1=$abc$56607$n694 I2=$abc$56607$n695 I3=$false O=$abc$56607$n693 +.gate SB_LUT4 I0=$abc$27697$n514 I1=$abc$27697$n528 I2=$abc$27697$n529 I3=$false O=$abc$27697$n527_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$56607$n694 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$27697$n528 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[5] O=$abc$56607$n695 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[5] O=$abc$27697$n529 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$56607$n692 I2=$abc$56607$n693 I3=$abc$56607$n697 O=$abc$56607$n696 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$27697$n526 I2=$abc$27697$n527_1 I3=$abc$27697$n531 O=$abc$27697$n530 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n699 I2=$false I3=$false O=$abc$56607$n697 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n687 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$56607$n698 +.gate SB_LUT4 I0=$abc$27697$n532 I1=$abc$27697$n518 I2=$abc$27697$n523 I3=$abc$27697$n533_1 O=$abc$27697$n531 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n684 I1=$abc$56607$n700 I2=KEYBOARD.row_time[4] I3=KEYBOARD.row_time[5] O=$abc$56607$n699 +.gate SB_LUT4 I0=$abc$27697$n515 I1=$abc$27697$n521 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$27697$n532 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$56607$n700 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$56607$n697 O=$abc$56607$n701 +.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=$false I3=$false O=$abc$27697$n533_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$27697$n535 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$27697$n531 O=$abc$27697$n534 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011001000000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=KEYBOARD.temp[5] I2=$abc$56607$n703 I3=$false O=$abc$56607$n702 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=KEYBOARD.temp[5] I2=$abc$27697$n536 I3=$false O=$abc$27697$n535 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n686 I1=$abc$56607$n690 I2=$false I3=$false O=$abc$56607$n703 +.gate SB_LUT4 I0=$abc$27697$n520 I1=$abc$27697$n522 I2=$false I3=$false O=$abc$27697$n536 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n705 I1=$abc$56607$n709 I2=$abc$56607$n711 I3=$false O=$abc$56607$n704 +.gate SB_LUT4 I0=$abc$27697$n538 I1=$abc$27697$n542_1 I2=$abc$27697$n544 I3=$false O=$abc$27697$n537 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n708 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$56607$n706 O=$abc$56607$n705 +.gate SB_LUT4 I0=$abc$27697$n541 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$27697$n539 O=$abc$27697$n538 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011001000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=$abc$56607$n698 I3=$abc$56607$n707 O=$abc$56607$n706 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=$abc$27697$n532 I3=$abc$27697$n540 O=$abc$27697$n539 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n694 I1=$abc$56607$n700 I2=$false I3=$false O=$abc$56607$n707 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=KEYBOARD.temp[4] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n708 +.gate SB_LUT4 I0=$abc$27697$n528 I1=$abc$27697$n533_1 I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$27697$n540 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=KEYBOARD.temp[4] I2=$abc$27697$n520 I3=$abc$27697$n522 O=$abc$27697$n541 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100110011 -.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n710 I2=$abc$56607$n695 I3=$false O=$abc$56607$n709 +.gate SB_LUT4 I0=$abc$27697$n532 I1=$abc$27697$n518 I2=$abc$27697$n529 I3=$abc$27697$n543 O=$abc$27697$n542_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=$false I3=$false O=$abc$27697$n543 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$27697$n532 I1=$abc$27697$n545 I2=$abc$27697$n518 I3=$false O=$abc$27697$n544 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=$abc$56607$n684 I2=KEYBOARD.row_time[3] I3=$false O=$abc$56607$n710 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n712 I2=$abc$56607$n713 I3=$false O=$abc$56607$n711 +.gate SB_LUT4 I0=$abc$27697$n546 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$27697$n545 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[6] I1=$abc$56607$n684 I2=KEYBOARD.row_time[7] I3=$false O=$abc$56607$n712 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$56607$n713 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$27697$n546 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$56607$n685 I2=$abc$56607$n679 I3=$abc$56607$n706 O=$abc$56607$n714 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$27697$n519 I2=$abc$27697$n513 I3=$abc$27697$n539 O=$abc$27697$n547 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$56607$n716 I1=$abc$56607$n718 I2=$false I3=$false O=$abc$56607$n715 +.gate SB_LUT4 I0=$abc$27697$n549 I1=$abc$27697$n551 I2=$false I3=$false O=$abc$27697$n548 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$56607$n709 O=$abc$56607$n716 +.gate SB_LUT4 I0=$abc$27697$n550 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$27697$n542_1 O=$abc$27697$n549 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[1] I1=KEYBOARD.temp[1] I2=$abc$56607$n703 I3=$false O=$abc$56607$n717 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[1] I1=KEYBOARD.temp[1] I2=$abc$27697$n536 I3=$false O=$abc$27697$n550 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=KEYBOARD.is_pressed I3=$abc$56607$n711 O=$abc$56607$n718 +.gate SB_LUT4 I0=$abc$27697$n552 I1=KEYBOARD.COLS_SHADOW[3] I2=KEYBOARD.is_pressed I3=$abc$27697$n544 O=$abc$27697$n551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[3] I1=KEYBOARD.temp[3] I2=$abc$56607$n703 I3=$false O=$abc$56607$n719 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[3] I1=KEYBOARD.temp[3] I2=$abc$27697$n536 I3=$false O=$abc$27697$n552 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n721 I1=$abc$56607$n725 I2=$false I3=$false O=$abc$56607$n720 +.gate SB_LUT4 I0=$abc$27697$n554 I1=$abc$27697$n557 I2=$false I3=$false O=$abc$27697$n553 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$56607$n723 O=$abc$56607$n721 +.gate SB_LUT4 I0=$abc$27697$n555 I1=KEYBOARD.COLS_SHADOW[0] I2=KEYBOARD.is_pressed I3=$abc$27697$n556_1 O=$abc$27697$n554 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.temp[2] I2=$abc$56607$n703 I3=$false O=$abc$56607$n722 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[0] I2=$abc$27697$n536 I3=$false O=$abc$27697$n555 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n724 I2=$abc$56607$n683 I3=$false O=$abc$56607$n723 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n694 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$56607$n724 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n726 I1=KEYBOARD.COLS_SHADOW[0] I2=KEYBOARD.is_pressed I3=$abc$56607$n727 O=$abc$56607$n725 +.gate SB_LUT4 I0=$abc$27697$n520 I1=$abc$27697$n523 I2=$abc$27697$n528 I3=$abc$27697$n543 O=$abc$27697$n556_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$27697$n558 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$27697$n559 O=$abc$27697$n557 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[0] I2=$abc$56607$n703 I3=$false O=$abc$56607$n726 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.temp[2] I2=$abc$27697$n536 I3=$false O=$abc$27697$n558 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=$abc$56607$n694 I2=$abc$56607$n686 I3=KEYBOARD.row_time[3] O=$abc$56607$n727 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n727 I2=$false I3=$false O=$abc$56607$n728 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n730 I1=$abc$56607$n707 I2=$false I3=$false O=$abc$56607$n33 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=$abc$56607$n681 I2=$abc$56607$n731 I3=KEYBOARD.row_time[5] O=$abc$56607$n730 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n688 I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[11] I3=$false O=$abc$56607$n731 +.gate SB_LUT4 I0=$abc$27697$n532 I1=$abc$27697$n560 I2=$abc$27697$n517 I3=$false O=$abc$27697$n559 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n736 I2=$false I3=$false O=$abc$56607$n842 +.gate SB_LUT4 I0=$abc$27697$n528 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$27697$n560 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$27697$n556_1 I1=$abc$27697$n559 I2=$false I3=$false O=$abc$27697$n561 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$56607$n734 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$56607$n733 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$27697$n563 I1=$abc$27697$n540 I2=$abc$27697$n564 I3=$false O=$abc$27697$n29 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$56607$n735 I3=$false O=$abc$56607$n734 +.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=$abc$27697$n515 I2=KEYBOARD.row_time[9] I3=$false O=$abc$27697$n563 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[6] I2=init_ram_cnt[4] I3=init_ram_cnt[3] O=$abc$56607$n735 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n736 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n760 I1=$abc$56607$n753 I2=$abc$56607$n756 I3=$abc$56607$n1501_1 O=$abc$56607$n873 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$27697$n564 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n747 I2=$abc$56607$n742 I3=$false O=$abc$56607$n741 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$27697$n567 I2=$abc$27697$n566 I3=$false O=$abc$27697$n44 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n743 I2=$abc$56607$n746 I3=$false O=$abc$56607$n742 +.param LUT_INIT 10111111 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n566 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n745 I1=$abc$56607$n744 I2=$false I3=$false O=$abc$56607$n743 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$56607$n744 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$27697$n567 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$56607$n745 +.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n259 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=$abc$27697$n579 I2=$abc$27697$n578 I3=$abc$27697$n570 O=$abc$27697$n278 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$27697$n576 I1=$abc$27697$n577 I2=$abc$27697$n571 I3=$abc$27697$n575 O=$abc$27697$n570 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$abc$27697$n496 I1=$abc$27697$n574 I2=I2C.FLT_SCL.RESET I3=$abc$27697$n572 O=$abc$27697$n571 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$abc$27697$n19 I2=$false I3=$false O=$abc$27697$n572 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$56607$n746 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$56607$n19 I2=$false I3=$false O=$abc$56607$n747 +.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$0\uart_double_ff[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$2\uart_double_ff[0:0] +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$27697$n574 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$56607$n751 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=I2C.wr I2=last_wr I3=I2C.FLT_SCL.RESET O=$abc$27697$n575 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111000000000 +.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$27697$n576 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n667 I2=$abc$56607$n19 I3=$false O=$abc$56607$n988 +.gate SB_LUT4 I0=LED1 I1=UART_WR I2=last_isr I3=KEYBOARD.isr O=$abc$27697$n577 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$27697$n1 I1=I2C.wr I2=last_wr I3=$false O=$abc$27697$n578 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000001 +.gate SB_LUT4 I0=$abc$27697$n1960 I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=I2C.is_read O=$abc$27697$n579 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$27697$n574 I2=I2C.is_read I3=$false O=$abc$27697$n285 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n747 I2=$abc$56607$n754 I3=$false O=$abc$56607$n753 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$27697$n574 I2=$abc$27697$n578 I3=$false O=$abc$27697$n288 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$27697$n574 I1=I2C.FLT_SCL.RESET I2=$abc$27697$n583 I3=$false O=$abc$27697$n291 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n571 I1=$abc$27697$n576 I2=$abc$27697$n578 I3=$false O=$abc$27697$n583 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n755 I2=$false I3=$false O=$abc$56607$n754 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n744 I1=$abc$56607$n745 I2=$abc$56607$n746 I3=$false O=$abc$56607$n755 +.gate SB_LUT4 I0=$abc$27697$n574 I1=I2C.FLT_SCL.RESET I2=$abc$27697$n1087_1 I3=$false O=$abc$27697$n295 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n759 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n757 O=$abc$56607$n756 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n587 I1=I2C.is_read I2=I2C.FLT_SCL.RESET I3=$abc$27697$n593 O=$abc$27697$n357 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n744 I2=$abc$56607$n746 I3=$false O=$abc$56607$n757 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$27697$n591 I1=$abc$27697$n592 I2=$abc$27697$n588 I3=$false O=$abc$27697$n587 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[2] I2=$abc$27697$n589 I3=$false O=$abc$27697$n588 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n758 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$56607$n759 +.gate SB_LUT4 I0=$abc$27697$n590 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$27697$n589 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n763 I1=$abc$56607$n766 I2=$abc$56607$n761 I3=$false O=$abc$56607$n760 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$27697$n590 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$56607$n842 I1=UART.tx_activity I2=last_uart_active I3=$abc$56607$n762 O=$abc$56607$n761 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$27697$n591 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n747 I2=$abc$56607$n743 I3=$abc$56607$n746 O=$abc$56607$n762 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$27697$n592 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n594 I1=$abc$27697$n595 I2=I2C.byte_counter[1] I3=$false O=$abc$27697$n593 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$abc$27697$n574 I3=$false O=$abc$27697$n594 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$27697$n595 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$56607$n764 I3=$abc$56607$n765 O=$abc$56607$n763 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$27697$n603 I3=$false O=$abc$27697$n602 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$27697$n595 I3=$false O=$abc$27697$n603 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n608 I1=I2C.received_byte[0] I2=$abc$27697$n606 I3=$abc$27697$n607 O=$abc$27697$n605 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$56607$n764 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$56607$n765 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$27697$n606 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=I2C_TRANS I1=UART_WR I2=$abc$56607$n751 I3=int_tmr[19] O=$abc$56607$n766 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$27697$n607 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n768 I1=$abc$56607$n772 I2=$false I3=$false O=$abc$56607$n881 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=last_uart_active I1=$abc$56607$n741 I2=UART.tx_activity I3=$abc$56607$n769 O=$abc$56607$n768 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n759 I2=$abc$56607$n770 I3=$false O=$abc$56607$n769 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$27697$n608 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n771 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n757 O=$abc$56607$n770 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$27697$n613 I1=$abc$27697$n590 I2=$abc$27697$n614 I3=$abc$27697$n612 O=$abc$27697$n611 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n771 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n773 I1=$abc$56607$n842 I2=$abc$56607$n988 I3=$abc$56607$n754 O=$abc$56607$n772 +.param LUT_INIT 0000110001011111 +.gate SB_LUT4 I0=$abc$27697$n603 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$27697$n612 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$abc$27697$n595 I3=I2C.byte_counter[1] O=$abc$27697$n613 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=uart_double_ff I1=$abc$56607$n774 I2=$abc$56607$n762 I3=$false O=$abc$56607$n773 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$27697$n608 I2=$abc$27697$n606 I3=$false O=$abc$27697$n614 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$56607$n774 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$27697$n613 I2=$false I3=$false O=$abc$27697$n615 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n774 I2=$abc$56607$n776 I3=$abc$56607$n772 O=$abc$56607$n888 +.gate SB_LUT4 I0=$abc$27697$n606 I1=$abc$27697$n619 I2=$abc$27697$n590 I3=$false O=$abc$27697$n618_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$27697$n619 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$56607$n769 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n776 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n779 I1=$abc$56607$n778 I2=$abc$56607$n1501_1 I3=$false O=$abc$56607$n901 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$27697$n607 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$27697$n603 O=$abc$27697$n621 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n572 I2=I2C.FLT_SCL.RESET I3=$abc$27697$n583 O=$abc$27697$n422 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$27697$n578 I1=I2C.is_read I2=$abc$27697$n570 I3=$false O=$abc$27697$n434 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n753 I2=$false I3=$false O=$abc$56607$n778 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$27697$n630 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$27697$n629 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=UART_WR I1=int_tmr[19] I2=$abc$56607$n761 I3=$false O=$abc$56607$n779 +.gate SB_LUT4 I0=$abc$27697$n641_1 I1=$abc$27697$n642 I2=$abc$27697$n631 I3=$false O=$abc$27697$n630 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$56607$n782 I1=$abc$56607$n781 I2=$abc$56607$n1501_1 I3=$false O=$abc$56607$n938 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n589 I1=$abc$27697$n639 I2=$abc$27697$n632 I3=$abc$27697$n636 O=$abc$27697$n631 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$abc$27697$n633 I1=$abc$27697$n591 I2=$abc$27697$n1953 I3=$false O=$abc$27697$n632 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$27697$n635 I2=$abc$27697$n634 I3=$false O=$abc$27697$n633 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n761 I1=UART_WR I2=$abc$56607$n769 I3=$abc$56607$n753 O=$abc$56607$n781 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$27697$n634 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=int_tmr[19] I1=int_tmr[0] I2=UART_WR I3=$abc$56607$n761 O=$abc$56607$n782 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$27697$n635 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$abc$27697$n637 I3=$abc$27697$n638 O=$abc$27697$n636 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$27697$n634 I3=$false O=$abc$27697$n637 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[3] I2=i2c_input_data_type[1] I3=i2c_input_data_type[2] O=$abc$27697$n638 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=$abc$56607$n1501_1 I1=$abc$56607$n781 I2=$false I3=$false O=$abc$56607$n985 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$27697$n634 I1=$abc$27697$n640 I2=$false I3=$false O=$abc$27697$n639 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n22 I1=$3\report_wr_en[0:0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n992 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n670 I2=$false I3=$false O=$3\report_wr_en[0:0] +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$27697$n640 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$27697$n637 I3=$abc$27697$n607 O=$abc$27697$n641_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$abc$27697$n637 I2=I2C_INPUT_LEN[0] I3=$abc$27697$n591 O=$abc$27697$n642 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$27697$n644 I2=$false I3=$false O=$abc$27697$n643 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n787 I2=$false I3=$false O=$abc$56607$n999 +.gate SB_LUT4 I0=$abc$27697$n630 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$27697$n644 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n788 I1=$abc$56607$n21 I2=$abc$56607$n754 I3=$abc$56607$n742 O=$abc$56607$n787 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$56607$n751 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n788 +.gate SB_LUT4 I0=$abc$27697$n630 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$27697$n645 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n291 I1=$abc$27697$n19 I2=$false I3=$false O=$abc$27697$n467 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n757 I1=$abc$56607$n791 I2=$abc$56607$n770 I3=$false O=$abc$56607$n1014 +.gate SB_LUT4 I0=$abc$27697$n649 I1=$abc$27697$n657 I2=$false I3=$false O=$abc$27697$n478 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n917 I1=$abc$27697$n918 I2=$abc$27697$n650 I3=$false O=$abc$27697$n649 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$56607$n791 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n929 I1=$abc$27697$n656 I2=$abc$27697$n651_1 I3=$abc$27697$n1891 O=$abc$27697$n650 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n770 I1=$abc$56607$n793 I2=$false I3=$false O=$abc$56607$n1018 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$27697$n652 I1=$abc$27697$n1116 I2=$false I3=$false O=$abc$27697$n651_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n757 I1=$abc$56607$n791 I2=$abc$56607$n736 I3=$abc$56607$n733 O=$abc$56607$n793 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$27697$n1486 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$27697$n652 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n795 I2=$abc$56607$n1465 I3=$false O=$abc$56607$n1039 +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$27697$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$27697$n1486 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n754 I1=$abc$56607$n788 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n795 +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=$abc$27697$n511 I1=$abc$27697$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$27697$n656 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$56607$n1180 I1=$abc$56607$n795 I2=$false I3=$false O=$abc$56607$n1050 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n915 I1=$abc$27697$n658 I2=$false I3=$false O=$abc$27697$n657 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$27697$n1486 I3=$false O=$abc$27697$n658 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$27697$n649 I1=$abc$27697$n660 I2=$false I3=$false O=$abc$27697$n483 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n769 I2=$false I3=$false O=$abc$56607$n1180 +.gate SB_LUT4 I0=$abc$27697$n658 I1=$abc$27697$n915 I2=$false I3=$false O=$abc$27697$n660 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n808 I1=$abc$56607$n805 I2=$abc$56607$n806 I3=$abc$56607$n807 O=$abc$56607$n804 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$abc$56607$n806 I2=$abc$56607$n674 I3=I2C.byte_counter[3] O=$abc$56607$n805 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$56607$n806 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n649 I1=$abc$27697$n662 I2=$false I3=$false O=$abc$27697$n488 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n658 I1=$abc$27697$n915 I2=$false I3=$false O=$abc$27697$n662 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$27697$n649 I1=$abc$27697$n664 I2=$false I3=$false O=$abc$27697$n493 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n658 I1=$abc$27697$n915 I2=$false I3=$false O=$abc$27697$n664 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$56607$n674 I3=$false O=$abc$56607$n807 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n809 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$56607$n808 +.gate SB_LUT4 I0=$abc$27697$n666 I1=$abc$27697$n657 I2=$false I3=$false O=$abc$27697$n498 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$56607$n809 +.gate SB_LUT4 I0=$abc$27697$n918 I1=$abc$27697$n917 I2=$abc$27697$n650 I3=$false O=$abc$27697$n666 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n811 I1=$abc$56607$n755 I2=$false I3=$false O=$abc$56607$n810 +.gate SB_LUT4 I0=$abc$27697$n666 I1=$abc$27697$n660 I2=$false I3=$false O=$abc$27697$n503 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n812 I2=$abc$56607$n673 I3=$false O=$abc$56607$n811 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$56607$n807 I3=$false O=$abc$56607$n812 +.gate SB_LUT4 I0=$abc$27697$n666 I1=$abc$27697$n662 I2=$false I3=$false O=$abc$27697$n510 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n666 I1=$abc$27697$n664 I2=$false I3=$false O=$abc$27697$n524 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$27697$n1486 I3=$false O=$abc$27697$n527 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$56607$n815_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n673 I1=$abc$56607$n807 I2=I2C.is_read I3=$abc$56607$n754 O=$abc$56607$n816_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n741 I3=$false O=$abc$56607$n819 +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$27697$n672 I2=$false I3=$false O=$abc$27697$n533 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$27697$n672 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$56607$n822 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n826 I1=$abc$56607$n822 I2=$abc$56607$n829 I3=$abc$56607$n809 O=$abc$56607$n825 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=$abc$56607$n827 I3=I2C.received_byte[0] O=$abc$56607$n826 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=$abc$56607$n828 O=$abc$56607$n827 +.gate SB_LUT4 I0=$abc$27697$n674 I1=$abc$27697$n677 I2=$abc$27697$n672 I3=I2C.FLT_SCL.RESET O=$abc$27697$n542 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$false I3=$false O=$abc$56607$n828 +.gate SB_LUT4 I0=$abc$27697$n675 I1=UART.tx_activity I2=$false I3=$false O=$abc$27697$n674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n830 I1=I2C.received_byte[2] I2=$abc$56607$n827 I3=$false O=$abc$56607$n829 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$27697$n676 I3=$false O=$abc$27697$n675 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=i2c_input_data_type[0] I3=$false O=$abc$56607$n830 +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$27697$n1093 I1=$abc$27697$n1096 I2=$abc$27697$n1097 I3=$false O=$abc$27697$n676 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=i2c_input_data_type[0] I3=$abc$56607$n809 O=$abc$56607$n832 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n673 I2=$abc$56607$n834 I3=I2C.is_read O=$abc$56607$n833 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$56607$n828 I3=$abc$56607$n835 O=$abc$56607$n834 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$27697$n678 I2=$false I3=$false O=$abc$27697$n677 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$27697$n678 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$56607$n835 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$27697$n697 I1=KEYBOARD.report[4][1] I2=$abc$27697$n682 I3=$abc$27697$n692_1 O=$abc$27697$n681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n988 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n836 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$56607$n827 I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$56607$n841 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n753 I2=$abc$56607$n756 I3=$false O=$abc$56607$n1191 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=I2C.is_read I2=$abc$56607$n849 I3=$abc$56607$n988 O=$abc$56607$n848 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1513 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$56607$n849 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$56607$n854 I2=I2C_INPUT_LEN[1] I3=$false O=$abc$56607$n853 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$56607$n855 I3=$false O=$abc$56607$n854 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$56607$n855 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$abc$56607$n854 I2=I2C_INPUT_LEN[0] I3=$abc$56607$n815_1 O=$abc$56607$n856 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$56607$n858 I2=$abc$56607$n855 I3=$false O=$abc$56607$n857 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$56607$n858 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$56607$n854 I3=$abc$56607$n822 O=$abc$56607$n860 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$56607$n861 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$56607$n863 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n776 I3=$false O=$abc$56607$n1218 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n754 I1=$abc$56607$n819 I2=$abc$56607$n769 I3=$false O=$abc$56607$n1221 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n778 I1=$abc$56607$n867 I2=$false I3=$false O=$abc$56607$n1227 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n741 I1=UART.tx_activity I2=last_uart_active I3=$abc$56607$n988 O=$abc$56607$n867 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=$abc$56607$n746 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1232 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n769 I3=$abc$56607$n870 O=$abc$56607$n1236 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n774 I2=$abc$56607$n754 I3=$abc$56607$n988 O=$abc$56607$n870 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=$abc$56607$n358 I1=$abc$56607$n880 I2=$abc$56607$n872 I3=$false O=$abc$56607$n1248 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n360 I1=$abc$56607$n873_1 I2=$false I3=$false O=$abc$56607$n872 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n874 I1=$abc$56607$n878 I2=$abc$56607$n879 I3=$false O=$abc$56607$n873_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n874 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$56607$n2043 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$56607$n875 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$56607$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$56607$n2043 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11101111 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$56607$n14 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$56607$n877 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111111100010000 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$56607$n878 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n361 I1=$abc$56607$n372 I2=$abc$56607$n2529 I3=$false O=$abc$56607$n879 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$56607$n2043 I3=$false O=$abc$56607$n880 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$56607$n872 I1=$abc$56607$n882 I2=$false I3=$false O=$abc$56607$n1258 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$false I3=$false O=$abc$56607$n882 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$abc$56607$n872 I3=$false O=$abc$56607$n1268 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n872 I1=$abc$56607$n885 I2=$false I3=$false O=$abc$56607$n1278 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$false I3=$false O=$abc$56607$n885 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n358 I1=$abc$56607$n880 I2=$abc$56607$n887 I3=$false O=$abc$56607$n1283 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n873_1 I1=$abc$56607$n360 I2=$false I3=$false O=$abc$56607$n887 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n887 I1=$abc$56607$n882 I2=$false I3=$false O=$abc$56607$n1290 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$abc$56607$n887 I3=$false O=$abc$56607$n1297 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n887 I1=$abc$56607$n885 I2=$false I3=$false O=$abc$56607$n1302 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$56607$n2043 I3=$false O=$abc$56607$n1305 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$56607$n893 I2=$false I3=$false O=$abc$56607$n1315 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$56607$n893 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$56607$n895 I1=$abc$56607$n898 I2=$abc$56607$n893 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1320 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n896 I1=UART.tx_activity I2=$false I3=$false O=$abc$56607$n895 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$56607$n897 I3=$false O=$abc$56607$n896 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$56607$n1541 I1=$abc$56607$n1544 I2=$abc$56607$n1545 I3=$false O=$abc$56607$n897 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$56607$n899 I2=$false I3=$false O=$abc$56607$n898 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$56607$n899 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n1475 I1=$abc$56607$n1495 I2=$abc$56607$n901_1 I3=$abc$56607$n1477 O=$abc$56607$n1328 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n928 I2=$abc$56607$n926 I3=$false O=$abc$56607$n901_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$56607$n912 I1=$abc$56607$n905 I2=$false I3=$false O=$abc$56607$n904 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n906 I1=$abc$56607$n909 I2=$false I3=$false O=$abc$56607$n905 +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$27697$n690_1 I1=$abc$27697$n691_1 I2=$abc$27697$n683 I3=$false O=$abc$27697$n682 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$27697$n684 I1=$abc$27697$n687 I2=$false I3=$false O=$abc$27697$n683 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n907 I1=$abc$56607$n908 I2=$false I3=$false O=$abc$56607$n906 +.gate SB_LUT4 I0=$abc$27697$n685 I1=$abc$27697$n686 I2=$false I3=$false O=$abc$27697$n684 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$56607$n907 +.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$27697$n685 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$56607$n908 +.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$27697$n686 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n910 I1=$abc$56607$n911 I2=$false I3=$false O=$abc$56607$n909 +.gate SB_LUT4 I0=$abc$27697$n688 I1=$abc$27697$n689_1 I2=$false I3=$false O=$abc$27697$n687 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$56607$n910 +.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$27697$n688 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$56607$n911 +.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$27697$n689_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n913 I1=$abc$56607$n914 I2=$false I3=$false O=$abc$56607$n912 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$56607$n913 +.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$27697$n690_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$56607$n914 +.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$27697$n691_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n916 I1=$abc$56607$n920 I2=$abc$56607$n923 I3=$false O=$abc$56607$n915 +.gate SB_LUT4 I0=$abc$27697$n693 I1=$abc$27697$n44 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n692_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n917 I1=$abc$56607$n2571 I2=$abc$56607$n2572 I3=$false O=$abc$56607$n916 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n694_1 I1=$abc$27697$n1946 I2=$abc$27697$n1947 I3=$false O=$abc$27697$n693 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n918 I1=$abc$56607$n919 I2=KEYBOARD.kbd_code_hid[3] I3=$false O=$abc$56607$n917 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n918 +.gate SB_LUT4 I0=$abc$27697$n695_1 I1=$abc$27697$n696 I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.kbd_code_hid[7] O=$abc$27697$n694_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n695_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.kbd_code_hid[7] O=$abc$56607$n919 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=$abc$56607$n922 I2=$abc$56607$n921 I3=$false O=$abc$56607$n920 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[3] I3=$false O=$abc$27697$n696 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n921 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.kbd_code_hid[5] O=$abc$56607$n922 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$abc$56607$n924 I3=$abc$56607$n925 O=$abc$56607$n923 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[5] I1=KEYBOARD.init_ram_cnt[6] I2=KEYBOARD.init_ram_cnt[7] I3=$false O=$abc$56607$n924 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=KEYBOARD.init_ram_cnt[3] I2=KEYBOARD.init_ram_cnt[4] I3=KEYBOARD.init_ram_cnt[8] O=$abc$56607$n925 +.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][2] I2=KEYBOARD.report[4][3] I3=$abc$27697$n698_1 O=$abc$27697$n697 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n905 I2=$abc$56607$n927 I3=$false O=$abc$56607$n926 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n915 I1=I2C.FLT_SCL.RESET I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n927 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n929 I1=$abc$56607$n930 I2=$false I3=$false O=$abc$56607$n928 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$56607$n929 +.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$27697$n698_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$56607$n930 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n937 I1=$abc$56607$n938_1 I2=$abc$56607$n933 I3=$false O=$abc$56607$n932 +.gate SB_LUT4 I0=$abc$27697$n700 I1=$abc$27697$n703 I2=$abc$27697$n704 I3=$false O=$abc$27697$n699 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n928 I1=$abc$56607$n934 I2=$false I3=$false O=$abc$56607$n933 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n935 I1=$abc$56607$n936 I2=$false I3=$false O=$abc$56607$n934 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n701 I1=$abc$27697$n702_1 I2=$false I3=$false O=$abc$27697$n700 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=kbd_report[5][4] I1=kbd_report[5][5] I2=kbd_report[5][6] I3=kbd_report[5][7] O=$abc$56607$n935 +.gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$27697$n701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=kbd_report[5][0] I1=kbd_report[5][1] I2=kbd_report[5][2] I3=kbd_report[5][3] O=$abc$56607$n936 +.gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$27697$n702_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$56607$n937 +.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$27697$n703 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$56607$n938_1 +.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$27697$n704 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n943 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n1490 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[6][7] I2=$false I3=$false O=$abc$27697$n710 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n923 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$abc$56607$n943 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n945 I1=$abc$56607$n946 I2=$abc$56607$n947 I3=$abc$56607$n948 O=$abc$56607$n944 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[6][4] I2=KEYBOARD.report[6][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n945 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[6][6] I2=KEYBOARD.report[6][5] I3=KEYBOARD.kbd_code_hid[5] O=$abc$56607$n946 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[6][2] I2=KEYBOARD.report[6][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n947 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[6][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[6][6] O=$abc$27697$n712 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[6][7] I2=KEYBOARD.report[6][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n948 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[6][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[6][4] O=$abc$27697$n713_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n950 I1=$abc$56607$n951 I2=$abc$56607$n901_1 I3=$abc$56607$n958 O=$abc$56607$n1407 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n933 I2=$false I3=$false O=$abc$56607$n950 +.gate SB_LUT4 I0=$abc$27697$n25 I1=$abc$27697$n692_1 I2=$false I3=$false O=$abc$27697$n714 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n953 I1=$abc$56607$n952 I2=$false I3=$false O=$abc$56607$n951 +.gate SB_LUT4 I0=$abc$27697$n25 I1=$abc$27697$n681 I2=$false I3=$false O=$abc$27697$n716 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n927 I2=$false I3=$false O=$abc$56607$n952 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n954 I1=$abc$56607$n955 I2=$abc$56607$n956 I3=$abc$56607$n957 O=$abc$56607$n953 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=kbd_report[5][7] I2=kbd_report[5][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n954 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[5][0] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.report[5][1] O=$abc$27697$n720 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=kbd_report[5][6] I2=kbd_report[5][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n955 +.gate SB_LUT4 I0=$abc$27697$n716 I1=$abc$27697$n1138_1 I2=$abc$27697$n732_1 I3=$abc$27697$n692_1 O=$abc$27697$n618 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=kbd_report[5][4] I2=KEYBOARD.kbd_code_hid[5] I3=kbd_report[5][5] O=$abc$56607$n956 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[4][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[4][2] O=$abc$27697$n727 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=kbd_report[5][2] I2=kbd_report[5][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n957 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[4][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[4][6] O=$abc$27697$n730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n1495 I1=$abc$56607$n959 I2=$false I3=$false O=$abc$56607$n958 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n915 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n959 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n928 I2=$abc$56607$n958 I3=$abc$56607$n961 O=$abc$56607$n1422 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$56607$n952 I1=$abc$56607$n962 I2=$abc$56607$n926 I3=$false O=$abc$56607$n961 +.gate SB_LUT4 I0=$abc$27697$n25 I1=$abc$27697$n682 I2=$abc$27697$n692_1 I3=$false O=$abc$27697$n732_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$56607$n963 I1=$abc$56607$n964 I2=$abc$56607$n965 I3=$abc$56607$n966 O=$abc$56607$n962 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[4][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[4][5] O=$abc$56607$n963 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[4][6] I2=KEYBOARD.report[4][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n964 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[4][7] I2=KEYBOARD.report[4][2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$56607$n965 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=KEYBOARD.report[4][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n966 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[3][0] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.report[3][1] O=$abc$27697$n741_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n968 I1=$abc$56607$n1494 I2=$abc$56607$n926 I3=$abc$56607$n959 O=$abc$56607$n1431 +.gate SB_LUT4 I0=$abc$27697$n745 I1=$abc$27697$n744 I2=$abc$27697$n25 I3=$abc$27697$n692_1 O=$abc$27697$n641 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n969 I1=$abc$56607$n952 I2=$false I3=$false O=$abc$56607$n968 +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$27697$n684 I1=$abc$27697$n687 I2=$false I3=$false O=$abc$27697$n744 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n970 I1=$abc$56607$n971 I2=$abc$56607$n972 I3=$abc$56607$n973 O=$abc$56607$n969 +.gate SB_LUT4 I0=$abc$27697$n746_1 I1=$abc$27697$n747 I2=$abc$27697$n748 I3=$abc$27697$n749 O=$abc$27697$n745 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[3][5] O=$abc$56607$n970 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[2][1] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[2][3] O=$abc$27697$n746_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[3][7] I2=KEYBOARD.report[3][4] I3=KEYBOARD.kbd_code_hid[4] O=$abc$56607$n971 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[2][0] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[2][4] O=$abc$27697$n747 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[3][2] I2=KEYBOARD.report[3][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n972 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[2][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[2][6] O=$abc$27697$n748 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[3][6] I2=KEYBOARD.report[3][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n973 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[2][2] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[2][7] O=$abc$27697$n749 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n952 I1=$abc$56607$n976 I2=$abc$56607$n959 I3=$abc$56607$n975 O=$abc$56607$n1435 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=$abc$56607$n906 I1=$abc$56607$n909 I2=$abc$56607$n27 I3=$abc$56607$n927 O=$abc$56607$n975 +.gate SB_LUT4 I0=$abc$27697$n751_1 I1=$abc$27697$n684 I2=$abc$27697$n25 I3=$abc$27697$n692_1 O=$abc$27697$n651 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$56607$n977 I1=$abc$56607$n978 I2=$abc$56607$n979_1 I3=$abc$56607$n980 O=$abc$56607$n976 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[2][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n977 +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[1][4] I2=$abc$27697$n752_1 I3=$abc$27697$n755_1 O=$abc$27697$n751_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.report[2][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n978 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[1][7] I2=$abc$27697$n753 I3=$abc$27697$n754_1 O=$abc$27697$n752_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[2][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$56607$n979_1 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[1][3] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[1][2] O=$abc$27697$n753 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[2][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n980 +.param LUT_INIT 1011000000001011 +.gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[1][6] O=$abc$27697$n754_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n1516 I1=$abc$56607$n989 I2=$abc$56607$n959 I3=$false O=$abc$56607$n1450 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[1][2] I2=$abc$56607$n986 I3=$abc$56607$n987 O=$abc$56607$n985_1 +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=$abc$27697$n756 I3=$abc$27697$n757 O=$abc$27697$n755_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n986 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$27697$n756 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.report[1][5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[1][4] O=$abc$56607$n987 +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=KEYBOARD.report[1][0] O=$abc$27697$n757 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n909 I2=$abc$56607$n927 I3=$false O=$abc$56607$n989 +.gate SB_LUT4 I0=$abc$27697$n693 I1=$abc$27697$n44 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n659 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n920 I1=$abc$56607$n916 I2=$abc$56607$n943 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1457 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000011111111 -.gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n1490 I2=$abc$56607$n1484 I3=$false O=$abc$56607$n1462 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$27697$n29 I1=$abc$27697$n1699 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n665 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$56607$n923 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n1484 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n994 I2=$abc$56607$n1490 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1470 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n682 I2=$abc$56607$n683 I3=$abc$56607$n690 O=$abc$56607$n994 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$27697$n515 I1=$abc$27697$n516 I2=$abc$27697$n517 I3=$abc$27697$n524_1 O=$abc$27697$n1699 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n1490 I2=$false I3=$false O=$abc$56607$n1471 +.gate SB_LUT4 I0=$abc$27697$n29 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$27697$n667 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n976 I1=$abc$56607$n1516 I2=$abc$56607$n998 I3=$abc$56607$n997 O=$abc$56607$n1483 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010111111 -.gate SB_LUT4 I0=$abc$56607$n920 I1=$abc$56607$n916 I2=$abc$56607$n943 I3=I2C.FLT_SCL.RESET O=$abc$56607$n997 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=$abc$56607$n944 I1=$abc$56607$n953 I2=$abc$56607$n962 I3=$abc$56607$n969 O=$abc$56607$n998 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n33 I1=KEYBOARD.row_time[0] I2=$abc$56607$n1490 I3=$false O=$abc$56607$n1489 +.gate SB_LUT4 I0=$abc$27697$n29 I1=KEYBOARD.row_time[0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n670 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 -.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1492 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$56607$n2481 I1=$abc$56607$n1632 I2=$abc$56607$n1004 I3=$false O=$abc$56607$n1501 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n1003 I1=$abc$56607$n1635 I2=$false I3=$false O=$abc$56607$n2481 +.gate SB_LUT4 I0=$abc$27697$n1293 I1=$abc$27697$n766 I2=$false I3=$false O=$abc$27697$n679 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$27697$n765 I1=$abc$27697$n1057 I2=$false I3=$false O=$abc$27697$n1293 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$56607$n1003 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$27697$n765 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n2480 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$56607$n1004 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$56607$n2480 +.gate SB_LUT4 I0=$abc$27697$n1292 I1=$abc$27697$n1054 I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$27697$n766 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$27697$n1292 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$56607$n1003 I3=$false O=$abc$56607$n1502 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$27697$n765 I3=$false O=$abc$27697$n680 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$56607$n2484 I1=$abc$56607$n1010 I2=$false I3=$false O=$abc$56607$n1511 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1009 I1=$abc$56607$n1639 I2=$false I3=$false O=$abc$56607$n2484 +.gate SB_LUT4 I0=$abc$27697$n1296 I1=$abc$27697$n1058 I2=$abc$27697$n772_1 I3=$false O=$abc$27697$n689 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n771_1 I1=$abc$27697$n1061 I2=$false I3=$false O=$abc$27697$n1296 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$56607$n1009 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$27697$n771_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n2483 I1=$abc$56607$n1636 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$56607$n1010 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$56607$n2483 +.gate SB_LUT4 I0=$abc$27697$n1295 I1=I2C.FLT_SCL.out I2=I2C.SCLF I3=$false O=$abc$27697$n772_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$27697$n1295 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$56607$n1009 I3=$false O=$abc$56607$n1512 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$27697$n771_1 I3=$false O=$abc$27697$n690 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$56607$n1520 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$27697$n741 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111111 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$27697$n1072 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$56607$n1521 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$27697$n1073 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$56607$n1523 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$27697$n1075 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$56607$n1524 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$27697$n1076 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$56607$n1526 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$27697$n1078 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$56607$n1527 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$27697$n1079 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$56607$n1529 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$27697$n1081 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$56607$n1530 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$27697$n1082 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][0] I2=$false I3=$false O=$10\int_tmr[19:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[19:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][2] I2=$false I3=$false O=$10\int_tmr[19:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][3] I2=$false I3=$false O=$10\int_tmr[19:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][4] I2=$false I3=$false O=$10\int_tmr[19:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][5] I2=$false I3=$false O=$10\int_tmr[19:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][6] I2=$false I3=$false O=$10\int_tmr[19:0][6] +.gate SB_LUT4 I0=KEYBOARD.RAM.last_we I1=KEYBOARD.ram_wr I2=$false I3=$false O=$abc$27697$n1228 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][7] I2=$false I3=$false O=$10\int_tmr[19:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][8] I2=$false I3=$false O=$10\int_tmr[19:0][8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][9] I2=$false I3=$false O=$10\int_tmr[19:0][9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][10] I2=$false I3=$false O=$10\int_tmr[19:0][10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][11] I2=$false I3=$false O=$10\int_tmr[19:0][11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][12] I2=$false I3=$false O=$10\int_tmr[19:0][12] +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$27697$n1283 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$27697$n1284 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$27697$n1285 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001011 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$27697$n1287 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111110110000 +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$27697$n1288 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$27697$n1289 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=$abc$27697$n765 I1=$abc$27697$n1054 I2=$false I3=$false O=$abc$27697$n1291 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][13] I2=$false I3=$false O=$10\int_tmr[19:0][13] +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$27697$n771_1 I1=$abc$27697$n1058 I2=$false I3=$false O=$abc$27697$n1294 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][14] I2=$false I3=$false O=$10\int_tmr[19:0][14] +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$27697$n797 I1=$abc$27697$n792 I2=$abc$27697$n796 I3=$abc$27697$n496 O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=$abc$27697$n1096_1 I2=$abc$27697$n629 I3=$abc$27697$n793 O=$abc$27697$n792 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000011101110 +.gate SB_LUT4 I0=$abc$27697$n629 I1=$abc$27697$n645 I2=$abc$27697$n644 I3=$abc$27697$n794 O=$abc$27697$n793 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001110 +.gate SB_LUT4 I0=$abc$27697$n630 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$27697$n794 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][15] I2=$false I3=$false O=$10\int_tmr[19:0][15] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$27697$n1096_1 I2=$abc$27697$n645 I3=$abc$27697$n793 O=$abc$27697$n796 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000011101110 +.gate SB_LUT4 I0=$abc$27697$n793 I1=$abc$27697$n1096_1 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$27697$n797 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n792 I1=$abc$27697$n496 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][16] I2=$false I3=$false O=$10\int_tmr[19:0][16] +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$27697$n796 I1=$abc$27697$n496 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][17] I2=$false I3=$false O=$10\int_tmr[19:0][17] +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$27697$n797 I1=$abc$27697$n496 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][18] I2=$false I3=$false O=$10\int_tmr[19:0][18] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n576 I1=$abc$27697$n572 I2=$false I3=$false O=$2\UART_WR[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=int_tmr[19] I1=$abc$56607$n1733 I2=$abc$56607$n667 I3=$false O=$10\int_tmr[19:0][19] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$56607$n1042 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$27697$n803 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$abc$27697$n1367 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=REPORT_DATA.r_data[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$56607$n1042 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=I2C_TX_REPORT[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$27697$n803 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1044 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] +.gate SB_LUT4 I0=$abc$27697$n805 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$abc$27697$n1368 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=REPORT_DATA.r_data[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$56607$n1044 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=I2C_TX_REPORT[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$27697$n805 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1046 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] +.gate SB_LUT4 I0=$abc$27697$n807 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$abc$27697$n1369 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=REPORT_DATA.r_data[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$56607$n1046 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=I2C_TX_REPORT[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$27697$n807 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1048 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] +.gate SB_LUT4 I0=$abc$27697$n809 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$abc$27697$n1370 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=REPORT_DATA.r_data[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$56607$n1048 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=I2C_TX_REPORT[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$27697$n809 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1050_1 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] +.gate SB_LUT4 I0=$abc$27697$n811 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$abc$27697$n1371 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=REPORT_DATA.r_data[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$56607$n1050_1 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=I2C_TX_REPORT[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$27697$n811 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1052 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] +.gate SB_LUT4 I0=$abc$27697$n813 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$abc$27697$n1372 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=REPORT_DATA.r_data[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$56607$n1052 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=I2C_TX_REPORT[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$27697$n813 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1054 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] +.gate SB_LUT4 I0=$abc$27697$n815 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$abc$27697$n1373 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=REPORT_DATA.r_data[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$56607$n1054 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=I2C_TX_REPORT[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$27697$n815 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1056 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] +.gate SB_LUT4 I0=$abc$27697$n817 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$abc$27697$n1374 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=REPORT_DATA.r_data[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$56607$n1056 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=I2C_TX_REPORT[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$27697$n817 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n774 I1=$abc$56607$n747 I2=$false I3=$false O=$2\UART_WR[0:0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$56607$n1811 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n763 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=ring_rd[2] I1=$abc$56607$n1817 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][2] +.gate SB_LUT4 I0=$abc$27697$n825 I1=$abc$27697$n629 I2=$abc$27697$n819 I3=$false O=$0\i2c_input_data_type[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=ring_rd[3] I1=$abc$56607$n1820 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=report_data_radr[1] I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$abc$56607$n1824 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n1827 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$56607$n21 O=$0\report_data_radr[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$abc$56607$n1830 I1=$abc$56607$n2545 I2=$abc$56607$n2543 I3=$abc$56607$n21 O=$0\report_data_radr[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$56607$n2545 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$56607$n2543 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n1832 I1=$abc$56607$n1833 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n1835 I1=$abc$56607$n1836 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n1838 I1=$abc$56607$n1839 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n849 I1=$abc$56607$n1075 I2=$abc$56607$n1072 I3=$abc$56607$n745 O=$0\i2c_input_data_type[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$56607$n1073 I1=I2C.received_byte[0] I2=I2C.received_byte[4] I3=$abc$56607$n1074 O=$abc$56607$n1072 +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$27697$n820 I1=I2C.received_byte[0] I2=I2C.received_byte[4] I3=$abc$27697$n822 O=$abc$27697$n819 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000001110111 -.gate SB_LUT4 I0=$abc$56607$n826 I1=$abc$56607$n2580 I2=$abc$56607$n2570 I3=$abc$56607$n21 O=$abc$56607$n1073 +.gate SB_LUT4 I0=$abc$27697$n821 I1=$abc$27697$n615 I2=$abc$27697$n574 I3=$abc$27697$n1959 O=$abc$27697$n820 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=$abc$56607$n812 I1=$abc$56607$n828 I2=$abc$56607$n835 I3=$false O=$abc$56607$n1074 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$56607$n745 I2=$false I3=$false O=$abc$56607$n1075 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n1075 I1=$abc$56607$n1077 I2=$abc$56607$n1078 I3=$false O=$0\i2c_input_data_type[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11111000 -.gate SB_LUT4 I0=$abc$56607$n1513 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$56607$n1077 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$56607$n1073 I2=$abc$56607$n1079 I3=$abc$56607$n745 O=$abc$56607$n1078 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$27697$n606 I2=$abc$27697$n608 I3=$abc$27697$n1950 O=$abc$27697$n821 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111100000000000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$56607$n1074 I3=$false O=$abc$56607$n1079 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$27697$n823 I1=$abc$27697$n824 I2=$abc$27697$n574 I3=$false O=$abc$27697$n822 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$56607$n1075 I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=$abc$56607$n1081 O=$0\i2c_input_data_type[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=$abc$56607$n1079 I1=$abc$56607$n1082 I2=$abc$56607$n745 I3=$false O=$abc$56607$n1081 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n603 I3=$false O=$abc$27697$n823 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$56607$n1073 I1=I2C.received_byte[2] I2=$abc$56607$n834 I3=$abc$56607$n812 O=$abc$56607$n1082 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1075 I1=i2c_input_data_type[3] I2=$abc$56607$n1513 I3=$abc$56607$n1084 O=$0\i2c_input_data_type[3:0][3] +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$abc$27697$n608 O=$abc$27697$n824 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=$abc$56607$n1073 I1=$abc$56607$n745 I2=I2C.received_byte[3] I3=$false O=$abc$56607$n1084 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$abc$27697$n574 I2=$false I3=$false O=$abc$27697$n825 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$27697$n825 I1=$abc$27697$n645 I2=$abc$27697$n827_1 I3=$false O=$0\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n764 I1=$abc$56607$n1086 I2=ring_wr[0] I3=$abc$56607$n1902 O=$2\ring_wr[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111011101000000 -.gate SB_LUT4 I0=$abc$56607$n49 I1=ring_rd[3] I2=$abc$56607$n1911 I3=$abc$56607$n1087 O=$abc$56607$n1086 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$56607$n1902 I2=ring_rd[2] I3=$abc$56607$n1908 O=$abc$56607$n1087 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n1089 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$27697$n820 I1=I2C.received_byte[1] I2=$abc$27697$n828 I3=$false O=$abc$27697$n827_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=$abc$56607$n764 I1=ring_wr[0] I2=$abc$56607$n1086 I3=$false O=$abc$56607$n1089 +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$27697$n822 I3=$false O=$abc$27697$n828 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 -.gate SB_LUT4 I0=ring_wr[2] I1=$abc$56607$n1908 I2=$abc$56607$n1089 I3=$false O=$2\ring_wr[3:0][2] +.gate SB_LUT4 I0=$abc$27697$n825 I1=$abc$27697$n644 I2=$abc$27697$n830_1 I3=$false O=$0\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=ring_wr[3] I1=$abc$56607$n1911 I2=$abc$56607$n1089 I3=$false O=$2\ring_wr[3:0][3] +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$27697$n820 I1=I2C.received_byte[2] I2=$abc$27697$n831 I3=$false O=$abc$27697$n830_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n1479 I1=$abc$56607$n1093 I2=$abc$56607$n1097 I3=$abc$56607$n1099_1 O=$2\I2C_OUT_DESC_MASK[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=$abc$56607$n1478 I2=$abc$56607$n1096 I3=$abc$56607$n1095 O=$abc$56607$n1093 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011110001 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=i2c_input_data_type[0] O=$abc$56607$n1095 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=i2c_input_data_type[1] O=$abc$56607$n1096 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$56607$n1478 I2=$abc$56607$n1095 I3=$abc$56607$n1096 O=$abc$56607$n1097 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=$abc$27697$n822 I3=$false O=$abc$27697$n831 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$27697$n794 I1=$abc$27697$n825 I2=$abc$27697$n820 I3=I2C.received_byte[3] O=$0\i2c_input_data_type[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011110001 -.gate SB_LUT4 I0=$abc$56607$n670 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n1099_1 +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1393 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n1093 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n574 I3=$false O=$2\I2C_INPUT_LEN[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1396 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$56607$n1097 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1398 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$56607$n1479 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1400 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n791 I1=$abc$56607$n1894 I2=$abc$56607$n670 I3=$false O=$2\wr_cnt[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=$abc$56607$n1897 I3=$false O=$2\wr_cnt[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=$abc$56607$n1899 I3=$false O=$2\wr_cnt[3:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][0] I2=$abc$56607$n1114 I3=KEYBOARD.report[2][0] O=$abc$56607$n1111 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1113_1 I1=$abc$56607$n312 I2=$false I3=$false O=$abc$56607$n1112 +.gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1402 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1404 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1406 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$27697$n1097_1 I1=$abc$27697$n1408 I2=$false I3=$false O=$0\rststate[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$27697$n1097_1 I1=$abc$27697$n1410 I2=$false I3=$false O=$0\rststate[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$27697$n1097_1 I1=$abc$27697$n1412 I2=$false I3=$false O=$0\rststate[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$27697$n1097_1 I1=$abc$27697$n1414 I2=$false I3=$false O=$0\rststate[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$56607$n305 I3=$false O=$abc$56607$n1113_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n305 I1=$abc$56607$n312 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$56607$n1114 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$27697$n851_1 I1=KEYBOARD.report[0][0] I2=$abc$27697$n849 I3=$abc$27697$n847_1 O=$abc$27697$n1476 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$56607$n312 I1=$abc$56607$n1113_1 I2=$false I3=$false O=$abc$56607$n1115 +.param LUT_INIT 0101110000000000 +.gate SB_LUT4 I0=$abc$27697$n613 I1=$abc$27697$n1098 I2=$false I3=$false O=$abc$27697$n847_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$56607$n1116 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][0] I2=KEYBOARD.report[4][0] I3=$abc$56607$n1119 O=$abc$56607$n1117 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n312 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$56607$n305 O=$abc$56607$n1118 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$56607$n305 I1=wr_cnt[1] I2=wr_cnt[0] I3=$abc$56607$n312 O=$abc$56607$n1119 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$56607$n305 I3=$abc$56607$n312 O=$abc$56607$n1120 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0110000000001001 -.gate SB_LUT4 I0=$abc$56607$n305 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$56607$n312 O=$abc$56607$n1121 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1124 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1126 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=wr_cnt[1] I1=$abc$56607$n321 I2=wr_cnt[2] I3=$false O=$abc$56607$n1127 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1128 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n1130 I2=$false I3=$false O=$abc$56607$n1129 +.gate SB_LUT4 I0=$abc$27697$n823 I1=$abc$27697$n850_1 I2=$false I3=$false O=$abc$27697$n849 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$56607$n1130 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n1132 I1=$abc$56607$n670 I2=$false I3=$false O=$3\report_data_wr[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n1133 I1=$abc$56607$n1139 I2=$abc$56607$n1130 I3=$abc$56607$n1116 O=$abc$56607$n1132 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n866 I3=$abc$27697$n873 O=$abc$27697$n850_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110000000101 -.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][1] I2=$abc$56607$n1134 I3=$false O=$abc$56607$n1133 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][1] I2=$abc$56607$n1135 I3=$abc$56607$n1138 O=$abc$56607$n1134 +.param LUT_INIT 1001000000000110 +.gate SB_LUT4 I0=$abc$27697$n860 I1=KEYBOARD.report[5][0] I2=$abc$27697$n852 I3=$abc$27697$n857_1 O=$abc$27697$n851_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][1] I2=$abc$56607$n1136 I3=$false O=$abc$56607$n1135 +.gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][0] I2=$abc$27697$n853_1 I3=$false O=$abc$27697$n852 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][1] I2=$abc$56607$n1137 I3=$false O=$abc$56607$n1136 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$56607$n1121 I1=KEYBOARD.report[6][1] I2=$false I3=$false O=$abc$56607$n1137 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][1] I2=$abc$56607$n1118 I3=KEYBOARD.report[3][1] O=$abc$56607$n1138 +.gate SB_LUT4 I0=$abc$27697$n854_1 I1=KEYBOARD.report[6][0] I2=$abc$27697$n855 I3=KEYBOARD.report[4][0] O=$abc$27697$n853_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1140 I1=$abc$56607$n1145 I2=KEYBOARD.report[0][1] I3=$abc$56607$n1124 O=$abc$56607$n1139 +.gate SB_LUT4 I0=$abc$27697$n866 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$abc$27697$n873 O=$abc$27697$n854_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001110111 -.gate SB_LUT4 I0=$abc$56607$n1141 I1=$abc$56607$n1144 I2=$false I3=$false O=$abc$56607$n1140 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1142 I1=KEYBOARD.report[6][1] I2=$abc$56607$n1143 I3=KEYBOARD.report[4][1] O=$abc$56607$n1141 +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$27697$n866 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$27697$n873 O=$abc$27697$n855 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1142 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n321 I1=wr_cnt[2] I2=wr_cnt[1] I3=$false O=$abc$56607$n1143 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[2][1] I3=$abc$56607$n1127 O=$abc$56607$n1144 +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=$abc$27697$n866 I1=$abc$27697$n873 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$27697$n856 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1128 I1=kbd_report[5][1] I2=$abc$56607$n1146 I3=KEYBOARD.report[3][1] O=$abc$56607$n1145 +.param LUT_INIT 0001000000000001 +.gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][0] I2=$abc$27697$n859 I3=KEYBOARD.report[1][0] O=$abc$27697$n857_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1146 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1148 I1=$abc$56607$n1482 I2=$abc$56607$n1116 I3=$abc$56607$n1129 O=$3\report_data_wr[7:0][2] +.gate SB_LUT4 I0=$abc$27697$n873 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$abc$27697$n866 O=$abc$27697$n858 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$56607$n1149 I1=$abc$56607$n1152 I2=KEYBOARD.report[0][2] I3=$abc$56607$n1120 O=$abc$56607$n1148 +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$27697$n873 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$27697$n866 O=$abc$27697$n859 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001110111 -.gate SB_LUT4 I0=$abc$56607$n1150 I1=$abc$56607$n1151 I2=$false I3=$false O=$abc$56607$n1149 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][2] I2=$abc$56607$n1118 I3=KEYBOARD.report[3][2] O=$abc$56607$n1150 +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n866 I3=$abc$27697$n873 O=$abc$27697$n860 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][2] I2=KEYBOARD.report[2][2] I3=$abc$56607$n1114 O=$abc$56607$n1151 +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=$abc$27697$n1956 I1=$abc$27697$n862 I2=$abc$27697$n615 I3=$abc$27697$n1961 O=$abc$27697$n1477 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][2] I2=KEYBOARD.report[6][2] I3=$abc$56607$n1121 O=$abc$56607$n1152 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$27697$n863 I1=KEYBOARD.report[0][1] I2=$abc$27697$n849 I3=$abc$27697$n867 O=$abc$27697$n862 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1524_1 I1=$abc$56607$n1130 I2=$abc$56607$n1483_1 I3=$abc$56607$n670 O=$3\report_data_wr[7:0][3] +.param LUT_INIT 0101110000000000 +.gate SB_LUT4 I0=$abc$27697$n860 I1=KEYBOARD.report[5][1] I2=$abc$27697$n864 I3=$abc$27697$n866_1 O=$abc$27697$n863 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][3] I2=$abc$56607$n1164 I3=$false O=$abc$56607$n1163 +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][1] I2=$abc$27697$n865 I3=$false O=$abc$27697$n864 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][3] I2=$abc$56607$n1114 I3=KEYBOARD.report[2][3] O=$abc$56607$n1164 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1484_1 I1=$abc$56607$n1177 I2=$abc$56607$n1129 I3=$false O=$3\report_data_wr[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$56607$n1120 I2=$abc$56607$n1178 I3=$abc$56607$n1116 O=$abc$56607$n1177 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$56607$n1179 I1=$abc$56607$n1180_1 I2=$abc$56607$n1181 I3=$false O=$abc$56607$n1178 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=kbd_report[5][4] I1=KEYBOARD.report[1][4] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1179 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][4] I2=KEYBOARD.report[6][4] I3=$abc$56607$n1121 O=$abc$56607$n1180_1 +.gate SB_LUT4 I0=$abc$27697$n854_1 I1=KEYBOARD.report[6][1] I2=$abc$27697$n855 I3=KEYBOARD.report[4][1] O=$abc$27697$n865 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][4] I2=KEYBOARD.report[4][4] I3=$abc$56607$n1119 O=$abc$56607$n1181 +.gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][1] I2=$abc$27697$n859 I3=KEYBOARD.report[1][1] O=$abc$27697$n866_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1485 I1=$abc$56607$n1188 I2=$abc$56607$n1129 I3=$false O=$3\report_data_wr[7:0][5] +.gate SB_LUT4 I0=$abc$27697$n613 I1=I2C.byte_counter[0] I2=$abc$27697$n602 I3=$false O=$abc$27697$n867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$56607$n1120 I2=$abc$56607$n1189 I3=$abc$56607$n1116 O=$abc$56607$n1188 +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][2] I2=$abc$27697$n869 I3=$abc$27697$n847_1 O=$abc$27697$n1478 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$56607$n1190 I1=$abc$56607$n1191_1 I2=$abc$56607$n1192 I3=$false O=$abc$56607$n1189 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=kbd_report[5][5] I1=KEYBOARD.report[1][5] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1190 +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$27697$n855 I1=KEYBOARD.report[4][2] I2=$abc$27697$n849 I3=$abc$27697$n870 O=$abc$27697$n869 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][2] I2=$abc$27697$n871 I3=$abc$27697$n872 O=$abc$27697$n870 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[6][5] I3=$abc$56607$n1121 O=$abc$56607$n1191_1 +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][2] I2=KEYBOARD.report[5][2] I3=$abc$27697$n860 O=$abc$27697$n871 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[4][5] I3=$abc$56607$n1119 O=$abc$56607$n1192 +.gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][2] I2=KEYBOARD.report[6][2] I3=$abc$27697$n854_1 O=$abc$27697$n872 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][6] I2=$abc$56607$n1124 I3=$abc$56607$n1202 O=$abc$56607$n1201 +.gate SB_LUT4 I0=$abc$27697$n1956 I1=$abc$27697$n874 I2=$abc$27697$n615 I3=$abc$27697$n1961 O=$abc$27697$n1479 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][3] I2=$abc$27697$n875 I3=$abc$27697$n867 O=$abc$27697$n874 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$56607$n1143 I1=KEYBOARD.report[4][6] I2=$abc$56607$n1203 I3=$abc$56607$n1204 O=$abc$56607$n1202 +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$27697$n855 I1=KEYBOARD.report[4][3] I2=$abc$27697$n849 I3=$abc$27697$n876 O=$abc$27697$n875 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$56607$n1128 I1=kbd_report[5][6] I2=KEYBOARD.report[6][6] I3=$abc$56607$n1142 O=$abc$56607$n1203 +.gate SB_LUT4 I0=$abc$27697$n860 I1=KEYBOARD.report[5][3] I2=$abc$27697$n877_1 I3=$abc$27697$n878 O=$abc$27697$n876 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1127 I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[3][6] I3=$abc$56607$n1146 O=$abc$56607$n1204 +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][3] I2=$abc$27697$n859 I3=KEYBOARD.report[1][3] O=$abc$27697$n877_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][7] I2=$abc$56607$n1213 I3=$abc$56607$n1210 O=$abc$56607$n1209 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][7] I2=$abc$56607$n1211_1 I3=$abc$56607$n1212 O=$abc$56607$n1210 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][7] I2=$false I3=$false O=$abc$56607$n1211_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=kbd_report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1212 +.gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][3] I2=KEYBOARD.report[6][3] I3=$abc$27697$n854_1 O=$abc$27697$n878 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$56607$n1121 I1=KEYBOARD.report[6][7] I2=$false I3=$false O=$abc$56607$n1213 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1221_1 I2=$abc$56607$n771 I3=$6\report_data_wadr[7:0][0] O=$0\report_data_wadr[7:0][0] +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][4] I2=$abc$27697$n880 I3=$abc$27697$n847_1 O=$abc$27697$n1480 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010001000100 -.gate SB_LUT4 I0=init_ram_cnt[5] I1=init_ram_cnt[7] I2=$abc$56607$n734 I3=$abc$56607$n2575 O=$abc$56607$n1220 +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][4] I2=$abc$27697$n881 I3=$abc$27697$n883 O=$abc$27697$n880 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$56607$n22 I1=$abc$56607$n1953 I2=$false I3=$false O=$abc$56607$n1221_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n22 I1=init_ram_cnt[1] I2=$abc$56607$n1220 I3=$abc$56607$n1223 O=$0\report_data_wadr[7:0][1] +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n854_1 I1=KEYBOARD.report[6][4] I2=$abc$27697$n849 I3=$abc$27697$n882 O=$abc$27697$n881 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=$abc$56607$n1224 I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1223 +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][4] I2=KEYBOARD.report[4][4] I3=$abc$27697$n855 O=$abc$27697$n882 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$56607$n1224 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n1226 I1=$abc$56607$n1228 I2=$false I3=$false O=$0\report_data_wadr[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$abc$56607$n1227_1 I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1226 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][4] I2=KEYBOARD.report[5][4] I3=$abc$27697$n860 O=$abc$27697$n883 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$56607$n1227_1 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][5] I2=$abc$27697$n885_1 I3=$abc$27697$n847_1 O=$abc$27697$n1481 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000011101111000 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$56607$n22 O=$abc$56607$n1228 +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$27697$n855 I1=KEYBOARD.report[4][5] I2=$abc$27697$n849 I3=$abc$27697$n886 O=$abc$27697$n885_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010111110 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1959 I2=$abc$56607$n1230 I3=$abc$56607$n22 O=$0\report_data_wadr[7:0][3] +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][5] I2=$abc$27697$n887 I3=$abc$27697$n888 O=$abc$27697$n886 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$56607$n1231 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$56607$n670 O=$abc$56607$n1230 +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[5][5] I3=$abc$27697$n860 O=$abc$27697$n887 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100001101010101 -.gate SB_LUT4 I0=$abc$56607$n1232_1 I1=$abc$56607$n1234 I2=ring_wr[0] I3=$false O=$abc$56607$n1231 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01101001 -.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=$abc$56607$n1233 I3=$false O=$abc$56607$n1232_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$56607$n1233 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[6][5] I3=$abc$27697$n854_1 O=$abc$27697$n888 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$56607$n1234 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1961 I2=$abc$56607$n1236_1 I3=$abc$56607$n22 O=$0\report_data_wadr[7:0][4] +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][6] I2=$abc$27697$n890 I3=$abc$27697$n847_1 O=$abc$27697$n1482 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$56607$n1239 I1=$abc$56607$n2549 I2=$abc$56607$n2551 I3=$abc$56607$n670 O=$abc$56607$n1236_1 +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][6] I2=$abc$27697$n891 I3=$abc$27697$n893 O=$abc$27697$n890 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100001110101010 -.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$56607$n2551 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$56607$n2549 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n2548 I1=$abc$56607$n2546 I2=$false I3=$false O=$abc$56607$n1239 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$56607$n1233 I1=$abc$56607$n1232_1 I2=ring_wr[0] I3=$abc$56607$n1234 O=$abc$56607$n2548 +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n854_1 I1=KEYBOARD.report[6][6] I2=$abc$27697$n849 I3=$abc$27697$n892 O=$abc$27697$n891 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110101000110000 -.gate SB_LUT4 I0=$abc$56607$n1242 I1=$abc$56607$n1243 I2=ring_wr[1] I3=ring_wr[3] O=$abc$56607$n2546 +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][6] I2=KEYBOARD.report[4][6] I3=$abc$27697$n855 O=$abc$27697$n892 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110101100010100 -.gate SB_LUT4 I0=$abc$56607$n1234 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$56607$n1242 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$56607$n1243 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1963 I2=$abc$56607$n22 I3=$abc$56607$n1245 O=$0\report_data_wadr[7:0][5] +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][6] I2=KEYBOARD.report[5][6] I3=$abc$27697$n860 O=$abc$27697$n893 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$56607$n1943 I1=$6\report_data_wadr[7:0][5] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1245 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][7] I2=$abc$27697$n895 I3=$abc$27697$n847_1 O=$abc$27697$n1483 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1965 I2=$abc$56607$n22 I3=$abc$56607$n1247 O=$0\report_data_wadr[7:0][6] +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$27697$n855 I1=KEYBOARD.report[4][7] I2=$abc$27697$n849 I3=$abc$27697$n896 O=$abc$27697$n895 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$56607$n1946 I1=$6\report_data_wadr[7:0][6] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1247 +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][7] I2=$abc$27697$n897 I3=$abc$27697$n898 O=$abc$27697$n896 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1967 I2=$abc$56607$n22 I3=$abc$56607$n1249_1 O=$0\report_data_wadr[7:0][7] +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[5][7] I3=$abc$27697$n860 O=$abc$27697$n897 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$56607$n1949 I1=$6\report_data_wadr[7:0][7] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1249_1 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][7] I2=KEYBOARD.report[6][7] I3=$abc$27697$n854_1 O=$abc$27697$n898 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1977 I2=$false I3=$false O=$0\rststate[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$56607$n848 I2=i2c_input_data_type[2] I3=$false O=$abc$56607$n1251 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1979 I2=$false I3=$false O=$0\rststate[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1981 I2=$false I3=$false O=$0\rststate[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1983 I2=$false I3=$false O=$0\rststate[3:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$56607$n2045 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$27697$n1488 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$56607$n2047 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$27697$n1490 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$56607$n2049 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$27697$n1492 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$56607$n2043 I2=$false I3=$false O=$abc$56607$n2617 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$27697$n1486 I2=$false I3=$false O=$abc$27697$n1914 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1260 I1=$abc$56607$n1273 I2=$abc$56607$n1281 I3=$false O=$abc$56607$n2059 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11101111 -.gate SB_LUT4 I0=$abc$56607$n1272 I1=$abc$56607$n1270 I2=$abc$56607$n1261 I3=$abc$56607$n1269 O=$abc$56607$n1260 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111100000000000 -.gate SB_LUT4 I0=$abc$56607$n1262 I1=$abc$56607$n1263 I2=$abc$56607$n1264 I3=$abc$56607$n1267 O=$abc$56607$n1261 +.gate SB_LUT4 I0=$abc$27697$n1116 I1=$abc$27697$n1130 I2=$abc$27697$n652 I3=$abc$27697$n916 O=$abc$27697$n1502 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1044 I1=$abc$56607$n1052 I2=$abc$56607$n360 I3=$abc$56607$n882 O=$abc$56607$n1262 +.param LUT_INIT 0001000011111111 +.gate SB_LUT4 I0=$abc$27697$n809 I1=$abc$27697$n817 I2=$abc$27697$n917 I3=$abc$27697$n664 O=$abc$27697$n908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=$abc$56607$n1048 I1=$abc$56607$n1056 I2=$abc$56607$n360 I3=$abc$56607$n885 O=$abc$56607$n1263 +.gate SB_LUT4 I0=$abc$27697$n807 I1=$abc$27697$n815 I2=$abc$27697$n917 I3=$abc$27697$n662 O=$abc$27697$n909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=$abc$56607$n1265 I1=$abc$56607$n1266 I2=$abc$56607$n360 I3=$false O=$abc$56607$n1264 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$27697$n14 I2=$abc$27697$n511 I3=$false O=$abc$27697$n910 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$56607$n1042 I1=$abc$56607$n1046 I2=$abc$56607$n358 I3=$abc$56607$n880 O=$abc$56607$n1265 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111101011111100 -.gate SB_LUT4 I0=$abc$56607$n1050_1 I1=$abc$56607$n1054 I2=$abc$56607$n358 I3=$abc$56607$n880 O=$abc$56607$n1266 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111101011111100 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n1268_1 I2=$false I3=$false O=$abc$56607$n1267 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$56607$n16 I2=$false I3=$false O=$abc$56607$n1268_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n1269 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n1271 I2=$abc$56607$n1268_1 I3=$false O=$abc$56607$n1270 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$27697$n912 I1=$abc$27697$n910 I2=$abc$27697$n914 I3=$false O=$abc$27697$n911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.is_ack I2=$false I3=$false O=$abc$56607$n1271 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n913 I1=I2C.FLT_SCL.out I2=$abc$27697$n14 I3=$false O=$abc$27697$n912 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.is_ack I2=$false I3=$false O=$abc$27697$n913 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$56607$n14 I3=I2C.SDA_DIR O=$abc$56607$n1272 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$27697$n16 I3=I2C.SDA_DIR O=$abc$27697$n914 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=$abc$56607$n1274 I1=$abc$56607$n874 I2=$abc$56607$n1280 I3=$false O=$abc$56607$n1273 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1278_1 I1=$abc$56607$n1487 I2=$false I3=$false O=$abc$56607$n1274 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=$abc$56607$n1277 O=$abc$56607$n1276 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$56607$n1277 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[3] I2=$abc$56607$n1279 I3=I2C.received_byte[6] O=$abc$56607$n1278_1 +.gate SB_LUT4 I0=$abc$27697$n811 I1=$abc$27697$n813 I2=$abc$27697$n915 I3=$abc$27697$n658 O=$abc$27697$n915_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=I2C.received_byte[5] O=$abc$56607$n1279 +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$27697$n914 I1=I2C.i2c_state_machine I2=$abc$27697$n917_1 I3=$false O=$abc$27697$n916 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$27697$n924 I1=$abc$27697$n914 I2=$abc$27697$n918_1 I3=$abc$27697$n651_1 O=$abc$27697$n917_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n1268_1 I2=$abc$56607$n1249 I3=$false O=$abc$56607$n1280 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$27697$n919 I1=$abc$27697$n912 I2=$abc$27697$n511 I3=$false O=$abc$27697$n918_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1282 I1=$abc$56607$n874 I2=I2C.i2c_state_machine I3=$abc$56607$n1272 O=$abc$56607$n1281 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000011111111 -.gate SB_LUT4 I0=$abc$56607$n1268_1 I1=$abc$56607$n1249 I2=$false I3=$false O=$abc$56607$n1282 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1274 I2=$abc$56607$n874 I3=$abc$56607$n1284 O=$abc$56607$n2061 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=$abc$56607$n1285 I1=$abc$56607$n1286 I2=$abc$56607$n1269 I3=$false O=$abc$56607$n1284 +.gate SB_LUT4 I0=$abc$27697$n922 I1=$abc$27697$n923 I2=$abc$27697$n920 I3=$false O=$abc$27697$n919 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$27697$n595 I1=$abc$27697$n921 I2=$abc$27697$n1486 I3=$false O=$abc$27697$n920 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1271 I1=I2C.FLT_SCL.out I2=$abc$56607$n16 I3=$abc$56607$n1249 O=$abc$56607$n1285 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[1] O=$abc$27697$n921 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n14 I1=I2C.FLT_SCL.out I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$56607$n1286 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$27697$n922 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$27697$n923 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1288 I1=$abc$56607$n875 I2=$false I3=$false O=$abc$56607$n2063 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1487 I2=$abc$56607$n877 I3=I2C.received_byte[0] O=$abc$56607$n1288 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$27697$n14 I2=$abc$27697$n511 I3=$false O=$abc$27697$n924 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n928 I1=$abc$27697$n1116 I2=$abc$27697$n652 I3=$false O=$abc$27697$n927 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n913 I1=I2C.FLT_SCL.out I2=$abc$27697$n14 I3=$abc$27697$n511 O=$abc$27697$n928 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2045 I2=$abc$56607$n1293 I3=$abc$56607$n358 O=$abc$56607$n2065 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=$abc$56607$n1267 I1=$abc$56607$n1285 I2=$abc$56607$n875 I3=$abc$56607$n1291 O=$abc$56607$n1290_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$56607$n1292 I1=$abc$56607$n878 I2=$abc$56607$n875 I3=$abc$56607$n877 O=$abc$56607$n1291 +.gate SB_LUT4 I0=$abc$27697$n1116 I1=$abc$27697$n1107 I2=$abc$27697$n652 I3=$false O=$abc$27697$n929_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n920 I1=$abc$27697$n933 I2=$abc$27697$n652 I3=$false O=$abc$27697$n1506 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$27697$n1116 I1=$abc$27697$n913 I2=$abc$27697$n924 I3=I2C.received_byte[0] O=$abc$27697$n933 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n1282 I2=$false I3=$false O=$abc$56607$n1292 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$27697$n910 I1=$abc$27697$n927 I2=$false I3=$false O=$abc$27697$n936 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$27697$n938 I1=$abc$27697$n656 I2=$abc$27697$n651_1 I3=$false O=$abc$27697$n937 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n913 I1=$abc$27697$n924 I2=$false I3=$false O=$abc$27697$n938 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1267 I1=$abc$56607$n878 I2=$abc$56607$n875 I3=$abc$56607$n877 O=$abc$56607$n1293 +.gate SB_LUT4 I0=$abc$27697$n910 I1=$abc$27697$n656 I2=$abc$27697$n1116 I3=$abc$27697$n652 O=$abc$27697$n939 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2047 I2=$abc$56607$n1293 I3=$abc$56607$n880 O=$abc$56607$n2067 +.param LUT_INIT 1111010111110011 +.gate SB_LUT4 I0=$abc$27697$n941 I1=$abc$27697$n1490 I2=$abc$27697$n939 I3=$abc$27697$n658 O=$abc$27697$n1510 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100010001001111 -.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2049 I2=$abc$56607$n1293 I3=$abc$56607$n360 O=$abc$56607$n2069 +.gate SB_LUT4 I0=$abc$27697$n936 I1=$abc$27697$n937 I2=$abc$27697$n1116 I3=$false O=$abc$27697$n941 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$27697$n941 I1=$abc$27697$n1492 I2=$abc$27697$n939 I3=$abc$27697$n917 O=$abc$27697$n1512 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=$abc$56607$n2210 I1=$abc$56607$n1520 I2=$abc$56607$n1301 I3=$abc$56607$n1304 O=$abc$56607$n2073 +.gate SB_LUT4 I0=$abc$27697$n1914 I1=$abc$27697$n918 I2=$abc$27697$n939 I3=$abc$27697$n944 O=$abc$27697$n1514 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111101011111100 -.gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1285 I2=$abc$56607$n875 I3=$false O=$abc$56607$n1300 +.param LUT_INIT 0101110011111111 +.gate SB_LUT4 I0=$abc$27697$n928 I1=$abc$27697$n938 I2=$abc$27697$n1116 I3=$abc$27697$n652 O=$abc$27697$n944 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010111110011 +.gate SB_LUT4 I0=$abc$27697$n946 I1=I2C.byte_counter[0] I2=$abc$27697$n1116 I3=$false O=$abc$27697$n1516 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$56607$n1302_1 I1=$abc$56607$n1529 I2=$false I3=$false O=$abc$56607$n1301 +.gate SB_LUT4 I0=$abc$27697$n1653 I1=$abc$27697$n1072 I2=$abc$27697$n947 I3=$false O=$abc$27697$n946 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$27697$n949 I1=$abc$27697$n948 I2=$false I3=$false O=$abc$27697$n947 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1523 I1=$abc$56607$n1303 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$56607$n1302_1 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$27697$n511 I1=$abc$27697$n912 I2=$abc$27697$n928 I3=$abc$27697$n652 O=$abc$27697$n948 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$56607$n1303 +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$27697$n950 I1=$abc$27697$n1081 I2=$abc$27697$n951 I3=$false O=$abc$27697$n949 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$27697$n1075 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$27697$n950 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$27697$n951 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n1300 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n1304 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1306 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$56607$n2043 O=$abc$56607$n2075 +.gate SB_LUT4 I0=$abc$27697$n1101 I1=$abc$27697$n954_1 I2=I2C.byte_counter[1] I3=$abc$27697$n1116 O=$abc$27697$n1518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111100000000000 -.gate SB_LUT4 I0=$abc$56607$n1301 I1=$abc$56607$n1304 I2=$false I3=$false O=$abc$56607$n1306 +.param LUT_INIT 1111000000010001 +.gate SB_LUT4 I0=$abc$27697$n948 I1=$abc$27697$n1073 I2=$false I3=$false O=$abc$27697$n954_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n2212 I1=$abc$56607$n1301 I2=$abc$56607$n1523 I3=$abc$56607$n1304 O=$abc$56607$n2077 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$27697$n956 I1=$abc$27697$n1075 I2=$abc$27697$n1116 I3=$abc$27697$n948 O=$abc$27697$n1520 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$56607$n2213 I1=$abc$56607$n1524 I2=$abc$56607$n1306 I3=$false O=$abc$56607$n2079 +.param LUT_INIT 1100010111001100 +.gate SB_LUT4 I0=$abc$27697$n949 I1=$abc$27697$n1655 I2=$false I3=$false O=$abc$27697$n956 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$27697$n1656 I1=$abc$27697$n1076 I2=$abc$27697$n958 I3=$false O=$abc$27697$n1522 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n2214 I1=$abc$56607$n1301 I2=$abc$56607$n1526 I3=$abc$56607$n1304 O=$abc$56607$n2081 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$56607$n2215 I1=$abc$56607$n1527 I2=$abc$56607$n1301 I3=$abc$56607$n1304 O=$abc$56607$n2083 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111101011111100 -.gate SB_LUT4 I0=$abc$56607$n2216 I1=$abc$56607$n1301 I2=$abc$56607$n1529 I3=$abc$56607$n1304 O=$abc$56607$n2085 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$56607$n2217 I1=$abc$56607$n1301 I2=$abc$56607$n1530 I3=$abc$56607$n1304 O=$abc$56607$n2087 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$56607$n1269 I1=$abc$56607$n1282 I2=$abc$56607$n1314 I3=$abc$56607$n1273 O=$abc$56607$n2089 +.gate SB_LUT4 I0=$abc$27697$n949 I1=$abc$27697$n1116 I2=$abc$27697$n948 I3=$false O=$abc$27697$n958 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n1658 I1=$abc$27697$n1079 I2=$abc$27697$n958 I3=$false O=$abc$27697$n1526 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$27697$n964 I1=I2C.byte_counter[6] I2=$abc$27697$n1116 I3=$false O=$abc$27697$n1528 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$27697$n949 I1=$abc$27697$n1081 I2=$abc$27697$n1659 I3=$abc$27697$n948 O=$abc$27697$n964 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111111111000 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n875 I2=$abc$56607$n1315_1 I3=$abc$56607$n1271 O=$abc$56607$n1314 +.param LUT_INIT 0000011100110011 +.gate SB_LUT4 I0=$abc$27697$n1660 I1=$abc$27697$n1082 I2=$abc$27697$n958 I3=$false O=$abc$27697$n1530 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$27697$n967 I1=I2C.is_ack I2=$abc$27697$n1116 I3=$false O=$abc$27697$n1532 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$27697$n968 I1=$abc$27697$n924 I2=$abc$27697$n969 I3=$abc$27697$n652 O=$abc$27697$n967 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=$abc$56607$n1268_1 I1=I2C.i2c_state_machine I2=$false I3=$false O=$abc$56607$n1315_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$56607$n1321 I2=$abc$56607$n1317 I3=$false O=$abc$56607$n2091 +.param LUT_INIT 0000001100001010 +.gate SB_LUT4 I0=$abc$27697$n913 I1=$abc$27697$n511 I2=$abc$27697$n918_1 I3=$false O=$abc$27697$n968 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$56607$n1318 I1=$abc$56607$n1322 I2=$abc$56607$n877 I3=$false O=$abc$56607$n1317 +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$27697$n14 I2=$abc$27697$n913 I3=$false O=$abc$27697$n969 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n1319 I2=$abc$56607$n1320_1 I3=$abc$56607$n1321 O=$abc$56607$n1318 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=I2C.FLT_SCL.out I2=$abc$56607$n16 I3=$abc$56607$n1271 O=$abc$56607$n1319 +.gate SB_LUT4 I0=$abc$27697$n936 I1=$abc$27697$n972 I2=$abc$27697$n973 I3=$abc$27697$n971 O=$abc$27697$n1534 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010101010101000 -.gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n875 I2=$abc$56607$n1268_1 I3=$abc$56607$n1249 O=$abc$56607$n1320_1 +.param LUT_INIT 1111111110110000 +.gate SB_LUT4 I0=$abc$27697$n1962 I1=$abc$27697$n651_1 I2=$abc$27697$n929_1 I3=$abc$27697$n928 O=$abc$27697$n971 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$56607$n14 I3=I2C.wr O=$abc$56607$n1321 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$27697$n651_1 I1=$abc$27697$n938 I2=I2C.i2c_state_machine I3=$false O=$abc$27697$n972 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$27697$n16 I3=I2C.wr O=$abc$27697$n973 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=$abc$56607$n1286 I1=$abc$56607$n2583 I2=$abc$56607$n875 I3=$abc$56607$n1285 O=$abc$56607$n1322 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=$abc$56607$n1541 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2219 +.gate SB_LUT4 I0=$abc$27697$n1093 I1=$abc$27697$n674 I2=$false I3=$false O=$abc$27697$n1662 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$56607$n2221 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$27697$n1664 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n1544 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2223 +.gate SB_LUT4 I0=$abc$27697$n1096 I1=$abc$27697$n674 I2=$false I3=$false O=$abc$27697$n1666 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$56607$n1545 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2225 +.gate SB_LUT4 I0=$abc$27697$n1097 I1=$abc$27697$n674 I2=$false I3=$false O=$abc$27697$n1668 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$56607$n896 I1=$abc$56607$n898 I2=$abc$56607$n893 I3=$false O=$abc$56607$n2226 +.gate SB_LUT4 I0=$abc$27697$n675 I1=$abc$27697$n677 I2=$abc$27697$n672 I3=$false O=$abc$27697$n1669 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.init_ram_cnt[0] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2232 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$56607$n2235 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.init_ram_cnt[2] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2238 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n28 I1=KEYBOARD.init_ram_cnt[3] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2240 +.gate SB_LUT4 I0=$abc$27697$n1672 I1=KEYBOARD.row_counter[0] I2=$abc$27697$n29 I3=$false O=$abc$27697$n1674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n923 I1=$abc$56607$n994 I2=$false I3=$false O=$abc$56607$n2242 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$27697$n1675 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2244 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n33 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$56607$n2246 +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$27697$n29 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$27697$n1677 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111000 -.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[2] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2248 +.gate SB_LUT4 I0=$abc$27697$n1678 I1=KEYBOARD.row_counter[2] I2=$abc$27697$n29 I3=$false O=$abc$27697$n1680 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n28 I1=KEYBOARD.row_counter[3] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2250 +.gate SB_LUT4 I0=$abc$27697$n26 I1=KEYBOARD.row_counter[3] I2=$abc$27697$n29 I3=$false O=$abc$27697$n1682 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n1341 I2=$abc$56607$n1490_1 I3=$abc$56607$n1535_1 O=$abc$56607$n2269 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000011111 -.gate SB_LUT4 I0=$abc$56607$n691 I1=$abc$56607$n708 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$56607$n706 O=$abc$56607$n1341 +.gate SB_LUT4 I0=$abc$27697$n987 I1=$abc$27697$n986 I2=$abc$27697$n556_1 I3=$abc$27697$n985 O=$abc$27697$n1702 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100001110101010 -.gate SB_LUT4 I0=$abc$56607$n1344 I1=$abc$56607$n697 I2=$abc$56607$n706 I3=$abc$56607$n1492_1 O=$abc$56607$n1343 +.param LUT_INIT 1111111100001110 +.gate SB_LUT4 I0=$abc$27697$n555 I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$27697$n556_1 I3=$false O=$abc$27697$n985 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$27697$n558 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$27697$n559 I3=$false O=$abc$27697$n986 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$27697$n539 I1=$abc$27697$n525 I2=$abc$27697$n988 I3=$abc$27697$n559 O=$abc$27697$n987 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.COLS_SHADOW[5] I2=$false I3=$false O=$abc$56607$n1344 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$56607$n709 I3=$false O=$abc$56607$n1347 +.param LUT_INIT 0000000011110001 +.gate SB_LUT4 I0=$abc$27697$n541 I1=KEYBOARD.COLS_SHADOW[4] I2=$abc$27697$n539 I3=$false O=$abc$27697$n988 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n1349 I2=$abc$56607$n1490_1 I3=$abc$56607$n1535_1 O=$abc$56607$n2273 +.gate SB_LUT4 I0=$abc$27697$n556_1 I1=$abc$27697$n1102_1 I2=$abc$27697$n985 I3=$false O=$abc$27697$n1704 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11110001 +.gate SB_LUT4 I0=$abc$27697$n531 I1=$abc$27697$n535 I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$27697$n539 O=$abc$27697$n992 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000011111 -.gate SB_LUT4 I0=$abc$56607$n1350 I1=$abc$56607$n709 I2=$abc$56607$n1347 I3=$false O=$abc$56607$n1349 +.param LUT_INIT 0000000001111101 +.gate SB_LUT4 I0=$abc$27697$n550 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$27697$n542_1 I3=$false O=$abc$27697$n993 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$56607$n711 I3=$false O=$abc$56607$n1350 +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$27697$n556_1 I1=$abc$27697$n995 I2=$abc$27697$n985 I3=$false O=$abc$27697$n1706 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2244 I2=$false I3=$false O=$abc$56607$n2276 +.param LUT_INIT 11110001 +.gate SB_LUT4 I0=$abc$27697$n996 I1=$abc$27697$n993 I2=$abc$27697$n559 I3=$abc$27697$n986 O=$abc$27697$n995 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011110001 +.gate SB_LUT4 I0=$abc$27697$n544 I1=$abc$27697$n552 I2=KEYBOARD.COLS_SHADOW[3] I3=$abc$27697$n542_1 O=$abc$27697$n996 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111101 +.gate SB_LUT4 I0=$abc$27697$n1720 I1=$abc$27697$n1674 I2=$false I3=$false O=$abc$27697$n1709 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n1343 I1=$abc$56607$n678 I2=$abc$56607$n691 I3=$abc$56607$n2273 O=$abc$56607$n2287 +.gate SB_LUT4 I0=$abc$27697$n999 I1=$abc$27697$n986 I2=$abc$27697$n556_1 I3=$abc$27697$n985 O=$abc$27697$n1720 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2246 I2=$false I3=$false O=$abc$56607$n2279 +.param LUT_INIT 1111111100001110 +.gate SB_LUT4 I0=$abc$27697$n1104 I1=$abc$27697$n1001 I2=$abc$27697$n993 I3=$abc$27697$n559 O=$abc$27697$n999 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011111000 +.gate SB_LUT4 I0=$abc$27697$n512 I1=$abc$27697$n525 I2=$false I3=$false O=$abc$27697$n1001 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$27697$n1720 I1=$abc$27697$n1677 I2=$false I3=$false O=$abc$27697$n1712 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2248 I2=$false I3=$false O=$abc$56607$n2282 +.gate SB_LUT4 I0=$abc$27697$n1720 I1=$abc$27697$n1680 I2=$false I3=$false O=$abc$27697$n1715 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2250 I2=$false I3=$false O=$abc$56607$n2285 +.gate SB_LUT4 I0=$abc$27697$n1720 I1=$abc$27697$n1682 I2=$false I3=$false O=$abc$27697$n1718 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n726 I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$56607$n727 I3=$false O=$abc$56607$n2291 +.gate SB_LUT4 I0=$abc$27697$n555 I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$27697$n556_1 I3=$false O=$abc$27697$n1724 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$56607$n709 I3=$false O=$abc$56607$n2293 +.gate SB_LUT4 I0=$abc$27697$n550 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$27697$n542_1 I3=$false O=$abc$27697$n1726 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$56607$n723 I3=$false O=$abc$56607$n2295 +.gate SB_LUT4 I0=$abc$27697$n558 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$27697$n559 I3=$false O=$abc$27697$n1728 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$56607$n711 I3=$false O=$abc$56607$n2297 +.gate SB_LUT4 I0=$abc$27697$n552 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$27697$n544 I3=$false O=$abc$27697$n1730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$56607$n708 I2=$abc$56607$n706 I3=$false O=$abc$56607$n2299 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$27697$n541 I2=$abc$27697$n539 I3=$false O=$abc$27697$n1732 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.COLS_SHADOW[5] I2=$abc$56607$n697 I3=$false O=$abc$56607$n2301 +.gate SB_LUT4 I0=$abc$27697$n535 I1=KEYBOARD.COLS_SHADOW[5] I2=$abc$27697$n531 I3=$false O=$abc$27697$n1734 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$56607$n692 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$56607$n693 I3=$false O=$abc$56607$n2303 +.gate SB_LUT4 I0=$abc$27697$n526 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$27697$n527_1 I3=$false O=$abc$27697$n1736 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$56607$n685 I2=$abc$56607$n679 I3=$false O=$abc$56607$n2305 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$27697$n519 I2=$abc$27697$n513 I3=$false O=$abc$27697$n1738 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n904 I2=$abc$56607$n932 I3=$abc$56607$n920 O=$abc$56607$n2433 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010111111 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][0] I2=$abc$56607$n921 I3=$false O=$abc$56607$n2436 +.gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][0] I2=$abc$27697$n566 I3=$false O=$abc$27697$n1867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][1] I2=$abc$56607$n1367 I3=$false O=$abc$56607$n2439 +.gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][1] I2=$abc$27697$n1015 I3=$false O=$abc$27697$n1870 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1367 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$27697$n1015 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][2] I2=$abc$56607$n1369 I3=$false O=$abc$56607$n2442 +.gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][2] I2=$abc$27697$n1017 I3=$false O=$abc$27697$n1873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$56607$n1369 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$27697$n1017 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][3] I2=$abc$56607$n1371 I3=$false O=$abc$56607$n2445 +.gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][3] I2=$abc$27697$n1019 I3=$false O=$abc$27697$n1876 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1371 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$27697$n1019 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][4] I2=$abc$56607$n1373 I3=$false O=$abc$56607$n2448 +.gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][4] I2=$abc$27697$n1021 I3=$false O=$abc$27697$n1879 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$56607$n1373 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n1021 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][5] I2=$abc$56607$n1375 I3=$false O=$abc$56607$n2451 +.gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][5] I2=$abc$27697$n1023 I3=$false O=$abc$27697$n1882 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1375 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n1023 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1377 I3=$false O=$abc$56607$n2454 +.gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][6] I2=$abc$27697$n1025 I3=$false O=$abc$27697$n1885 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$56607$n1377 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n1025 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][7] I2=$abc$56607$n918 I3=$false O=$abc$56607$n2457 +.gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][7] I2=$abc$27697$n695_1 I3=$false O=$abc$27697$n1888 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$56607$n2458 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$56607$n2459 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$56607$n2460 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$56607$n2461 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$56607$n2462 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$56607$n2463 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$56607$n2464 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$56607$n2465 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n2471 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$56607$n2472 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$56607$n2474 +.gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1672 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2024 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001011 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$56607$n2476 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111110110000 -.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$56607$n2477 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$56607$n2478 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=$abc$56607$n1003 I1=$abc$56607$n1632 I2=$false I3=$false O=$abc$56607$n2479 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n1009 I1=$abc$56607$n1636 I2=$false I3=$false O=$abc$56607$n2482 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$false I3=$false O=$abc$56607$n2534 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$56607$n2671 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$56607$n2678 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001011111000000 -.gate SB_LUT4 I0=ring_wr[3] I1=$abc$56607$n1242 I2=$false I3=$false O=$abc$56607$n2680 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$56607$n2681 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110100000000000 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$56607$n2691 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=$abc$56607$n2237 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$56607$n2701 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000001 -.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2231 O=$abc$56607$n2702 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=$abc$56607$n2237 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$56607$n2703 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2231 O=$abc$56607$n2704 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2237 O=$abc$56607$n2705 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$56607$n2231 I3=$abc$56607$n2237 O=$abc$56607$n2706 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2237 O=$abc$56607$n2707 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$56607$n2231 I3=$abc$56607$n2237 O=$abc$56607$n2708 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$56607$n5 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$56607$n7 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n8 I1=$false I2=$false I3=$false O=COM_DSR +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1678 I2=$abc$27697$n1672 I3=$false O=$abc$27697$n2026 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n1672 I1=$abc$27697$n1678 I2=$abc$27697$n1675 I3=$false O=$abc$27697$n2028 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n1678 I1=$abc$27697$n1672 I2=$abc$27697$n1675 I3=$false O=$abc$27697$n2030 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1672 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2031 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1672 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2032 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n1672 I1=$abc$27697$n1675 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2033 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1672 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2034 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$27697$n5 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n10 I1=$false I2=$false I3=$false O=COM_TX +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$27697$n7 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n22 I1=$false I2=$false I3=$false O=$abc$56607$n23 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$56607$n25 +.gate SB_LUT4 I0=$abc$27697$n10 I1=$false I2=$false I3=$false O=COM_DSR .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$false I2=$false I3=$false O=$abc$56607$n26 +.gate SB_LUT4 I0=$abc$27697$n12 I1=$false I2=$false I3=$false O=COM_TX .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n28 I1=$false I2=$false I3=$false O=$abc$56607$n29 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$27697$n21 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$56607$n31 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$27697$n23 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$56607$n35 +.gate SB_LUT4 I0=$abc$27697$n25 I1=$false I2=$false I3=$false O=$abc$27697$n24 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$56607$n236 +.gate SB_LUT4 I0=$abc$27697$n26 I1=$false I2=$false I3=$false O=$abc$27697$n27 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$56607$n237 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$27697$n826 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$56607$n239 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$27697$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$56607$n240 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$27697$n829 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$56607$n243 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$27697$n830 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$56607$n246 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$27697$n832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$56607$n266 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$27697$n833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$56607$n269 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$27697$n835 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$56607$n270 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$27697$n841 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$56607$n273 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$27697$n844 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$56607$n274 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$27697$n845 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$56607$n276 +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$abc$27697$n847 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$56607$n277 +.gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$abc$27697$n848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$56607$n279 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$abc$27697$n850 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$56607$n280 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$abc$27697$n851 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$56607$n282 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$abc$27697$n853 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1490 I1=$false I2=$false I3=$false O=$abc$56607$n1459 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$abc$27697$n854 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$56607$n1955 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$abc$27697$n857 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2617 I1=$false I2=$false I3=$false O=$abc$56607$n2051 +.gate SB_LUT4 I0=$abc$27697$n1914 I1=$false I2=$false I3=$false O=$abc$27697$n1494 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2518 I1=$false I2=$false I3=$false O=$abc$56607$n2517 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$abc$27697$n1889 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1521 I1=$false I2=$false I3=$false O=$abc$56607$n2528 +.gate SB_LUT4 I0=$abc$27697$n1073 I1=$false I2=$false I3=$false O=$abc$27697$n1890 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2047 I1=$false I2=$false I3=$false O=$abc$56607$n2530 +.gate SB_LUT4 I0=$abc$27697$n1490 I1=$false I2=$false I3=$false O=$abc$27697$n1892 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$56607$n2536 +.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$27697$n1896 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2603 I1=$false I2=$false I3=$false O=$abc$56607$n2604 +.gate SB_LUT4 I0=$abc$27697$n1902 I1=$false I2=$false I3=$false O=$abc$27697$n1901 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2605 I1=$false I2=$false I3=$false O=$abc$56607$n2606 +.gate SB_LUT4 I0=$abc$27697$n1075 I1=$false I2=$false I3=$false O=$abc$27697$n1906 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$56607$n2607 +.gate SB_LUT4 I0=$abc$27697$n1079 I1=$false I2=$false I3=$false O=$abc$27697$n1907 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$56607$n2608 +.gate SB_LUT4 I0=$abc$27697$n1076 I1=$false I2=$false I3=$false O=$abc$27697$n1908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$56607$n2609 +.gate SB_LUT4 I0=$abc$27697$n1078 I1=$false I2=$false I3=$false O=$abc$27697$n1909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$56607$n2610 +.gate SB_LUT4 I0=$abc$27697$n1081 I1=$false I2=$false I3=$false O=$abc$27697$n1910 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$56607$n2611 +.gate SB_LUT4 I0=$abc$27697$n1082 I1=$false I2=$false I3=$false O=$abc$27697$n1911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$56607$n2612 +.gate SB_LUT4 I0=$abc$27697$n1488 I1=$false I2=$false I3=$false O=$abc$27697$n1912 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$56607$n2613 +.gate SB_LUT4 I0=$abc$27697$n1492 I1=$false I2=$false I3=$false O=$abc$27697$n1913 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2045 I1=$false I2=$false I3=$false O=$abc$56607$n2615 +.gate SB_LUT4 I0=$abc$27697$n1903 I1=$false I2=$false I3=$false O=$abc$27697$n1915 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2049 I1=$false I2=$false I3=$false O=$abc$56607$n2616 +.gate SB_LUT4 I0=$abc$27697$n1916 I1=$false I2=$false I3=$false O=$abc$27697$n1917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$56607$n2618 +.gate SB_LUT4 I0=$abc$27697$n1918 I1=$false I2=$false I3=$false O=$abc$27697$n1919 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$56607$n2620 +.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$27697$n1920 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2519 I1=$false I2=$false I3=$false O=$abc$56607$n2621 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$27697$n1922 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1524 I1=$false I2=$false I3=$false O=$abc$56607$n2623 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$27697$n1923 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1527 I1=$false I2=$false I3=$false O=$abc$56607$n2624 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$27697$n1924 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1529 I1=$false I2=$false I3=$false O=$abc$56607$n2625 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$27697$n1925 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1530 I1=$false I2=$false I3=$false O=$abc$56607$n2626 +.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$27697$n1926 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1523 I1=$false I2=$false I3=$false O=$abc$56607$n2627 +.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$27697$n1927 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1526 I1=$false I2=$false I3=$false O=$abc$56607$n2628 +.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$27697$n1928 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n770 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1007 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$56607$n21 I1=report_data_radr[0] I2=$abc$56607$n742 I3=$false O=$abc$56607$n1465 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$56607$n932 I1=$abc$56607$n934 I2=$abc$56607$n1494 I3=$false O=$abc$56607$n1475 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n944 I2=$abc$56607$n927 I3=$false O=$abc$56607$n1477 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[3] I2=$abc$56607$n1077 I3=$false O=$abc$56607$n1478 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n1478 I1=$abc$56607$n1095 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$56607$n1479 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=KEYBOARD.report[1][2] I2=$abc$56607$n1124 I3=$false O=$abc$56607$n1482 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=KEYBOARD.report[1][3] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1483_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=KEYBOARD.report[1][4] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1484_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1485 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$56607$n1276 I2=$false I3=$false O=$abc$56607$n1487 +.gate SB_LUT4 I0=$abc$27697$n571 I1=$abc$27697$n19 I2=$false I3=$false O=$abc$27697$n1087_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2617 I2=$abc$56607$n361 I3=$abc$56607$n1293 O=$abc$56607$n2071 +.gate SB_LUT4 I0=$abc$27697$n587 I1=$abc$27697$n611 I2=$abc$27697$n615 I3=$abc$27697$n1115_1 O=$abc$27697$n1089 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011101111110000 -.gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$56607$n723 I3=$false O=$abc$56607$n1490_1 +.param LUT_INIT 0000000011111000 +.gate SB_LUT4 I0=$abc$27697$n591 I1=$abc$27697$n592 I2=I2C.byte_counter[1] I3=$false O=$abc$27697$n1090_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10011111 -.gate SB_LUT4 I0=$abc$56607$n709 I1=$abc$56607$n1343 I2=$abc$56607$n1347 I3=$abc$56607$n1535_1 O=$abc$56607$n2271 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$27697$n1092 I1=I2C.FLT_SCL.RESET I2=I2C.is_read I3=$abc$27697$n578 O=$abc$27697$n455 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011110001 -.gate SB_LUT4 I0=$abc$56607$n708 I1=KEYBOARD.COLS_SHADOW[4] I2=$abc$56607$n706 I3=$false O=$abc$56607$n1492_1 +.param LUT_INIT 0000101100110011 +.gate SB_LUT4 I0=$abc$27697$n645 I1=i2c_input_data_type[0] I2=$abc$27697$n643 I3=$false O=$abc$27697$n1092 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10011111 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n912 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1494 +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[3] I2=$abc$27697$n645 I3=$false O=$abc$27697$n1096_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=I2C.FLT_SCL.RESET I2=$abc$56607$n912 I3=$false O=$abc$56607$n1495 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][0] I2=$false I3=$false O=$abc$56607$n1497 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$abc$56607$n742 I3=$abc$56607$n747 O=$abc$56607$n1498 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$27697$n578 I2=$abc$27697$n643 I3=$abc$27697$n607 O=$abc$27697$n1097_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001111111111111 -.gate SB_LUT4 I0=$abc$56607$n751 I1=$abc$56607$n2569 I2=$abc$56607$n747 I3=$false O=$abc$56607$n1499 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$27697$n602 I1=$abc$27697$n1956 I2=$abc$27697$n1961 I3=$false O=$abc$27697$n1098 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$56607$n19 I1=$abc$56607$n1499 I2=I2C.is_read I3=$abc$56607$n742 O=$abc$56607$n1500 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$27697$n944 I1=$abc$27697$n1488 I2=$abc$27697$n915 I3=$abc$27697$n939 O=$abc$27697$n1508 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$56607$n1500 I1=$abc$56607$n1498 I2=$abc$56607$n667 I3=$false O=$abc$56607$n1501_1 +.param LUT_INIT 1000100011110000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n947 I3=$false O=$abc$27697$n1101 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=$abc$56607$n815_1 I1=$abc$56607$n806 I2=$abc$56607$n807 I3=$abc$56607$n22 O=$abc$56607$n1502_1 +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$27697$n1103_1 I1=$abc$27697$n993 I2=$false I3=$false O=$abc$27697$n1102_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$27697$n992 I1=$abc$27697$n988 I2=$abc$27697$n542_1 I3=$false O=$abc$27697$n1103_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$27697$n992 I1=$abc$27697$n988 I2=$abc$27697$n996 I3=$false O=$abc$27697$n1104 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$27697$n699 I1=$abc$27697$n681 I2=$abc$27697$n1119 I3=$abc$27697$n714 O=$abc$27697$n556 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n804 I1=$abc$56607$n754 I2=$abc$56607$n1502_1 I3=I2C.is_read O=$abc$56607$n1503 +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=I2C.SDA_DIR I1=I2C.FLT_SDA.out I2=$false I3=$false O=$abc$27697$n1107 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$27697$n618_1 I1=$abc$27697$n1090_1 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$27697$n1108_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011001100001011 -.gate SB_LUT4 I0=$abc$56607$n816_1 I1=$abc$56607$n805 I2=$abc$56607$n810 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1504 +.param LUT_INIT 1011101111110000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$27697$n621 I2=$abc$27697$n595 I3=$abc$27697$n1108_1 O=$abc$27697$n1109_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$56607$n1503 I1=$abc$56607$n1504 I2=$abc$56607$n769 I3=$false O=$abc$56607$n1113 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$56607$n1513 I2=$abc$56607$n863 I3=$abc$56607$n988 O=$abc$56607$n1509 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$27697$n1109_1 I1=$abc$27697$n1089 I2=$abc$27697$n574 I3=$abc$27697$n1087_1 O=$abc$27697$n1110 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000011111111 -.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n848 I2=$abc$56607$n778 I3=$abc$56607$n1509 O=$abc$56607$n1211 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n578 I2=I2C.FLT_SCL.RESET I3=$abc$27697$n1087_1 O=$abc$27697$n1111 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n855 I1=$abc$56607$n861 I2=$abc$56607$n853 I3=i2c_input_data_type[0] O=$abc$56607$n1511_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111011100001111 -.gate SB_LUT4 I0=$abc$56607$n815_1 I1=$abc$56607$n857 I2=$abc$56607$n2577 I3=$abc$56607$n860 O=$abc$56607$n1512_1 +.gate SB_LUT4 I0=$abc$27697$n1110 I1=I2C.FLT_SCL.RESET I2=$abc$27697$n1111 I3=I2C.is_read O=$abc$27697$n401 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$56607$n809 I1=$abc$56607$n1511_1 I2=$abc$56607$n856 I3=$abc$56607$n1512_1 O=$abc$56607$n1513 +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[0] I2=i2c_input_data_type[0] I3=I2C.received_byte[1] O=$abc$27697$n1113 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[1][7] I2=KEYBOARD.report[1][6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$56607$n1514 +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$27697$n1113 I2=$abc$27697$n606 I3=$abc$27697$n590 O=$abc$27697$n1114_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[1][3] O=$abc$56607$n1515_1 +.param LUT_INIT 0100000011111111 +.gate SB_LUT4 I0=$abc$27697$n605 I1=$abc$27697$n602 I2=$abc$27697$n1114_1 I3=$false O=$abc$27697$n1115_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$27697$n16 I3=I2C.i2c_state_machine O=$abc$27697$n1116 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=$abc$56607$n1515_1 I1=$abc$56607$n1514 I2=$abc$56607$n985_1 I3=$abc$56607$n952 O=$abc$56607$n1516 +.param LUT_INIT 1000000011111101 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[6][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[6][2] O=$abc$27697$n1117_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][0] I2=KEYBOARD.report[6][0] I3=$abc$56607$n1121 O=$abc$56607$n1517 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$27697$n1117_1 I1=$abc$27697$n710 I2=$abc$27697$n712 I3=$abc$27697$n713_1 O=$abc$27697$n1118_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1517 I1=$abc$56607$n1111 I2=$abc$56607$n1117 I3=$false O=$abc$56607$n1518 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[6][0] I2=$abc$27697$n1118_1 I3=$false O=$abc$27697$n1119 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$56607$n1120 I2=$abc$56607$n1518 I3=$abc$56607$n1129 O=$abc$56607$n1519 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1124 I1=KEYBOARD.report[0][0] I2=$abc$56607$n1497 I3=$abc$56607$n1129 O=$abc$56607$n1520_1 +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[5][6] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[5][7] O=$abc$27697$n1121_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1520_1 I1=$abc$56607$n1519 I2=$abc$56607$n1116 I3=$false O=$3\report_data_wr[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=kbd_report[5][3] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1522 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$27697$n700 I1=$abc$27697$n716 I2=$abc$27697$n1141 I3=$abc$27697$n714 O=$abc$27697$n610 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][3] I2=KEYBOARD.report[6][3] I3=$abc$56607$n1121 O=$abc$56607$n1523_1 +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[3][6] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[3][7] O=$abc$27697$n1124_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1116 I1=$abc$56607$n1523_1 I2=$abc$56607$n1522 I3=$abc$56607$n1163 O=$abc$56607$n1524_1 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$27697$n683 I1=$abc$27697$n732_1 I2=$abc$27697$n1145_1 I3=$abc$27697$n714 O=$abc$27697$n626 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n1124 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1201 I3=$abc$56607$n1129 O=$abc$56607$n1525 +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$27697$n803 I1=$abc$27697$n805 I2=$abc$27697$n915 I3=$abc$27697$n658 O=$abc$27697$n1128_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1531 I3=$abc$56607$n1129 O=$abc$56607$n1526_1 +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$27697$n915_1 I1=$abc$27697$n1128_1 I2=$abc$27697$n917 I3=$abc$27697$n910 O=$abc$27697$n1129_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1526_1 I1=$abc$56607$n1525 I2=$abc$56607$n1116 I3=$false O=$3\report_data_wr[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=kbd_report[5][6] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1528 +.param LUT_INIT 1010001100000000 +.gate SB_LUT4 I0=$abc$27697$n908 I1=$abc$27697$n909 I2=$abc$27697$n1129_1 I3=$abc$27697$n911 O=$abc$27697$n1130 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][6] I2=KEYBOARD.report[6][6] I3=$abc$56607$n1121 O=$abc$56607$n1529_1 +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$27697$n913 I1=$abc$27697$n924 I2=$abc$27697$n919 I3=$abc$27697$n1116 O=$abc$27697$n1131_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[3][6] I3=$abc$56607$n1118 O=$abc$56607$n1530_1 +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=$abc$27697$n1131_1 I1=$abc$27697$n652 I2=$abc$27697$n927 I3=$abc$27697$n929_1 O=$abc$27697$n1504 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1528 I1=$abc$56607$n1529_1 I2=$abc$56607$n1530_1 I3=$false O=$abc$56607$n1531 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][7] I2=$abc$56607$n1209 I3=$abc$56607$n1129 O=$abc$56607$n1533 +.param LUT_INIT 1111111111110010 +.gate SB_LUT4 I0=$abc$27697$n1657 I1=$abc$27697$n949 I2=$abc$27697$n1078 I3=$abc$27697$n948 O=$abc$27697$n1133 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[0] I1=$abc$56607$n726 I2=$abc$56607$n727 I3=$false O=$abc$56607$n1535_1 +.param LUT_INIT 0001000100001111 +.gate SB_LUT4 I0=$abc$27697$n1133 I1=I2C.byte_counter[4] I2=$abc$27697$n1116 I3=$false O=$abc$27697$n1524 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n22 I1=I2C.byte_counter[1] I2=$abc$56607$n810 I3=$false O=$abc$56607$n1536_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n841 I1=$abc$56607$n809 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n815_1 O=$abc$56607$n1537 +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[4][1] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[4][3] O=$abc$27697$n1135_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010111011 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$56607$n832 I2=$abc$56607$n807 I3=$abc$56607$n736 O=$abc$56607$n1540 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[4][4] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[4][7] O=$abc$27697$n1136 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1540 I1=$abc$56607$n825 I2=$abc$56607$n822 I3=I2C.byte_counter[0] O=$abc$56607$n1541_1 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$27697$n1135_1 I1=$abc$27697$n1136 I2=$abc$27697$n727 I3=$abc$27697$n730 O=$abc$27697$n1137_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000100000001111 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$56607$n1541_1 I2=$abc$56607$n833 I3=$abc$56607$n754 O=$abc$56607$n1542 +.param LUT_INIT 0111111111111111 +.gate SB_LUT4 I0=$abc$27697$n1137_1 I1=$abc$27697$n25 I2=$false I3=$false O=$abc$27697$n1138_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[5][2] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[5][3] O=$abc$27697$n1139 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000011111111 -.gate SB_LUT4 I0=$abc$56607$n834 I1=$abc$56607$n809 I2=$abc$56607$n1537 I3=I2C.byte_counter[0] O=$abc$56607$n1543 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[5][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[5][5] O=$abc$27697$n1140 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011101111110000 -.gate SB_LUT4 I0=$abc$56607$n1536_1 I1=$abc$56607$n1543 I2=$abc$56607$n816_1 I3=$abc$56607$n1542 O=$abc$56607$n1544_1 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$27697$n1139 I1=$abc$27697$n1140 I2=$abc$27697$n720 I3=$abc$27697$n1121_1 O=$abc$27697$n1141 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$56607$n836 I1=$abc$56607$n776 I2=$abc$56607$n819 I3=$abc$56607$n1544_1 O=$abc$56607$n1155 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[3][2] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[3][3] O=$abc$27697$n1142_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][7] I1=$abc$56607$n1126 I2=KEYBOARD.report[0][7] I3=$abc$56607$n1124 O=$abc$56607$n1546 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[3][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[3][5] O=$abc$27697$n1144 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=$abc$56607$n1129 I1=$abc$56607$n1546 I2=$abc$56607$n1533 I3=$abc$56607$n1116 O=$3\report_data_wr[7:0][7] +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$27697$n1144 I1=$abc$27697$n741_1 I2=$abc$27697$n1124_1 I3=$abc$27697$n1142_1 O=$abc$27697$n1145_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000100011110000 -.gate SB_CARRY CI=$abc$56607$n2621 CO=$auto$alumacc.cc:470:replace_alu$12026.C[2] I0=$false I1=$abc$56607$n2518 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12026.C[2] CO=$auto$alumacc.cc:470:replace_alu$12026.C[3] I0=$false I1=$abc$56607$n2604 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12026.C[3] CO=$abc$56607$n2229 I0=$false I1=$abc$56607$n2606 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n2607 CO=$auto$alumacc.cc:470:replace_alu$12031.C[3] I0=$false I1=$abc$56607$n2608 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[3] CO=$auto$alumacc.cc:470:replace_alu$12031.C[4] I0=$false I1=$abc$56607$n2609 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[4] CO=$auto$alumacc.cc:470:replace_alu$12031.C[5] I0=$false I1=$abc$56607$n2610 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[5] CO=$auto$alumacc.cc:470:replace_alu$12031.C[6] I0=$false I1=$abc$56607$n2611 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[6] CO=$auto$alumacc.cc:470:replace_alu$12031.C[7] I0=$false I1=$abc$56607$n2612 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[7] CO=$abc$56607$n2569 I0=$false I1=$abc$56607$n2613 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n282 CO=$auto$alumacc.cc:470:replace_alu$12036.C[3] I0=$true I1=$abc$56607$n280 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[3] CO=$auto$alumacc.cc:470:replace_alu$12036.C[4] I0=$false I1=$abc$56607$n279 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[4] CO=$auto$alumacc.cc:470:replace_alu$12036.C[5] I0=$false I1=$abc$56607$n277 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[5] CO=$auto$alumacc.cc:470:replace_alu$12036.C[6] I0=$false I1=$abc$56607$n276 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[6] CO=$auto$alumacc.cc:470:replace_alu$12036.C[7] I0=$false I1=$abc$56607$n274 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[7] CO=$abc$56607$n2570 I0=$false I1=$abc$56607$n273 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:470:replace_alu$12041.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[5] CO=$auto$alumacc.cc:470:replace_alu$12041.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[6] CO=$auto$alumacc.cc:470:replace_alu$12041.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[7] CO=$abc$56607$n2571 I0=KEYBOARD.kbd_code_hid[7] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:470:replace_alu$12053.C[2] I0=KEYBOARD.kbd_code_hid[1] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[2] CO=$auto$alumacc.cc:470:replace_alu$12053.C[3] I0=KEYBOARD.kbd_code_hid[2] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[3] CO=$auto$alumacc.cc:470:replace_alu$12053.C[4] I0=KEYBOARD.kbd_code_hid[3] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[4] CO=$auto$alumacc.cc:470:replace_alu$12053.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[5] CO=$auto$alumacc.cc:470:replace_alu$12053.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[6] CO=$auto$alumacc.cc:470:replace_alu$12053.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[7] CO=$abc$56607$n2572 I0=KEYBOARD.kbd_code_hid[7] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n2615 CO=$auto$alumacc.cc:470:replace_alu$12064.C[2] I0=$false I1=$abc$56607$n2530 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12064.C[2] CO=$auto$alumacc.cc:470:replace_alu$12064.C[3] I0=$false I1=$abc$56607$n2616 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12064.C[3] CO=$abc$56607$n1249 I0=$false I1=$abc$56607$n2617 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n246 CO=$auto$alumacc.cc:470:replace_alu$12069.C[2] I0=$true I1=$abc$56607$n1955 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[2] CO=$auto$alumacc.cc:470:replace_alu$12069.C[3] I0=$false I1=$abc$56607$n243 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[3] CO=$auto$alumacc.cc:470:replace_alu$12069.C[4] I0=$true I1=$abc$56607$n2618 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[4] CO=$auto$alumacc.cc:470:replace_alu$12069.C[5] I0=$false I1=$abc$56607$n240 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[5] CO=$auto$alumacc.cc:470:replace_alu$12069.C[6] I0=$false I1=$abc$56607$n239 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[6] CO=$auto$alumacc.cc:470:replace_alu$12069.C[7] I0=$false I1=$abc$56607$n237 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[7] CO=$abc$56607$n2575 I0=$false I1=$abc$56607$n236 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:470:replace_alu$12080.C[3] I0=init_ram_cnt[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[3] CO=$auto$alumacc.cc:470:replace_alu$12080.C[4] I0=init_ram_cnt[3] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[4] CO=$auto$alumacc.cc:470:replace_alu$12080.C[5] I0=init_ram_cnt[4] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[5] CO=$auto$alumacc.cc:470:replace_alu$12080.C[6] I0=init_ram_cnt[5] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[6] CO=$auto$alumacc.cc:470:replace_alu$12080.C[7] I0=init_ram_cnt[6] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[7] CO=$abc$56607$n22 I0=init_ram_cnt[7] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n270 CO=$auto$alumacc.cc:470:replace_alu$12087.C[2] I0=$false I1=$abc$56607$n269 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12087.C[2] CO=$auto$alumacc.cc:470:replace_alu$12087.C[3] I0=$true I1=$abc$56607$n2620 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12087.C[3] CO=$abc$56607$n2577 I0=$false I1=$abc$56607$n266 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n282 CO=$auto$alumacc.cc:470:replace_alu$12098.C[3] I0=$false I1=$abc$56607$n280 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[3] CO=$auto$alumacc.cc:470:replace_alu$12098.C[4] I0=$false I1=$abc$56607$n279 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[4] CO=$auto$alumacc.cc:470:replace_alu$12098.C[5] I0=$false I1=$abc$56607$n277 +.param LUT_INIT 1000000000000000 +.gate SB_CARRY CI=$abc$27697$n1915 CO=$auto$alumacc.cc:470:replace_alu$5935.C[2] I0=$false I1=$abc$27697$n1902 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[5] CO=$auto$alumacc.cc:470:replace_alu$12098.C[6] I0=$false I1=$abc$56607$n276 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5935.C[2] CO=$auto$alumacc.cc:470:replace_alu$5935.C[3] I0=$false I1=$abc$27697$n1917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[6] CO=$auto$alumacc.cc:470:replace_alu$12098.C[7] I0=$false I1=$abc$56607$n274 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5935.C[3] CO=$abc$27697$n1671 I0=$false I1=$abc$27697$n1919 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[7] CO=$abc$56607$n2580 I0=$false I1=$abc$56607$n273 +.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:470:replace_alu$5940.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n2621 I3=$true O=$abc$56607$n2509 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$56607$n2621 CO=$auto$alumacc.cc:470:replace_alu$12111.C[2] I0=$false I1=$abc$56607$n2518 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5940.C[5] CO=$auto$alumacc.cc:470:replace_alu$5940.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n2604 I3=$auto$alumacc.cc:470:replace_alu$12111.C[2] O=$abc$56607$n2495 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$56607$n1894 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12114.C[2] I0=$false I1=wr_cnt[1] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5940.C[6] CO=$auto$alumacc.cc:470:replace_alu$5940.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12114.C[2] O=$abc$56607$n1897 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12114.C[2] CO=$auto$alumacc.cc:470:replace_alu$12114.C[3] I0=$false I1=wr_cnt[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5940.C[7] CO=$abc$27697$n1946 I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12114.C[3] O=$abc$56607$n1899 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$56607$n1811 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:470:replace_alu$12117.C[2] I0=$false I1=ring_rd[1] +.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:470:replace_alu$5945.C[2] I0=KEYBOARD.kbd_code_hid[1] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:470:replace_alu$12117.C[2] O=$abc$56607$n1817 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12117.C[2] CO=$auto$alumacc.cc:470:replace_alu$12117.C[3] I0=$false I1=ring_rd[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[2] CO=$auto$alumacc.cc:470:replace_alu$5945.C[3] I0=KEYBOARD.kbd_code_hid[2] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:470:replace_alu$12117.C[3] O=$abc$56607$n1820 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:180$513_Y[0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:470:replace_alu$12120.C[2] I0=$false I1=report_data_radr[1] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[3] CO=$auto$alumacc.cc:470:replace_alu$5945.C[4] I0=KEYBOARD.kbd_code_hid[3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:470:replace_alu$12120.C[2] O=$abc$56607$n1824 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[2] CO=$auto$alumacc.cc:470:replace_alu$12120.C[3] I0=$false I1=report_data_radr[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[4] CO=$auto$alumacc.cc:470:replace_alu$5945.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:470:replace_alu$12120.C[3] O=$abc$56607$n1827 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[3] CO=$auto$alumacc.cc:470:replace_alu$12120.C[4] I0=$false I1=report_data_radr[3] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[5] CO=$auto$alumacc.cc:470:replace_alu$5945.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:470:replace_alu$12120.C[4] O=$abc$56607$n1830 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[4] CO=$auto$alumacc.cc:470:replace_alu$12120.C[5] I0=$false I1=report_data_radr[4] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[6] CO=$auto$alumacc.cc:470:replace_alu$5945.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:470:replace_alu$12120.C[5] O=$abc$56607$n1833 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[5] CO=$auto$alumacc.cc:470:replace_alu$12120.C[6] I0=$false I1=report_data_radr[5] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[7] CO=$abc$27697$n1947 I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:470:replace_alu$12120.C[6] O=$abc$56607$n1836 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[6] CO=$auto$alumacc.cc:470:replace_alu$12120.C[7] I0=$false I1=report_data_radr[6] +.gate SB_CARRY CI=$abc$27697$n1912 CO=$auto$alumacc.cc:470:replace_alu$5956.C[2] I0=$false I1=$abc$27697$n1892 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:470:replace_alu$12120.C[7] O=$abc$56607$n1839 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[19:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:470:replace_alu$12123.C[10] O=$13\int_tmr[19:0][10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[10] CO=$auto$alumacc.cc:470:replace_alu$12123.C[11] I0=$false I1=int_tmr[10] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5956.C[2] CO=$auto$alumacc.cc:470:replace_alu$5956.C[3] I0=$false I1=$abc$27697$n1913 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:470:replace_alu$12123.C[11] O=$13\int_tmr[19:0][11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[11] CO=$auto$alumacc.cc:470:replace_alu$12123.C[12] I0=$false I1=int_tmr[11] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5956.C[3] CO=$abc$27697$n511 I0=$false I1=$abc$27697$n1914 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:470:replace_alu$12123.C[12] O=$13\int_tmr[19:0][12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[12] CO=$auto$alumacc.cc:470:replace_alu$12123.C[13] I0=$false I1=int_tmr[12] +.gate SB_CARRY CI=$abc$27697$n835 CO=$auto$alumacc.cc:470:replace_alu$5961.C[3] I0=$false I1=$abc$27697$n833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:470:replace_alu$12123.C[13] O=$13\int_tmr[19:0][13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[13] CO=$auto$alumacc.cc:470:replace_alu$12123.C[14] I0=$false I1=int_tmr[13] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[3] CO=$auto$alumacc.cc:470:replace_alu$5961.C[4] I0=$false I1=$abc$27697$n832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:470:replace_alu$12123.C[14] O=$13\int_tmr[19:0][14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[14] CO=$auto$alumacc.cc:470:replace_alu$12123.C[15] I0=$false I1=int_tmr[14] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[4] CO=$auto$alumacc.cc:470:replace_alu$5961.C[5] I0=$false I1=$abc$27697$n830 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[15] I3=$auto$alumacc.cc:470:replace_alu$12123.C[15] O=$13\int_tmr[19:0][15] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[15] CO=$auto$alumacc.cc:470:replace_alu$12123.C[16] I0=$false I1=int_tmr[15] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[5] CO=$auto$alumacc.cc:470:replace_alu$5961.C[6] I0=$false I1=$abc$27697$n829 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[16] I3=$auto$alumacc.cc:470:replace_alu$12123.C[16] O=$13\int_tmr[19:0][16] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[16] CO=$auto$alumacc.cc:470:replace_alu$12123.C[17] I0=$false I1=int_tmr[16] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[6] CO=$auto$alumacc.cc:470:replace_alu$5961.C[7] I0=$false I1=$abc$27697$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[17] I3=$auto$alumacc.cc:470:replace_alu$12123.C[17] O=$13\int_tmr[19:0][17] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[17] CO=$auto$alumacc.cc:470:replace_alu$12123.C[18] I0=$false I1=int_tmr[17] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[7] CO=$abc$27697$n1950 I0=$false I1=$abc$27697$n826 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[18] I3=$auto$alumacc.cc:470:replace_alu$12123.C[18] O=$13\int_tmr[19:0][18] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[18] CO=$auto$alumacc.cc:470:replace_alu$12123.C[19] I0=$false I1=int_tmr[18] +.gate SB_CARRY CI=$abc$27697$n845 CO=$auto$alumacc.cc:470:replace_alu$5974.C[2] I0=$false I1=$abc$27697$n844 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[19] I3=$auto$alumacc.cc:470:replace_alu$12123.C[19] O=$abc$56607$n1733 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:470:replace_alu$12123.C[2] I0=$false I1=int_tmr[1] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5974.C[2] CO=$auto$alumacc.cc:470:replace_alu$5974.C[3] I0=$true I1=$abc$27697$n1920 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:470:replace_alu$12123.C[2] O=$13\int_tmr[19:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[2] CO=$auto$alumacc.cc:470:replace_alu$12123.C[3] I0=$false I1=int_tmr[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5974.C[3] CO=$abc$27697$n1953 I0=$false I1=$abc$27697$n841 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:470:replace_alu$12123.C[3] O=$13\int_tmr[19:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[3] CO=$auto$alumacc.cc:470:replace_alu$12123.C[4] I0=$false I1=int_tmr[3] +.gate SB_CARRY CI=$abc$27697$n857 CO=$auto$alumacc.cc:470:replace_alu$5985.C[2] I0=$true I1=$abc$27697$n1889 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:470:replace_alu$12123.C[4] O=$13\int_tmr[19:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[4] CO=$auto$alumacc.cc:470:replace_alu$12123.C[5] I0=$false I1=int_tmr[4] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[2] CO=$auto$alumacc.cc:470:replace_alu$5985.C[3] I0=$false I1=$abc$27697$n854 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:470:replace_alu$12123.C[5] O=$13\int_tmr[19:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[5] CO=$auto$alumacc.cc:470:replace_alu$12123.C[6] I0=$false I1=int_tmr[5] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[3] CO=$auto$alumacc.cc:470:replace_alu$5985.C[4] I0=$false I1=$abc$27697$n853 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:470:replace_alu$12123.C[6] O=$13\int_tmr[19:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[6] CO=$auto$alumacc.cc:470:replace_alu$12123.C[7] I0=$false I1=int_tmr[6] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[4] CO=$auto$alumacc.cc:470:replace_alu$5985.C[5] I0=$false I1=$abc$27697$n851 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:470:replace_alu$12123.C[7] O=$13\int_tmr[19:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[7] CO=$auto$alumacc.cc:470:replace_alu$12123.C[8] I0=$false I1=int_tmr[7] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[5] CO=$auto$alumacc.cc:470:replace_alu$5985.C[6] I0=$false I1=$abc$27697$n850 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:470:replace_alu$12123.C[8] O=$13\int_tmr[19:0][8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[8] CO=$auto$alumacc.cc:470:replace_alu$12123.C[9] I0=$false I1=int_tmr[8] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[6] CO=$auto$alumacc.cc:470:replace_alu$5985.C[7] I0=$false I1=$abc$27697$n848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:470:replace_alu$12123.C[9] O=$13\int_tmr[19:0][9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[9] CO=$auto$alumacc.cc:470:replace_alu$12123.C[10] I0=$false I1=int_tmr[9] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[7] CO=$abc$27697$n1956 I0=$false I1=$abc$27697$n847 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$56607$n35 I2=rststate[0] I3=$false O=$abc$56607$n1977 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$12126.C[1] I0=$abc$56607$n35 I1=rststate[0] +.gate SB_CARRY CI=$abc$27697$n835 CO=$auto$alumacc.cc:470:replace_alu$5996.C[3] I0=$true I1=$abc$27697$n833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$12126.C[1] O=$abc$56607$n1979 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12126.C[1] CO=$auto$alumacc.cc:470:replace_alu$12126.C[2] I0=$false I1=rststate[1] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[3] CO=$auto$alumacc.cc:470:replace_alu$5996.C[4] I0=$false I1=$abc$27697$n832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$12126.C[2] O=$abc$56607$n1981 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12126.C[2] CO=$auto$alumacc.cc:470:replace_alu$12126.C[3] I0=$false I1=rststate[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[4] CO=$auto$alumacc.cc:470:replace_alu$5996.C[5] I0=$false I1=$abc$27697$n830 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$12126.C[3] O=$abc$56607$n1983 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12129.C[2] I0=$false I1=init_ram_cnt[1] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[5] CO=$auto$alumacc.cc:470:replace_alu$5996.C[6] I0=$false I1=$abc$27697$n829 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12129.C[2] O=$2\init_ram_cnt[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[2] CO=$auto$alumacc.cc:470:replace_alu$12129.C[3] I0=$false I1=init_ram_cnt[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[6] CO=$auto$alumacc.cc:470:replace_alu$5996.C[7] I0=$false I1=$abc$27697$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12129.C[3] O=$2\init_ram_cnt[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[3] CO=$auto$alumacc.cc:470:replace_alu$12129.C[4] I0=$false I1=init_ram_cnt[3] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[7] CO=$abc$27697$n1959 I0=$false I1=$abc$27697$n826 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:470:replace_alu$12129.C[4] O=$2\init_ram_cnt[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[4] CO=$auto$alumacc.cc:470:replace_alu$12129.C[5] I0=$false I1=init_ram_cnt[4] +.gate SB_CARRY CI=$abc$27697$n1922 CO=$auto$alumacc.cc:470:replace_alu$6001.C[3] I0=$false I1=$abc$27697$n1923 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:470:replace_alu$12129.C[5] O=$2\init_ram_cnt[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[5] CO=$auto$alumacc.cc:470:replace_alu$12129.C[6] I0=$false I1=init_ram_cnt[5] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[3] CO=$auto$alumacc.cc:470:replace_alu$6001.C[4] I0=$false I1=$abc$27697$n1924 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:470:replace_alu$12129.C[6] O=$2\init_ram_cnt[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[6] CO=$auto$alumacc.cc:470:replace_alu$12129.C[7] I0=$false I1=init_ram_cnt[6] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[4] CO=$auto$alumacc.cc:470:replace_alu$6001.C[5] I0=$false I1=$abc$27697$n1925 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:470:replace_alu$12129.C[7] O=$2\init_ram_cnt[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$56607$n1902 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:470:replace_alu$12132.C[2] I0=$false I1=ring_wr[1] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[5] CO=$auto$alumacc.cc:470:replace_alu$6001.C[6] I0=$false I1=$abc$27697$n1926 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:470:replace_alu$12132.C[2] O=$abc$56607$n1908 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12132.C[2] CO=$auto$alumacc.cc:470:replace_alu$12132.C[3] I0=$false I1=ring_wr[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[6] CO=$auto$alumacc.cc:470:replace_alu$6001.C[7] I0=$false I1=$abc$27697$n1927 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:470:replace_alu$12132.C[3] O=$abc$56607$n1911 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12132.C[3] CO=$auto$alumacc.cc:470:replace_alu$12132.C[4] I0=$false I1=ring_wr[3] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[7] CO=$abc$27697$n1960 I0=$false I1=$abc$27697$n1928 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:470:replace_alu$12132.C[4] O=$abc$56607$n49 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$56607$n321 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$56607$n305 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12138.C[2] I0=wr_cnt[1] I1=$false +.gate SB_CARRY CI=$abc$27697$n854 CO=$auto$alumacc.cc:470:replace_alu$6006.C[4] I0=$true I1=$abc$27697$n853 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12138.C[2] O=$abc$56607$n312 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12141.C[2] I0=I2C.byte_counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6006.C[4] CO=$auto$alumacc.cc:470:replace_alu$6006.C[5] I0=$false I1=$abc$27697$n851 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[2] O=$2\I2C_INPUT_LEN[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[2] CO=$auto$alumacc.cc:470:replace_alu$12141.C[3] I0=I2C.byte_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6006.C[5] CO=$auto$alumacc.cc:470:replace_alu$6006.C[6] I0=$false I1=$abc$27697$n850 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[3] O=$2\I2C_INPUT_LEN[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[3] CO=$auto$alumacc.cc:470:replace_alu$12141.C[4] I0=I2C.byte_counter[3] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6006.C[6] CO=$auto$alumacc.cc:470:replace_alu$6006.C[7] I0=$false I1=$abc$27697$n848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[4] O=$2\I2C_INPUT_LEN[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[4] CO=$auto$alumacc.cc:470:replace_alu$12141.C[5] I0=I2C.byte_counter[4] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6006.C[7] CO=$abc$27697$n1961 I0=$false I1=$abc$27697$n847 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[5] O=$2\I2C_INPUT_LEN[7:0][5] +.gate SB_LUT4 I0=$false I1=$abc$27697$n23 I2=rststate[0] I3=$false O=$abc$27697$n1408 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[5] CO=$auto$alumacc.cc:470:replace_alu$12141.C[6] I0=I2C.byte_counter[5] I1=$true +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$6011.C[1] I0=$abc$27697$n23 I1=rststate[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[6] O=$2\I2C_INPUT_LEN[7:0][6] +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$6011.C[1] O=$abc$27697$n1410 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[6] CO=$auto$alumacc.cc:470:replace_alu$12141.C[7] I0=I2C.byte_counter[6] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6011.C[1] CO=$auto$alumacc.cc:470:replace_alu$6011.C[2] I0=$false I1=rststate[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[7] O=$2\I2C_INPUT_LEN[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$56607$n1953 +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$6011.C[2] O=$abc$27697$n1412 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:470:replace_alu$12144.C[3] I0=init_ram_cnt[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6011.C[2] CO=$auto$alumacc.cc:470:replace_alu$6011.C[3] I0=$false I1=rststate[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:470:replace_alu$12144.C[3] O=$abc$56607$n1959 +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$6011.C[3] O=$abc$27697$n1414 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[3] CO=$auto$alumacc.cc:470:replace_alu$12144.C[4] I0=init_ram_cnt[3] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[4] O=$abc$56607$n1961 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$abc$27697$n866 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[4] CO=$auto$alumacc.cc:470:replace_alu$12144.C[5] I0=init_ram_cnt[4] I1=$true +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6014.C[2] I0=I2C.byte_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[5] O=$abc$56607$n1963 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$false I3=$auto$alumacc.cc:470:replace_alu$6014.C[2] O=$abc$27697$n873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[5] CO=$auto$alumacc.cc:470:replace_alu$12144.C[6] I0=init_ram_cnt[5] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[6] O=$abc$56607$n1965 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$abc$27697$n1393 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[6] CO=$auto$alumacc.cc:470:replace_alu$12144.C[7] I0=init_ram_cnt[6] I1=$true +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6017.C[2] I0=I2C.byte_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[7] O=$abc$56607$n1967 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[2] O=$abc$27697$n1396 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$56607$n2528 CO=$auto$alumacc.cc:470:replace_alu$12147.C[3] I0=$false I1=$abc$56607$n2627 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[3] CO=$auto$alumacc.cc:470:replace_alu$12147.C[4] I0=$false I1=$abc$56607$n2623 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[4] CO=$auto$alumacc.cc:470:replace_alu$12147.C[5] I0=$false I1=$abc$56607$n2628 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[2] CO=$auto$alumacc.cc:470:replace_alu$6017.C[3] I0=I2C.byte_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[5] CO=$auto$alumacc.cc:470:replace_alu$12147.C[6] I0=$false I1=$abc$56607$n2624 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[6] CO=$auto$alumacc.cc:470:replace_alu$12147.C[7] I0=$false I1=$abc$56607$n2625 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[7] CO=$abc$56607$n2583 I0=$false I1=$abc$56607$n2626 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=$abc$56607$n1520 I3=$false O=$abc$56607$n2210 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[3] O=$abc$27697$n1398 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$56607$n1520 CO=$auto$alumacc.cc:470:replace_alu$12152.C[2] I0=$false I1=$abc$56607$n1521 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[3] CO=$auto$alumacc.cc:470:replace_alu$6017.C[4] I0=I2C.byte_counter[3] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1523 I3=$auto$alumacc.cc:470:replace_alu$12152.C[2] O=$abc$56607$n2212 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[4] O=$abc$27697$n1400 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[2] CO=$auto$alumacc.cc:470:replace_alu$12152.C[3] I0=$false I1=$abc$56607$n1523 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[4] CO=$auto$alumacc.cc:470:replace_alu$6017.C[5] I0=I2C.byte_counter[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1524 I3=$auto$alumacc.cc:470:replace_alu$12152.C[3] O=$abc$56607$n2213 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[5] O=$abc$27697$n1402 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[3] CO=$auto$alumacc.cc:470:replace_alu$12152.C[4] I0=$false I1=$abc$56607$n1524 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[5] CO=$auto$alumacc.cc:470:replace_alu$6017.C[6] I0=I2C.byte_counter[5] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1526 I3=$auto$alumacc.cc:470:replace_alu$12152.C[4] O=$abc$56607$n2214 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[6] O=$abc$27697$n1404 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[4] CO=$auto$alumacc.cc:470:replace_alu$12152.C[5] I0=$false I1=$abc$56607$n1526 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[6] CO=$auto$alumacc.cc:470:replace_alu$6017.C[7] I0=I2C.byte_counter[6] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1527 I3=$auto$alumacc.cc:470:replace_alu$12152.C[5] O=$abc$56607$n2215 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[7] O=$abc$27697$n1406 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[5] CO=$auto$alumacc.cc:470:replace_alu$12152.C[6] I0=$false I1=$abc$56607$n1527 +.gate SB_CARRY CI=$abc$27697$n1890 CO=$auto$alumacc.cc:470:replace_alu$6020.C[3] I0=$false I1=$abc$27697$n1906 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1529 I3=$auto$alumacc.cc:470:replace_alu$12152.C[6] O=$abc$56607$n2216 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[6] CO=$auto$alumacc.cc:470:replace_alu$12152.C[7] I0=$false I1=$abc$56607$n1529 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[3] CO=$auto$alumacc.cc:470:replace_alu$6020.C[4] I0=$false I1=$abc$27697$n1908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1530 I3=$auto$alumacc.cc:470:replace_alu$12152.C[7] O=$abc$56607$n2217 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$56607$n2045 I2=$false I3=$true O=$abc$56607$n358 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$56607$n2045 CO=$auto$alumacc.cc:470:replace_alu$12155.C[2] I0=$abc$56607$n2047 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[4] CO=$auto$alumacc.cc:470:replace_alu$6020.C[5] I0=$false I1=$abc$27697$n1909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$56607$n2049 I2=$true I3=$auto$alumacc.cc:470:replace_alu$12155.C[2] O=$abc$56607$n360 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12155.C[2] CO=$auto$alumacc.cc:470:replace_alu$12155.C[3] I0=$abc$56607$n2049 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[5] CO=$auto$alumacc.cc:470:replace_alu$6020.C[6] I0=$false I1=$abc$27697$n1907 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$56607$n2051 I2=$true I3=$auto$alumacc.cc:470:replace_alu$12155.C[3] O=$abc$56607$n361 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12155.C[3] CO=$abc$56607$n2529 I0=$abc$56607$n2051 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[6] CO=$auto$alumacc.cc:470:replace_alu$6020.C[7] I0=$false I1=$abc$27697$n1910 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$56607$n2529 O=$abc$56607$n372 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$56607$n1636 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$12158.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[7] CO=$abc$27697$n1962 I0=$false I1=$abc$27697$n1911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12158.C[2] O=$abc$56607$n1639 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$56607$n1632 +.gate SB_LUT4 I0=$false I1=$true I2=$abc$27697$n1072 I3=$false O=$abc$27697$n1653 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$12161.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.gate SB_CARRY CI=$abc$27697$n1072 CO=$auto$alumacc.cc:470:replace_alu$6025.C[2] I0=$false I1=$abc$27697$n1073 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12161.C[2] O=$abc$56607$n1635 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$56607$n2471 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1075 I3=$auto$alumacc.cc:470:replace_alu$6025.C[2] O=$abc$27697$n1655 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:470:replace_alu$12164.C[7] I0=$false I1=I2C.byte_counter[6] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[2] CO=$auto$alumacc.cc:470:replace_alu$6025.C[3] I0=$false I1=$abc$27697$n1075 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:470:replace_alu$12164.C[7] O=$abc$56607$n2477 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1076 I3=$auto$alumacc.cc:470:replace_alu$6025.C[3] O=$abc$27697$n1656 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$12008[0] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[3] CO=$auto$alumacc.cc:470:replace_alu$6025.C[4] I0=$false I1=$abc$27697$n1076 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1078 I3=$auto$alumacc.cc:470:replace_alu$6025.C[4] O=$abc$27697$n1657 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12188.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[4] CO=$auto$alumacc.cc:470:replace_alu$6025.C[5] I0=$false I1=$abc$27697$n1078 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12188.C[2] O=$auto$wreduce.cc:347:run$12008[2] +.gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1079 I3=$auto$alumacc.cc:470:replace_alu$6025.C[5] O=$abc$27697$n1658 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[2] CO=$auto$alumacc.cc:470:replace_alu$12188.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[5] CO=$auto$alumacc.cc:470:replace_alu$6025.C[6] I0=$false I1=$abc$27697$n1079 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12188.C[3] O=$auto$wreduce.cc:347:run$12008[3] +.gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1081 I3=$auto$alumacc.cc:470:replace_alu$6025.C[6] O=$abc$27697$n1659 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[3] CO=$auto$alumacc.cc:470:replace_alu$12188.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[6] CO=$auto$alumacc.cc:470:replace_alu$6025.C[7] I0=$false I1=$abc$27697$n1081 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:470:replace_alu$12188.C[4] O=$auto$wreduce.cc:347:run$12008[4] +.gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1082 I3=$auto$alumacc.cc:470:replace_alu$6025.C[7] O=$abc$27697$n1660 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[4] CO=$auto$alumacc.cc:470:replace_alu$12188.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:470:replace_alu$12188.C[5] O=$auto$wreduce.cc:347:run$12008[5] +.gate SB_LUT4 I0=$false I1=$abc$27697$n1488 I2=$false I3=$true O=$abc$27697$n915 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[5] CO=$auto$alumacc.cc:470:replace_alu$12188.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5] +.gate SB_CARRY CI=$abc$27697$n1488 CO=$auto$alumacc.cc:470:replace_alu$6028.C[2] I0=$abc$27697$n1490 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:470:replace_alu$12188.C[6] O=$auto$wreduce.cc:347:run$12008[6] +.gate SB_LUT4 I0=$false I1=$abc$27697$n1492 I2=$true I3=$auto$alumacc.cc:470:replace_alu$6028.C[2] O=$abc$27697$n917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[6] CO=$auto$alumacc.cc:470:replace_alu$12188.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6028.C[2] CO=$auto$alumacc.cc:470:replace_alu$6028.C[3] I0=$abc$27697$n1492 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:470:replace_alu$12188.C[7] O=$auto$wreduce.cc:347:run$12008[7] +.gate SB_LUT4 I0=$false I1=$abc$27697$n1494 I2=$true I3=$auto$alumacc.cc:470:replace_alu$6028.C[3] O=$abc$27697$n918 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[7] CO=$auto$alumacc.cc:470:replace_alu$12188.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6028.C[3] CO=$abc$27697$n1891 I0=$abc$27697$n1494 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:470:replace_alu$12188.C[8] O=$auto$wreduce.cc:347:run$12008[8] +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$27697$n1891 O=$abc$27697$n929 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$56607$n2231 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$27697$n1058 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12191.C[2] I0=$false I1=KEYBOARD.row_counter[1] +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$6031.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:470:replace_alu$12191.C[2] O=$abc$56607$n2237 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6031.C[2] O=$abc$27697$n1061 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12191.C[2] CO=$auto$alumacc.cc:470:replace_alu$12191.C[3] I0=$false I1=KEYBOARD.row_counter[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:470:replace_alu$12191.C[3] O=$abc$56607$n28 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$27697$n1054 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[0] +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$6034.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6034.C[2] O=$abc$27697$n1057 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$12194.C[10] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[10] +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$27697$n1283 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[10] CO=$auto$alumacc.cc:470:replace_alu$12194.C[11] I0=$false I1=KEYBOARD.row_time[10] +.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:470:replace_alu$6037.C[7] I0=$false I1=I2C.byte_counter[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$12194.C[11] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[11] +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:470:replace_alu$6037.C[7] O=$abc$27697$n1288 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[11] CO=$auto$alumacc.cc:470:replace_alu$12194.C[12] I0=$false I1=KEYBOARD.row_time[11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$12194.C[12] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[12] +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$27697$n1672 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[12] CO=$auto$alumacc.cc:470:replace_alu$12194.C[13] I0=$false I1=KEYBOARD.row_time[12] +.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6061.C[2] I0=$false I1=KEYBOARD.row_counter[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$12194.C[13] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[13] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:470:replace_alu$6061.C[2] O=$abc$27697$n1678 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[13] CO=$auto$alumacc.cc:470:replace_alu$12194.C[14] I0=$false I1=KEYBOARD.row_time[13] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6061.C[2] CO=$auto$alumacc.cc:470:replace_alu$6061.C[3] I0=$false I1=KEYBOARD.row_counter[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$12194.C[14] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[14] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:470:replace_alu$6061.C[3] O=$abc$27697$n26 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[14] CO=$auto$alumacc.cc:470:replace_alu$12194.C[15] I0=$false I1=KEYBOARD.row_time[14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$12194.C[15] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[15] +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$auto$wreduce.cc:347:run$5921[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$12194.C[2] I0=$false I1=KEYBOARD.row_time[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$12194.C[2] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[2] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$6064.C[10] O=$auto$wreduce.cc:347:run$5921[10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[2] CO=$auto$alumacc.cc:470:replace_alu$12194.C[3] I0=$false I1=KEYBOARD.row_time[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[10] CO=$auto$alumacc.cc:470:replace_alu$6064.C[11] I0=$false I1=KEYBOARD.row_time[10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$12194.C[3] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[3] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$6064.C[11] O=$auto$wreduce.cc:347:run$5921[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[3] CO=$auto$alumacc.cc:470:replace_alu$12194.C[4] I0=$false I1=KEYBOARD.row_time[3] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[11] CO=$auto$alumacc.cc:470:replace_alu$6064.C[12] I0=$false I1=KEYBOARD.row_time[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$12194.C[4] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[4] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$6064.C[12] O=$auto$wreduce.cc:347:run$5921[12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[4] CO=$auto$alumacc.cc:470:replace_alu$12194.C[5] I0=$false I1=KEYBOARD.row_time[4] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[12] CO=$auto$alumacc.cc:470:replace_alu$6064.C[13] I0=$false I1=KEYBOARD.row_time[12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$12194.C[5] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[5] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$6064.C[13] O=$auto$wreduce.cc:347:run$5921[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[5] CO=$auto$alumacc.cc:470:replace_alu$12194.C[6] I0=$false I1=KEYBOARD.row_time[5] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[13] CO=$auto$alumacc.cc:470:replace_alu$6064.C[14] I0=$false I1=KEYBOARD.row_time[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$12194.C[6] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[6] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$6064.C[14] O=$auto$wreduce.cc:347:run$5921[14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[6] CO=$auto$alumacc.cc:470:replace_alu$12194.C[7] I0=$false I1=KEYBOARD.row_time[6] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[14] CO=$auto$alumacc.cc:470:replace_alu$6064.C[15] I0=$false I1=KEYBOARD.row_time[14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$12194.C[7] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[7] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$6064.C[15] O=$auto$wreduce.cc:347:run$5921[15] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[7] CO=$auto$alumacc.cc:470:replace_alu$12194.C[8] I0=$false I1=KEYBOARD.row_time[7] +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$6064.C[2] I0=$false I1=KEYBOARD.row_time[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$12194.C[8] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[8] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$6064.C[2] O=$auto$wreduce.cc:347:run$5921[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[8] CO=$auto$alumacc.cc:470:replace_alu$12194.C[9] I0=$false I1=KEYBOARD.row_time[8] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[2] CO=$auto$alumacc.cc:470:replace_alu$6064.C[3] I0=$false I1=KEYBOARD.row_time[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$12194.C[9] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[9] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$6064.C[3] O=$auto$wreduce.cc:347:run$5921[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[9] CO=$auto$alumacc.cc:470:replace_alu$12194.C[10] I0=$false I1=KEYBOARD.row_time[9] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[3] CO=$auto$alumacc.cc:470:replace_alu$6064.C[4] I0=$false I1=KEYBOARD.row_time[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$56607$n1541 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$6064.C[4] O=$auto$wreduce.cc:347:run$5921[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12197.C[2] I0=UART.tx_clk_counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[4] CO=$auto$alumacc.cc:470:replace_alu$6064.C[5] I0=$false I1=KEYBOARD.row_time[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12197.C[2] O=$abc$56607$n1544 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$6064.C[5] O=$auto$wreduce.cc:347:run$5921[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12197.C[2] CO=$auto$alumacc.cc:470:replace_alu$12197.C[3] I0=UART.tx_clk_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[5] CO=$auto$alumacc.cc:470:replace_alu$6064.C[6] I0=$false I1=KEYBOARD.row_time[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12197.C[3] O=$abc$56607$n1545 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$6064.C[6] O=$auto$wreduce.cc:347:run$5921[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$56607$n2519 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12200.C[2] I0=UART.tx_bit_counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[6] CO=$auto$alumacc.cc:470:replace_alu$6064.C[7] I0=$false I1=KEYBOARD.row_time[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12200.C[2] O=$abc$56607$n2603 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$6064.C[7] O=$auto$wreduce.cc:347:run$5921[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12200.C[2] CO=$auto$alumacc.cc:470:replace_alu$12200.C[3] I0=UART.tx_bit_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[7] CO=$auto$alumacc.cc:470:replace_alu$6064.C[8] I0=$false I1=KEYBOARD.row_time[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12200.C[3] O=$abc$56607$n2605 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$6064.C[8] O=$auto$wreduce.cc:347:run$5921[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$12778.C[5] I0=$abc$56607$n2543 I1=$abc$56607$n2545 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[8] CO=$auto$alumacc.cc:470:replace_alu$6064.C[9] I0=$false I1=KEYBOARD.row_time[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$56607$n2691 I3=$auto$maccmap.cc:240:synth$12778.C[5] O=$abc$56607$n1832 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$6064.C[9] O=$auto$wreduce.cc:347:run$5921[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$12778.C[5] CO=$auto$maccmap.cc:240:synth$12778.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$56607$n2691 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[9] CO=$auto$alumacc.cc:470:replace_alu$6064.C[10] I0=$false I1=KEYBOARD.row_time[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$12778.C[6] O=$abc$56607$n1835 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$27697$n1093 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$12778.C[6] CO=$auto$maccmap.cc:240:synth$12778.C[7] I0=$10\ring_rd[3:0][3] I1=$false +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6067.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$12778.C[7] O=$abc$56607$n1838 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0] +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6067.C[2] O=$abc$27697$n1096 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$13003.C[5] I0=$abc$56607$n2546 I1=$abc$56607$n2548 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6067.C[2] CO=$auto$alumacc.cc:470:replace_alu$6067.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$56607$n2678 I2=$abc$56607$n2680 I3=$auto$maccmap.cc:240:synth$13003.C[5] O=$6\report_data_wadr[7:0][5] +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6067.C[3] O=$abc$27697$n1097 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13003.C[5] CO=$auto$maccmap.cc:240:synth$13003.C[6] I0=$abc$56607$n2678 I1=$abc$56607$n2680 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$56607$n2681 I3=$auto$maccmap.cc:240:synth$13003.C[6] O=$6\report_data_wadr[7:0][6] +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$27697$n1903 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13003.C[6] CO=$auto$maccmap.cc:240:synth$13003.C[7] I0=ring_wr[3] I1=$abc$56607$n2681 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6070.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$13003.C[7] O=$6\report_data_wadr[7:0][7] +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6070.C[2] O=$abc$27697$n1916 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$13026.C[5] I0=$abc$56607$n2549 I1=$abc$56607$n2551 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6070.C[2] CO=$auto$alumacc.cc:470:replace_alu$6070.C[3] I0=UART.tx_bit_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$56607$n2671 I3=$auto$maccmap.cc:240:synth$13026.C[5] O=$abc$56607$n1943 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6070.C[3] O=$abc$27697$n1918 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13026.C[5] CO=$auto$maccmap.cc:240:synth$13026.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$56607$n2671 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$13026.C[6] O=$abc$56607$n1946 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1915 I3=$true O=$abc$27697$n1328 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13026.C[6] CO=$auto$maccmap.cc:240:synth$13026.C[7] I0=$2\ring_wr[3:0][3] I1=$false +.gate SB_CARRY CI=$abc$27697$n1915 CO=$auto$alumacc.cc:470:replace_alu$6073.C[2] I0=$false I1=$abc$27697$n1902 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$13026.C[7] O=$abc$56607$n1949 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1917 I3=$auto$alumacc.cc:470:replace_alu$6073.C[2] O=$abc$27697$n1314 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_DFFE C=CLK D=$abc$56607$n3 E=$abc$56607$n873 Q=LED1 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$56607$n842 Q=init_ram_cnt[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$56607$n842 Q=init_ram_cnt[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$56607$n842 Q=init_ram_cnt[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$56607$n842 Q=init_ram_cnt[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$56607$n842 Q=init_ram_cnt[4] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$56607$n842 Q=init_ram_cnt[5] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$56607$n842 Q=init_ram_cnt[6] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$56607$n842 Q=init_ram_cnt[7] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1284 E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[0] R=$abc$27697$n23 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[1] R=$abc$27697$n23 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[2] R=$abc$27697$n23 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[3] R=$abc$27697$n23 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[4] R=$abc$27697$n23 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1285 E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[5] R=$abc$27697$n23 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1287 E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[6] R=$abc$27697$n23 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1289 E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[7] R=$abc$27697$n23 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$27697$n23 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=KEYBOARD.ram_wr Q=KEYBOARD.RAM.last_we +.attr src "ram.v:8|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$27697$n1 E=$abc$27697$n278 Q=LED1 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$56607$n881 Q=UART_WR R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$56607$n888 Q=UART_TX_DATA[0] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$56607$n888 Q=UART_TX_DATA[1] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$56607$n888 Q=UART_TX_DATA[2] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$56607$n888 Q=UART_TX_DATA[3] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$56607$n888 Q=UART_TX_DATA[4] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$56607$n888 Q=UART_TX_DATA[5] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$56607$n888 Q=UART_TX_DATA[6] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$56607$n888 Q=UART_TX_DATA[7] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][0] E=$abc$56607$n901 Q=int_tmr[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][1] E=$abc$56607$n938 Q=int_tmr[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][2] E=$abc$56607$n901 Q=int_tmr[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][3] E=$abc$56607$n901 Q=int_tmr[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][4] E=$abc$56607$n901 Q=int_tmr[4] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][5] E=$abc$56607$n901 Q=int_tmr[5] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][6] E=$abc$56607$n901 Q=int_tmr[6] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][7] E=$abc$56607$n901 Q=int_tmr[7] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][8] E=$abc$56607$n901 Q=int_tmr[8] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][9] E=$abc$56607$n901 Q=int_tmr[9] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][10] E=$abc$56607$n901 Q=int_tmr[10] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][11] E=$abc$56607$n901 Q=int_tmr[11] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][12] E=$abc$56607$n901 Q=int_tmr[12] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][13] E=$abc$56607$n901 Q=int_tmr[13] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][14] E=$abc$56607$n901 Q=int_tmr[14] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][15] E=$abc$56607$n901 Q=int_tmr[15] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][16] E=$abc$56607$n901 Q=int_tmr[16] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][17] E=$abc$56607$n901 Q=int_tmr[17] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][18] E=$abc$56607$n901 Q=int_tmr[18] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][19] E=$abc$56607$n985 Q=int_tmr[19] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$true E=$abc$56607$n988 Q=$abc$56607$n8 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$56607$n992 Q=ring_wr[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$56607$n992 Q=ring_wr[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$56607$n992 Q=ring_wr[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$56607$n992 Q=ring_wr[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$56607$n999 Q=ring_rd[0] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$56607$n999 Q=ring_rd[1] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$56607$n999 Q=ring_rd[2] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$56607$n999 Q=ring_rd[3] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$56607$n1007 Q=wr_cnt[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$56607$n1007 Q=wr_cnt[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$56607$n1007 Q=wr_cnt[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$56607$n1007 Q=wr_cnt[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$56607$n1014 Q=report_wr_en S=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$56607$n1018 Q=report_data_wadr[0] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$56607$n1018 Q=report_data_wadr[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$56607$n1018 Q=report_data_wadr[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$56607$n1018 Q=report_data_wadr[3] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$56607$n1018 Q=report_data_wadr[4] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$56607$n1018 Q=report_data_wadr[5] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$56607$n1018 Q=report_data_wadr[6] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$56607$n1018 Q=report_data_wadr[7] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$add$top.v:180$513_Y[0] E=$abc$56607$n1050 Q=report_data_radr[0] R=$abc$56607$n21 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$56607$n1039 Q=report_data_radr[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$56607$n1050 Q=report_data_radr[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$56607$n1050 Q=report_data_radr[3] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$56607$n1050 Q=report_data_radr[4] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$56607$n1050 Q=report_data_radr[5] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$56607$n1050 Q=report_data_radr[6] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$56607$n1050 Q=report_data_radr[7] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$56607$n1018 Q=report_data_wr[0] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$56607$n1018 Q=report_data_wr[1] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$56607$n1018 Q=report_data_wr[2] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$56607$n1018 Q=report_data_wr[3] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$56607$n1018 Q=report_data_wr[4] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$56607$n1018 Q=report_data_wr[5] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$56607$n1018 Q=report_data_wr[6] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$56607$n1018 Q=report_data_wr[7] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$56607$n1113 Q=temp_output_report[0] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$56607$n1113 Q=temp_output_report[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$56607$n1113 Q=temp_output_report[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$56607$n1155 Q=i2c_input_data_type[0] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$56607$n1155 Q=i2c_input_data_type[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$56607$n1155 Q=i2c_input_data_type[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$56607$n1155 Q=i2c_input_data_type[3] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[4] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[5] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[6] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[7] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[0] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[1] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[4] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[5] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[6] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[7] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$56607$n1211 Q=LED2 S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$56607$n1211 Q=LED3 R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$56607$n1211 Q=LED4 S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.wr E=$abc$56607$n1218 Q=last_wr -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$56607$n1221 Q=last_trans R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$56607$n1227 Q=last_uart_active R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$56607$n1232 Q=last_isr R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$56607$n1236 Q=uart_double_ff R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFESR C=CLK D=$abc$27697$n1476 E=$abc$27697$n285 Q=I2C_TX_REPORT[0] R=$abc$27697$n741 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1477 E=$abc$27697$n285 Q=I2C_TX_REPORT[1] R=$abc$27697$n741 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1478 E=$abc$27697$n285 Q=I2C_TX_REPORT[2] R=$abc$27697$n741 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1479 E=$abc$27697$n285 Q=I2C_TX_REPORT[3] R=$abc$27697$n741 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1480 E=$abc$27697$n285 Q=I2C_TX_REPORT[4] R=$abc$27697$n741 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1481 E=$abc$27697$n285 Q=I2C_TX_REPORT[5] R=$abc$27697$n741 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1482 E=$abc$27697$n285 Q=I2C_TX_REPORT[6] R=$abc$27697$n741 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1483 E=$abc$27697$n285 Q=I2C_TX_REPORT[7] R=$abc$27697$n741 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$27697$n288 Q=UART_WR R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1367 E=$abc$27697$n291 Q=UART_TX_DATA[0] S=$abc$27697$n19 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1368 E=$abc$27697$n291 Q=UART_TX_DATA[1] S=$abc$27697$n19 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1369 E=$abc$27697$n291 Q=UART_TX_DATA[2] S=$abc$27697$n19 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1370 E=$abc$27697$n291 Q=UART_TX_DATA[3] S=$abc$27697$n19 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1371 E=$abc$27697$n291 Q=UART_TX_DATA[4] S=$abc$27697$n19 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1372 E=$abc$27697$n291 Q=UART_TX_DATA[5] S=$abc$27697$n19 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1373 E=$abc$27697$n291 Q=UART_TX_DATA[6] S=$abc$27697$n19 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1374 E=$abc$27697$n291 Q=UART_TX_DATA[7] S=$abc$27697$n19 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$true E=$abc$27697$n295 Q=$abc$27697$n10 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$27697$n357 Q=temp_output_report[0] +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$27697$n357 Q=temp_output_report[1] +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$27697$n357 Q=temp_output_report[2] +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$27697$n401 Q=i2c_input_data_type[0] +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$27697$n401 Q=i2c_input_data_type[1] +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$27697$n401 Q=i2c_input_data_type[2] +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$27697$n401 Q=i2c_input_data_type[3] +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$27697$n422 Q=I2C_INPUT_LEN[0] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$27697$n422 Q=I2C_INPUT_LEN[1] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$27697$n422 Q=I2C_INPUT_LEN[2] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$27697$n422 Q=I2C_INPUT_LEN[3] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$27697$n422 Q=I2C_INPUT_LEN[4] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$27697$n422 Q=I2C_INPUT_LEN[5] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$27697$n422 Q=I2C_INPUT_LEN[6] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$27697$n422 Q=I2C_INPUT_LEN[7] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$27697$n434 Q=I2C_OUTPUT_TYPE[0] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$27697$n434 Q=I2C_OUTPUT_TYPE[1] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$27697$n434 Q=I2C_OUTPUT_TYPE[2] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[0] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[1] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[2] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[3] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[4] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[5] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[6] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[7] R=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$27697$n455 Q=LED2 S=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[1] E=$abc$27697$n455 Q=LED3 S=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$27697$n455 Q=LED4 S=$abc$27697$n23 +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.wr E=I2C.FLT_SCL.RESET Q=last_wr +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C_TRANS E=I2C.FLT_SCL.RESET Q=last_trans +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART.tx_activity E=I2C.FLT_SCL.RESET Q=last_uart_active +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=KEYBOARD.isr E=I2C.FLT_SCL.RESET Q=last_isr +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$abc$27697$n467 Q=uart_double_ff +.attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF .attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFF C=CLK D=$abc$56607$n2091 Q=I2C.wr +.gate SB_DFF C=CLK D=$abc$27697$n1534 Q=I2C.wr .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2089 Q=I2C.is_ack +.gate SB_DFF C=CLK D=$abc$27697$n1532 Q=I2C.is_ack .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2073 Q=I2C.byte_counter[0] +.gate SB_DFF C=CLK D=$abc$27697$n1516 Q=I2C.byte_counter[0] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2075 Q=I2C.byte_counter[1] +.gate SB_DFF C=CLK D=$abc$27697$n1518 Q=I2C.byte_counter[1] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2077 Q=I2C.byte_counter[2] +.gate SB_DFF C=CLK D=$abc$27697$n1520 Q=I2C.byte_counter[2] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2079 Q=I2C.byte_counter[3] +.gate SB_DFF C=CLK D=$abc$27697$n1522 Q=I2C.byte_counter[3] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2081 Q=I2C.byte_counter[4] +.gate SB_DFF C=CLK D=$abc$27697$n1524 Q=I2C.byte_counter[4] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2083 Q=I2C.byte_counter[5] +.gate SB_DFF C=CLK D=$abc$27697$n1526 Q=I2C.byte_counter[5] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2085 Q=I2C.byte_counter[6] +.gate SB_DFF C=CLK D=$abc$27697$n1528 Q=I2C.byte_counter[6] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2087 Q=I2C.byte_counter[7] +.gate SB_DFF C=CLK D=$abc$27697$n1530 Q=I2C.byte_counter[7] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1248 Q=I2C.received_byte[0] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n478 Q=I2C.received_byte[0] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1258 Q=I2C.received_byte[1] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n483 Q=I2C.received_byte[1] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1268 Q=I2C.received_byte[2] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n488 Q=I2C.received_byte[2] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1278 Q=I2C.received_byte[3] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n493 Q=I2C.received_byte[3] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1283 Q=I2C.received_byte[4] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n498 Q=I2C.received_byte[4] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1290 Q=I2C.received_byte[5] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n503 Q=I2C.received_byte[5] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1297 Q=I2C.received_byte[6] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n510 Q=I2C.received_byte[6] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1302 Q=I2C.received_byte[7] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n524 Q=I2C.received_byte[7] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$56607$n2065 Q=I2C.i2c_bit_counter[0] +.gate SB_DFF C=CLK D=$abc$27697$n1508 Q=I2C.i2c_bit_counter[0] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2067 Q=I2C.i2c_bit_counter[1] +.gate SB_DFF C=CLK D=$abc$27697$n1510 Q=I2C.i2c_bit_counter[1] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2069 Q=I2C.i2c_bit_counter[2] +.gate SB_DFF C=CLK D=$abc$27697$n1512 Q=I2C.i2c_bit_counter[2] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2071 Q=I2C.i2c_bit_counter[3] +.gate SB_DFF C=CLK D=$abc$27697$n1514 Q=I2C.i2c_bit_counter[3] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2063 Q=I2C.is_read +.gate SB_DFF C=CLK D=$abc$27697$n1506 Q=I2C.is_read .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$56607$n2043 E=$abc$56607$n1305 Q=I2C.i2c_start_latency +.gate SB_DFFE C=CLK D=$abc$27697$n1486 E=$abc$27697$n527 Q=I2C.i2c_start_latency .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$56607$n5 Q=$abc$56607$n14 +.gate SB_DFF C=CLK D=$abc$27697$n7 Q=$abc$27697$n16 .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n7 Q=$abc$56607$n16 +.gate SB_DFF C=CLK D=$abc$27697$n5 Q=$abc$27697$n14 .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2059 Q=I2C.SDA_DIR +.gate SB_DFF C=CLK D=$abc$27697$n1502 Q=I2C.SDA_DIR .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$56607$n2226 E=I2C.FLT_SCL.RESET Q=UART.tx_activity -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n1 E=$abc$56607$n1320 Q=$abc$56607$n10 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2219 E=$abc$56607$n1315 Q=UART.tx_clk_counter[0] R=$abc$56607$n35 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2221 E=$abc$56607$n1315 Q=UART.tx_clk_counter[1] R=$abc$56607$n35 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2223 E=$abc$56607$n1315 Q=UART.tx_clk_counter[2] R=$abc$56607$n35 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2225 E=$abc$56607$n1315 Q=UART.tx_clk_counter[3] R=$abc$56607$n35 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2519 E=$abc$56607$n1320 Q=UART.tx_bit_counter[0] S=$abc$56607$n25 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2517 E=$abc$56607$n1320 Q=UART.tx_bit_counter[1] R=$abc$56607$n25 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2603 E=$abc$56607$n1320 Q=UART.tx_bit_counter[2] R=$abc$56607$n25 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2605 E=$abc$56607$n1320 Q=UART.tx_bit_counter[3] S=$abc$56607$n25 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1669 E=I2C.FLT_SCL.RESET Q=UART.tx_activity +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n3 E=$abc$27697$n542 Q=$abc$27697$n12 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1662 E=$abc$27697$n533 Q=UART.tx_clk_counter[0] R=$abc$27697$n23 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1664 E=$abc$27697$n533 Q=UART.tx_clk_counter[1] R=$abc$27697$n23 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1666 E=$abc$27697$n533 Q=UART.tx_clk_counter[2] R=$abc$27697$n23 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1668 E=$abc$27697$n533 Q=UART.tx_clk_counter[3] R=$abc$27697$n23 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1903 E=$abc$27697$n542 Q=UART.tx_bit_counter[0] S=$abc$27697$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1901 E=$abc$27697$n542 Q=UART.tx_bit_counter[1] R=$abc$27697$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1916 E=$abc$27697$n542 Q=UART.tx_bit_counter[2] R=$abc$27697$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$27697$n1918 E=$abc$27697$n542 Q=UART.tx_bit_counter[3] S=$abc$27697$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$56607$n1328 Q=KEYBOARD.report[6][0] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$56607$n1328 Q=KEYBOARD.report[6][1] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$56607$n1328 Q=KEYBOARD.report[6][2] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$56607$n1328 Q=KEYBOARD.report[6][3] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$56607$n1328 Q=KEYBOARD.report[6][4] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$56607$n1328 Q=KEYBOARD.report[6][5] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$56607$n1328 Q=KEYBOARD.report[6][6] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$56607$n1328 Q=KEYBOARD.report[6][7] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n556 Q=KEYBOARD.report[6][0] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n556 Q=KEYBOARD.report[6][1] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n556 Q=KEYBOARD.report[6][2] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n556 Q=KEYBOARD.report[6][3] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n556 Q=KEYBOARD.report[6][4] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n556 Q=KEYBOARD.report[6][5] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n556 Q=KEYBOARD.report[6][6] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n556 Q=KEYBOARD.report[6][7] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[4] Q=KEYBOARD.COLS_SHADOW[4] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[5] Q=KEYBOARD.COLS_SHADOW[5] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[6] Q=KEYBOARD.COLS_SHADOW[6] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[7] Q=KEYBOARD.COLS_SHADOW[7] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1407 Q=kbd_report[5][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1407 Q=kbd_report[5][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1407 Q=kbd_report[5][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1407 Q=kbd_report[5][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1407 Q=kbd_report[5][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1407 Q=kbd_report[5][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1407 Q=kbd_report[5][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1407 Q=kbd_report[5][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1422 Q=KEYBOARD.report[4][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1422 Q=KEYBOARD.report[4][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1422 Q=KEYBOARD.report[4][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1422 Q=KEYBOARD.report[4][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1422 Q=KEYBOARD.report[4][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1422 Q=KEYBOARD.report[4][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1422 Q=KEYBOARD.report[4][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1422 Q=KEYBOARD.report[4][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1431 Q=KEYBOARD.report[3][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1431 Q=KEYBOARD.report[3][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1431 Q=KEYBOARD.report[3][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1431 Q=KEYBOARD.report[3][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1431 Q=KEYBOARD.report[3][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1431 Q=KEYBOARD.report[3][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1431 Q=KEYBOARD.report[3][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1431 Q=KEYBOARD.report[3][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1435 Q=KEYBOARD.report[2][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1435 Q=KEYBOARD.report[2][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1435 Q=KEYBOARD.report[2][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1435 Q=KEYBOARD.report[2][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1435 Q=KEYBOARD.report[2][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1435 Q=KEYBOARD.report[2][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1435 Q=KEYBOARD.report[2][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1435 Q=KEYBOARD.report[2][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1450 Q=KEYBOARD.report[1][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1450 Q=KEYBOARD.report[1][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1450 Q=KEYBOARD.report[1][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1450 Q=KEYBOARD.report[1][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1450 Q=KEYBOARD.report[1][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1450 Q=KEYBOARD.report[1][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1450 Q=KEYBOARD.report[1][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1450 Q=KEYBOARD.report[1][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2436 E=$abc$56607$n1457 Q=KEYBOARD.report[0][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2439 E=$abc$56607$n1457 Q=KEYBOARD.report[0][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2442 E=$abc$56607$n1457 Q=KEYBOARD.report[0][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2445 E=$abc$56607$n1457 Q=KEYBOARD.report[0][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2448 E=$abc$56607$n1457 Q=KEYBOARD.report[0][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2451 E=$abc$56607$n1457 Q=KEYBOARD.report[0][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2454 E=$abc$56607$n1457 Q=KEYBOARD.report[0][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2457 E=$abc$56607$n1457 Q=KEYBOARD.report[0][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[0] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2534 E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[2] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[3] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[4] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[5] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[6] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[7] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[8] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[8] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2232 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[0] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2235 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[1] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2238 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[2] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2240 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[3] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2242 E=$abc$56607$n1470 Q=KEYBOARD.ram_wr S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n26 E=$abc$56607$n1490 Q=KEYBOARD.is_pressed -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2269 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[0] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2271 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[1] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2273 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[2] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2276 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[3] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2279 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[4] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2282 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[5] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2285 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[6] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2287 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[7] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2701 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[0] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2702 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[1] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2703 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[2] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2704 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[3] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2705 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[4] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2706 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[5] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2707 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[6] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2708 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[7] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2701 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[8] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2702 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[9] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2703 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[10] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2704 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[11] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2705 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[12] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2706 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[13] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2707 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[14] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2708 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[15] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2433 E=$abc$56607$n1483 Q=KEYBOARD.isr R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2291 E=$abc$56607$n1484 Q=KEYBOARD.temp[0] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2293 E=$abc$56607$n1484 Q=KEYBOARD.temp[1] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2295 E=$abc$56607$n1484 Q=KEYBOARD.temp[2] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2297 E=$abc$56607$n1484 Q=KEYBOARD.temp[3] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2299 E=$abc$56607$n1484 Q=KEYBOARD.temp[4] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2301 E=$abc$56607$n1484 Q=KEYBOARD.temp[5] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2303 E=$abc$56607$n1484 Q=KEYBOARD.temp[6] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2305 E=$abc$56607$n1484 Q=KEYBOARD.temp[7] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2244 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[0] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2246 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[1] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2248 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[2] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2250 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[3] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[0] E=$abc$56607$n1490 Q=KEYBOARD.row_time[0] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2536 E=$abc$56607$n1489 Q=KEYBOARD.row_time[1] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[2] E=$abc$56607$n1490 Q=KEYBOARD.row_time[2] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[3] E=$abc$56607$n1490 Q=KEYBOARD.row_time[3] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[4] E=$abc$56607$n1490 Q=KEYBOARD.row_time[4] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[5] E=$abc$56607$n1490 Q=KEYBOARD.row_time[5] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[6] E=$abc$56607$n1490 Q=KEYBOARD.row_time[6] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[7] E=$abc$56607$n1490 Q=KEYBOARD.row_time[7] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[8] E=$abc$56607$n1490 Q=KEYBOARD.row_time[8] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[9] E=$abc$56607$n1490 Q=KEYBOARD.row_time[9] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[10] E=$abc$56607$n1490 Q=KEYBOARD.row_time[10] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[11] E=$abc$56607$n1490 Q=KEYBOARD.row_time[11] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[12] E=$abc$56607$n1490 Q=KEYBOARD.row_time[12] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[13] E=$abc$56607$n1490 Q=KEYBOARD.row_time[13] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[14] E=$abc$56607$n1490 Q=KEYBOARD.row_time[14] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[15] E=$abc$56607$n1490 Q=KEYBOARD.row_time[15] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2472 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[0] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[1] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[2] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[3] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[4] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2474 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[5] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2476 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[6] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2478 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[7] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFR C=CLK D=$abc$56607$n2061 Q=I2C.i2c_state_machine R=$abc$56607$n35 -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" -.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$56607$n1501 Q=I2C.FLT_SDA.out S=$abc$56607$n35 +.attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n610 Q=KEYBOARD.report[5][0] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n610 Q=KEYBOARD.report[5][1] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n610 Q=KEYBOARD.report[5][2] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n610 Q=KEYBOARD.report[5][3] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n610 Q=KEYBOARD.report[5][4] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n610 Q=KEYBOARD.report[5][5] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n610 Q=KEYBOARD.report[5][6] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n610 Q=KEYBOARD.report[5][7] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n618 Q=KEYBOARD.report[4][0] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n618 Q=KEYBOARD.report[4][1] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n618 Q=KEYBOARD.report[4][2] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n618 Q=KEYBOARD.report[4][3] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n618 Q=KEYBOARD.report[4][4] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n618 Q=KEYBOARD.report[4][5] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n618 Q=KEYBOARD.report[4][6] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n618 Q=KEYBOARD.report[4][7] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n626 Q=KEYBOARD.report[3][0] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n626 Q=KEYBOARD.report[3][1] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n626 Q=KEYBOARD.report[3][2] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n626 Q=KEYBOARD.report[3][3] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n626 Q=KEYBOARD.report[3][4] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n626 Q=KEYBOARD.report[3][5] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n626 Q=KEYBOARD.report[3][6] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n626 Q=KEYBOARD.report[3][7] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n641 Q=KEYBOARD.report[2][0] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n641 Q=KEYBOARD.report[2][1] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n641 Q=KEYBOARD.report[2][2] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n641 Q=KEYBOARD.report[2][3] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n641 Q=KEYBOARD.report[2][4] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n641 Q=KEYBOARD.report[2][5] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n641 Q=KEYBOARD.report[2][6] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n641 Q=KEYBOARD.report[2][7] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n651 Q=KEYBOARD.report[1][0] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n651 Q=KEYBOARD.report[1][1] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n651 Q=KEYBOARD.report[1][2] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n651 Q=KEYBOARD.report[1][3] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n651 Q=KEYBOARD.report[1][4] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n651 Q=KEYBOARD.report[1][5] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n651 Q=KEYBOARD.report[1][6] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n651 Q=KEYBOARD.report[1][7] R=$abc$27697$n25 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1867 E=$abc$27697$n659 Q=KEYBOARD.report[0][0] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1870 E=$abc$27697$n659 Q=KEYBOARD.report[0][1] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1873 E=$abc$27697$n659 Q=KEYBOARD.report[0][2] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1876 E=$abc$27697$n659 Q=KEYBOARD.report[0][3] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1879 E=$abc$27697$n659 Q=KEYBOARD.report[0][4] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1882 E=$abc$27697$n659 Q=KEYBOARD.report[0][5] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1885 E=$abc$27697$n659 Q=KEYBOARD.report[0][6] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1888 E=$abc$27697$n659 Q=KEYBOARD.report[0][7] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1672 E=$abc$27697$n667 Q=KEYBOARD.ram_adr[0] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1675 E=$abc$27697$n667 Q=KEYBOARD.ram_adr[1] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1678 E=$abc$27697$n667 Q=KEYBOARD.ram_adr[2] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n26 E=$abc$27697$n667 Q=KEYBOARD.ram_adr[3] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$27697$n667 Q=KEYBOARD.ram_adr[4] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$27697$n667 Q=KEYBOARD.ram_adr[5] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$27697$n667 Q=KEYBOARD.ram_adr[6] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$27697$n667 Q=KEYBOARD.ram_adr[7] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1699 E=$abc$27697$n665 Q=KEYBOARD.ram_wr +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n24 E=I2C.FLT_SCL.RESET Q=KEYBOARD.is_pressed +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1702 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[0] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1704 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[1] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1706 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[2] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1709 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[3] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1712 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[4] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1715 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[5] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1718 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[6] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1720 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[7] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2024 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[0] R=$abc$27697$n26 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2026 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[1] R=$abc$27697$n26 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2028 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[2] R=$abc$27697$n26 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2030 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[3] R=$abc$27697$n26 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2031 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[4] R=$abc$27697$n26 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2032 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[5] R=$abc$27697$n26 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2033 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[6] R=$abc$27697$n26 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2034 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[7] R=$abc$27697$n26 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2024 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[8] R=$abc$27697$n27 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2026 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[9] R=$abc$27697$n27 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2028 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[10] R=$abc$27697$n27 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2030 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[11] R=$abc$27697$n27 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2031 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[12] R=$abc$27697$n27 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2032 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[13] R=$abc$27697$n27 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2033 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[14] R=$abc$27697$n27 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n2034 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[15] R=$abc$27697$n27 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n44 E=I2C.FLT_SCL.RESET Q=KEYBOARD.isr +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1724 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[0] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1726 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[1] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1728 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[2] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1730 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[3] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1732 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[4] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1734 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[5] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1736 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[6] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1738 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[7] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1674 E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_counter[0] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1677 E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_counter[1] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1680 E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_counter[2] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$27697$n1682 E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_counter[3] +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[0] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[0] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$27697$n1896 E=$abc$27697$n670 Q=KEYBOARD.row_time[1] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[2] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[2] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[3] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[3] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[4] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[4] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[5] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[5] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[6] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[6] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[7] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[7] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[8] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[8] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[9] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[9] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[10] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[10] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[11] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[11] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[12] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[12] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[13] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[13] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[14] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[14] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[15] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[15] R=$abc$27697$n29 +.attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$27697$n679 Q=I2C.FLT_SDA.out S=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$56607$n2479 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[0] R=$abc$56607$n35 +.gate SB_DFFER C=CLK D=$abc$27697$n1291 E=$abc$27697$n680 Q=I2C.FLT_SDA.counter[0] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$56607$n2480 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[1] R=$abc$56607$n35 +.gate SB_DFFER C=CLK D=$abc$27697$n1292 E=$abc$27697$n680 Q=I2C.FLT_SDA.counter[1] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$56607$n2481 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[2] R=$abc$56607$n35 +.gate SB_DFFER C=CLK D=$abc$27697$n1293 E=$abc$27697$n680 Q=I2C.FLT_SDA.counter[2] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$56607$n1511 Q=I2C.FLT_SCL.out S=$abc$56607$n35 +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$27697$n689 Q=I2C.FLT_SCL.out S=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$56607$n2482 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[0] R=$abc$56607$n35 +.gate SB_DFFER C=CLK D=$abc$27697$n1294 E=$abc$27697$n690 Q=I2C.FLT_SCL.counter[0] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$56607$n2483 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[1] R=$abc$56607$n35 +.gate SB_DFFER C=CLK D=$abc$27697$n1295 E=$abc$27697$n690 Q=I2C.FLT_SCL.counter[1] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$56607$n2484 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[2] R=$abc$56607$n35 +.gate SB_DFFER C=CLK D=$abc$27697$n1296 E=$abc$27697$n690 Q=I2C.FLT_SCL.counter[2] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFR C=CLK D=$abc$27697$n1504 Q=I2C.i2c_state_machine R=$abc$27697$n23 +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr src "i2c_slave.v:178" .param PIN_TYPE 101001 @@ -3609,7 +2581,7 @@ .param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$abc$27697$n1228 WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -3630,7 +2602,7 @@ .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false -.attr src "matrix_kbd.v:161" +.attr src "matrix_kbd.v:262" .param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 .param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 @@ -3644,89 +2616,69 @@ .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" -.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 -.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param READ_MODE 00000000000000000000000000000001 -.param WRITE_MODE 00000000000000000000000000000001 .names I2C.is_read COM_RTS 1 1 .names CLK I2C.CLK @@ -3919,25 +2871,25 @@ 1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 -.names CLK KEYBOARD.RAM.clk +.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.addr[0] 1 1 -.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0] +.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.addr[1] 1 1 -.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1] +.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.addr[2] 1 1 -.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2] +.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.addr[3] 1 1 -.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3] +.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.addr[4] 1 1 -.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4] +.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.addr[5] 1 1 -.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5] +.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.addr[6] 1 1 -.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6] +.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.addr[7] 1 1 -.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7] +.names $undef KEYBOARD.RAM.addr[8] 1 1 -.names $undef KEYBOARD.RAM.raddr[8] +.names CLK KEYBOARD.RAM.clk 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 @@ -3955,24 +2907,6 @@ 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] 1 1 -.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0] -1 1 -.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1] -1 1 -.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2] -1 1 -.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3] -1 1 -.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4] -1 1 -.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5] -1 1 -.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6] -1 1 -.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7] -1 1 -.names $undef KEYBOARD.RAM.waddr[8] -1 1 .names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] 1 1 .names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1] @@ -4105,9 +3039,21 @@ 1 1 .names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7] 1 1 -.names kbd_report[5][0] KEYBOARD.kbd_r6[0] +.names KEYBOARD.report[5][0] KEYBOARD.kbd_r6[0] +1 1 +.names KEYBOARD.report[5][1] KEYBOARD.kbd_r6[1] +1 1 +.names KEYBOARD.report[5][2] KEYBOARD.kbd_r6[2] +1 1 +.names KEYBOARD.report[5][3] KEYBOARD.kbd_r6[3] +1 1 +.names KEYBOARD.report[5][4] KEYBOARD.kbd_r6[4] 1 1 -.names kbd_report[5][1] KEYBOARD.kbd_r6[1] +.names KEYBOARD.report[5][5] KEYBOARD.kbd_r6[5] +1 1 +.names KEYBOARD.report[5][6] KEYBOARD.kbd_r6[6] +1 1 +.names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7] 1 1 .names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0] 1 1 @@ -4143,84 +3089,8 @@ 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 -.names kbd_report[5][0] KEYBOARD.report[5][0] -1 1 -.names kbd_report[5][1] KEYBOARD.report[5][1] -1 1 .names I2C_TRANS LED5 1 1 -.names CLK REPORT_DATA.clk -1 1 -.names report_data_radr[0] REPORT_DATA.raddr[0] -1 1 -.names report_data_radr[1] REPORT_DATA.raddr[1] -1 1 -.names report_data_radr[2] REPORT_DATA.raddr[2] -1 1 -.names report_data_radr[3] REPORT_DATA.raddr[3] -1 1 -.names report_data_radr[4] REPORT_DATA.raddr[4] -1 1 -.names report_data_radr[5] REPORT_DATA.raddr[5] -1 1 -.names report_data_radr[6] REPORT_DATA.raddr[6] -1 1 -.names report_data_radr[7] REPORT_DATA.raddr[7] -1 1 -.names $false REPORT_DATA.raddr[8] -1 1 -.names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] -1 1 -.names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] -1 1 -.names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] -1 1 -.names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] -1 1 -.names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] -1 1 -.names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] -1 1 -.names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] -1 1 -.names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] -1 1 -.names report_data_wadr[0] REPORT_DATA.waddr[0] -1 1 -.names report_data_wadr[1] REPORT_DATA.waddr[1] -1 1 -.names report_data_wadr[2] REPORT_DATA.waddr[2] -1 1 -.names report_data_wadr[3] REPORT_DATA.waddr[3] -1 1 -.names report_data_wadr[4] REPORT_DATA.waddr[4] -1 1 -.names report_data_wadr[5] REPORT_DATA.waddr[5] -1 1 -.names report_data_wadr[6] REPORT_DATA.waddr[6] -1 1 -.names report_data_wadr[7] REPORT_DATA.waddr[7] -1 1 -.names $false REPORT_DATA.waddr[8] -1 1 -.names report_data_wr[0] REPORT_DATA.wdata[0] -1 1 -.names report_data_wr[1] REPORT_DATA.wdata[1] -1 1 -.names report_data_wr[2] REPORT_DATA.wdata[2] -1 1 -.names report_data_wr[3] REPORT_DATA.wdata[3] -1 1 -.names report_data_wr[4] REPORT_DATA.wdata[4] -1 1 -.names report_data_wr[5] REPORT_DATA.wdata[5] -1 1 -.names report_data_wr[6] REPORT_DATA.wdata[6] -1 1 -.names report_data_wr[7] REPORT_DATA.wdata[7] -1 1 -.names report_wr_en REPORT_DATA.wen -1 1 .names I2C.FLT_SCL.RESET RESET 1 1 .names CLK UART.CLK @@ -4335,37 +3205,37 @@ 1 1 .names KEYBOARD.report[4][7] kbd_report[4][7] 1 1 -.names KEYBOARD.report[6][0] kbd_report[6][0] +.names KEYBOARD.report[5][0] kbd_report[5][0] 1 1 -.names KEYBOARD.report[6][1] kbd_report[6][1] +.names KEYBOARD.report[5][1] kbd_report[5][1] 1 1 -.names KEYBOARD.report[6][2] kbd_report[6][2] +.names KEYBOARD.report[5][2] kbd_report[5][2] 1 1 -.names KEYBOARD.report[6][3] kbd_report[6][3] +.names KEYBOARD.report[5][3] kbd_report[5][3] 1 1 -.names KEYBOARD.report[6][4] kbd_report[6][4] +.names KEYBOARD.report[5][4] kbd_report[5][4] 1 1 -.names KEYBOARD.report[6][5] kbd_report[6][5] +.names KEYBOARD.report[5][5] kbd_report[5][5] 1 1 -.names KEYBOARD.report[6][6] kbd_report[6][6] +.names KEYBOARD.report[5][6] kbd_report[5][6] 1 1 -.names KEYBOARD.report[6][7] kbd_report[6][7] +.names KEYBOARD.report[5][7] kbd_report[5][7] 1 1 -.names REPORT_DATA.r_data[0] report_data_rd[0] +.names KEYBOARD.report[6][0] kbd_report[6][0] 1 1 -.names REPORT_DATA.r_data[1] report_data_rd[1] +.names KEYBOARD.report[6][1] kbd_report[6][1] 1 1 -.names REPORT_DATA.r_data[2] report_data_rd[2] +.names KEYBOARD.report[6][2] kbd_report[6][2] 1 1 -.names REPORT_DATA.r_data[3] report_data_rd[3] +.names KEYBOARD.report[6][3] kbd_report[6][3] 1 1 -.names REPORT_DATA.r_data[4] report_data_rd[4] +.names KEYBOARD.report[6][4] kbd_report[6][4] 1 1 -.names REPORT_DATA.r_data[5] report_data_rd[5] +.names KEYBOARD.report[6][5] kbd_report[6][5] 1 1 -.names REPORT_DATA.r_data[6] report_data_rd[6] +.names KEYBOARD.report[6][6] kbd_report[6][6] 1 1 -.names REPORT_DATA.r_data[7] report_data_rd[7] +.names KEYBOARD.report[6][7] kbd_report[6][7] 1 1 .names $undef temp_output_report[3] 1 1 diff --git a/i2c_keyboard/i2c_kbd_alt.bin b/i2c_keyboard/i2c_kbd_alt.bin index 53e8fa1..f876a0e 100644 Binary files a/i2c_keyboard/i2c_kbd_alt.bin and b/i2c_keyboard/i2c_kbd_alt.bin differ diff --git a/i2c_keyboard/i2c_kbd_alt.blif b/i2c_keyboard/i2c_kbd_alt.blif index 219f03a..3fd8bc9 100644 --- a/i2c_keyboard/i2c_kbd_alt.blif +++ b/i2c_keyboard/i2c_kbd_alt.blif @@ -7,3730 +7,2660 @@ .names $true 1 .names $undef -.gate SB_LUT4 I0=$abc$123635$n705 I1=$abc$123635$n700 I2=$abc$123635$n658 I3=$abc$123635$n710 O=$auto$rtlil.cc:1692:NotGate$123446 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$61156 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$61381$n543 I1=$abc$61381$n538 I2=$abc$61381$n496_1 I3=$abc$61381$n548 O=$auto$rtlil.cc:1692:NotGate$61316 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001011101 -.gate SB_LUT4 I0=$abc$123635$n691 I1=$abc$123635$n685 I2=$abc$123635$n659 I3=$abc$123635$n696 O=$abc$123635$n658 +.gate SB_LUT4 I0=$abc$61381$n529 I1=$abc$61381$n523 I2=$abc$61381$n497 I3=$abc$61381$n534 O=$abc$61381$n496_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101110100000000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$123635$n660 I2=$abc$123635$n681 I3=$abc$123635$n677 O=$abc$123635$n659 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$61381$n498_1 I2=$abc$61381$n519 I3=$abc$61381$n515 O=$abc$61381$n497 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n673 I1=$abc$123635$n676 I2=$abc$123635$n661 I3=$abc$123635$n668_1 O=$abc$123635$n660 +.gate SB_LUT4 I0=$abc$61381$n511 I1=$abc$61381$n514 I2=$abc$61381$n499 I3=$abc$61381$n506 O=$abc$61381$n498_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101110100001101 -.gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n665 I2=$abc$123635$n667 I3=$false O=$abc$123635$n661 +.gate SB_LUT4 I0=$abc$61381$n500_1 I1=$abc$61381$n503_1 I2=$abc$61381$n505 I3=$false O=$abc$61381$n499 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n663_1 I1=$abc$123635$n664 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$123635$n662 +.gate SB_LUT4 I0=$abc$61381$n501_1 I1=$abc$61381$n502 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$61381$n500_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$123635$n663_1 +.gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$61381$n501_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$123635$n664 +.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$61381$n502 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n666 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$123635$n665 +.gate SB_LUT4 I0=$abc$61381$n504 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$61381$n503_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=$false I3=$false O=$abc$123635$n666 +.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=$false I3=$false O=$abc$61381$n504 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n667 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n505 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000100000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$123635$n668_1 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$61381$n507 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$61381$n506 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110001010011 -.gate SB_LUT4 I0=$abc$123635$n663_1 I1=$abc$123635$n670_1 I2=$abc$123635$n671_1 I3=$abc$123635$n672 O=$abc$123635$n669_1 +.gate SB_LUT4 I0=$abc$61381$n501_1 I1=$abc$61381$n508 I2=$abc$61381$n509 I3=$abc$61381$n510 O=$abc$61381$n507 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[11] O=$abc$123635$n670_1 +.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$61381$n508 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n671_1 +.param LUT_INIT 0000010000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n509 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$123635$n672 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$61381$n510 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010000000000000 -.gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n666 I2=$abc$123635$n674 I3=$abc$123635$n675 O=$abc$123635$n673 +.param LUT_INIT 0000000010000000 +.gate SB_LUT4 I0=$abc$61381$n500_1 I1=$abc$61381$n504 I2=$abc$61381$n512 I3=$abc$61381$n513 O=$abc$61381$n511 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n674 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n512 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000100 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$123635$n675 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$61381$n513 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$123635$n676 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$61381$n507 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$61381$n514 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110001010011 -.gate SB_LUT4 I0=$abc$123635$n678 I1=$abc$123635$n671_1 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$123635$n677 +.gate SB_LUT4 I0=$abc$61381$n516 I1=$abc$61381$n509 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$61381$n515 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n679 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$123635$n678 +.gate SB_LUT4 I0=$abc$61381$n517 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$61381$n516 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n663_1 I1=$abc$123635$n680 I2=$false I3=$false O=$abc$123635$n679 +.gate SB_LUT4 I0=$abc$61381$n501_1 I1=$abc$61381$n518 I2=$false I3=$false O=$abc$61381$n517 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[11] O=$abc$123635$n680 +.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$61381$n518 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010000000000000 -.gate SB_LUT4 I0=$abc$123635$n683 I1=$abc$123635$n684 I2=$abc$123635$n661 I3=$abc$123635$n682 O=$abc$123635$n681 +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=$abc$61381$n521 I1=$abc$61381$n522 I2=$abc$61381$n499 I3=$abc$61381$n520 O=$abc$61381$n519 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$123635$n682 +.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$61381$n507 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$61381$n520 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011001010 -.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$123635$n683 +.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$61381$n507 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$61381$n521 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011001010 -.gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n666 I2=$abc$123635$n674 I3=$abc$123635$n675 O=$abc$123635$n684 +.gate SB_LUT4 I0=$abc$61381$n500_1 I1=$abc$61381$n504 I2=$abc$61381$n512 I3=$abc$61381$n513 O=$abc$61381$n522 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n686 I1=$abc$123635$n677 I2=$abc$123635$n688 I3=$false O=$abc$123635$n685 +.gate SB_LUT4 I0=$abc$61381$n524 I1=$abc$61381$n515 I2=$abc$61381$n526 I3=$false O=$abc$61381$n523 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n687 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$false O=$abc$123635$n686 +.gate SB_LUT4 I0=$abc$61381$n525 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$false O=$abc$61381$n524 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001110 -.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n687 +.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$61381$n507 I3=$false O=$abc$61381$n525 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n679 I1=$abc$123635$n689 I2=$abc$123635$n690 I3=$false O=$abc$123635$n688 +.gate SB_LUT4 I0=$abc$61381$n517 I1=$abc$61381$n527 I2=$abc$61381$n528 I3=$false O=$abc$61381$n526 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n675 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$123635$n689 +.gate SB_LUT4 I0=$abc$61381$n513 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$61381$n527 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n690 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n528 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$123635$n692 I1=$abc$123635$n694 I2=$false I3=$false O=$abc$123635$n691 +.gate SB_LUT4 I0=$abc$61381$n530 I1=$abc$61381$n532 I2=$false I3=$false O=$abc$61381$n529 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n678 I1=$abc$123635$n693 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$123635$n692 +.gate SB_LUT4 I0=$abc$61381$n516 I1=$abc$61381$n531 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$61381$n530 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n693 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n531 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$123635$n695 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$123635$n688 O=$abc$123635$n694 +.gate SB_LUT4 I0=$abc$61381$n533 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$61381$n526 O=$abc$61381$n532 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111000000000 -.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.RAM.r_data[4] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n695 +.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.RAM.r_data[4] I2=$abc$61381$n507 I3=$false O=$abc$61381$n533 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n698 I1=$abc$123635$n692 I2=$abc$123635$n697 I3=$false O=$abc$123635$n696 +.gate SB_LUT4 I0=$abc$61381$n536 I1=$abc$61381$n530 I2=$abc$61381$n535 I3=$false O=$abc$61381$n534 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n678 I1=$abc$123635$n674 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$123635$n697 +.gate SB_LUT4 I0=$abc$61381$n516 I1=$abc$61381$n512 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$61381$n535 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=$abc$123635$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$123635$n698 +.gate SB_LUT4 I0=$abc$61381$n537 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$61381$n536 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001110 -.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n699 +.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$61381$n507 I3=$false O=$abc$61381$n537 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n701 I1=$abc$123635$n703 I2=$false I3=$false O=$abc$123635$n700 +.gate SB_LUT4 I0=$abc$61381$n539 I1=$abc$61381$n541 I2=$false I3=$false O=$abc$61381$n538 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n702 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[1] I3=$abc$123635$n697 O=$abc$123635$n701 +.gate SB_LUT4 I0=$abc$61381$n540 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[1] I3=$abc$61381$n535 O=$abc$61381$n539 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111000000000 -.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n702 +.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$61381$n507 I3=$false O=$abc$61381$n540 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n679 I1=$abc$123635$n704 I2=$abc$123635$n667 I3=$false O=$abc$123635$n703 +.gate SB_LUT4 I0=$abc$61381$n517 I1=$abc$61381$n542 I2=$abc$61381$n505 I3=$false O=$abc$61381$n541 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n675 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$123635$n704 +.gate SB_LUT4 I0=$abc$61381$n513 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$61381$n542 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n706 I1=$abc$123635$n708 I2=$false I3=$false O=$abc$123635$n705 +.gate SB_LUT4 I0=$abc$61381$n544 I1=$abc$61381$n546 I2=$false I3=$false O=$abc$61381$n543 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n707 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$123635$n703 O=$abc$123635$n706 +.gate SB_LUT4 I0=$abc$61381$n545 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$61381$n541 O=$abc$61381$n544 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n707 +.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$61381$n507 I3=$false O=$abc$61381$n545 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n709 I1=$abc$123635$n663_1 I2=$abc$123635$n670_1 I3=$abc$123635$n671_1 O=$abc$123635$n708 +.gate SB_LUT4 I0=$abc$61381$n547 I1=$abc$61381$n501_1 I2=$abc$61381$n508 I3=$abc$61381$n509 O=$abc$61381$n546 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n675 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$123635$n709 +.gate SB_LUT4 I0=$abc$61381$n513 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$61381$n547 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n711 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$123635$n708 O=$abc$123635$n710 +.gate SB_LUT4 I0=$abc$61381$n549 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$61381$n546 O=$abc$61381$n548 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111000000000 -.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KEYBOARD.RAM.r_data[0] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n711 +.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KEYBOARD.RAM.r_data[0] I2=$abc$61381$n507 I3=$false O=$abc$61381$n549 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$123635$n689 I1=$abc$123635$n714 I2=$abc$123635$n663_1 I3=$abc$123635$n715 O=$auto$rtlil.cc:1692:NotGate$123596 +.gate SB_LUT4 I0=$abc$61381$n527 I1=$abc$61381$n551 I2=$abc$61381$n501_1 I3=$abc$61381$n552 O=$auto$rtlil.cc:1692:NotGate$61364 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n664 I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=$false O=$abc$123635$n714 +.gate SB_LUT4 I0=$abc$61381$n502 I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=$false O=$abc$61381$n551 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 -.gate SB_LUT4 I0=KEYBOARD.row_time[6] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$123635$n715 +.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n552 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100000000000 +.param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=RESET .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n718 I1=$abc$123635$n730 I2=$abc$123635$n732_1 I3=$abc$123635$n733 O=$auto$dff2dffe.cc:175:make_patterns_logic$100411 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$auto$simplemap.cc:256:simplemap_eqne$37067 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111111 +.gate SB_LUT4 I0=$abc$61381$n556 I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$55268[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11111101 +.gate SB_LUT4 I0=$abc$61381$n557 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.kbd_code_hid[3] O=$abc$61381$n556 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000010000 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n727 I2=$false I3=$false O=$abc$123635$n718 +.param LUT_INIT 0000000000000010 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n557 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=RESET I1=$abc$61381$n559 I2=I2C.is_read I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$47877 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$61381$n559 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n720 I1=$abc$123635$n726_1 I2=$false I3=$false O=$abc$123635$n719 +.gate SB_LUT4 I0=$abc$61381$n559 I1=RESET I2=$abc$61381$n561 I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$48347[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$61381$n562 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$61381$n561 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n725_1 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n720 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n722 I1=RESET I2=KEYBOARD.isr I3=last_isr O=$abc$123635$n721 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=RESET I2=I2C.wr I3=last_wr O=$abc$61381$n562 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000100 -.gate SB_LUT4 I0=$abc$123635$n723 I1=$abc$123635$n724 I2=init_ram_cnt[7] I3=init_ram_cnt[5] O=$abc$123635$n722 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=init_ram_cnt[3] I1=init_ram_cnt[1] I2=init_ram_cnt[0] I3=init_ram_cnt[2] O=$abc$123635$n723 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=init_ram_cnt[4] I1=init_ram_cnt[6] I2=$false I3=$false O=$abc$123635$n724 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$123635$n725_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$123635$n726_1 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$0\uart_double_ff[0:0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n728 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$123635$n727 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[1] O=$abc$123635$n728 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$123635$n729_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n731_1 I2=$abc$123635$n719 I3=$false O=$abc$123635$n730 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$123635$n731_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n720 I1=RESET I2=$false I3=$false O=$abc$123635$n732_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n734_1 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n733 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n725_1 I1=$abc$123635$n726_1 I2=$false I3=$false O=$abc$123635$n734_1 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n736 I1=$abc$123635$n720 I2=$abc$123635$n739 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$100674 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:158:make_patterns_logic$99517 I1=$abc$123635$n738 I2=$false I3=$false O=$abc$123635$n736 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$2\INT[0:0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n722 I1=RESET I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$99517 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10111111 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$123635$n738 +.gate SB_LUT4 I0=$abc$61381$n568 I1=$abc$61381$n567 I2=RESET I3=$auto$simplemap.cc:127:simplemap_reduce$48347[1] O=$auto$dff2dffe.cc:175:make_patterns_logic$47997 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=$abc$61381$n562 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$61381$n567 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=RESET I1=$abc$123635$n722 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n739 +.param LUT_INIT 0010 +.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$61381$n568 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110101 -.gate SB_LUT4 I0=$abc$123635$n743_1 I1=$abc$123635$n742 I2=$abc$123635$n741_1 I3=$abc$123635$n736 O=$auto$dff2dffe.cc:175:make_patterns_logic$100744 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$61381$n574 I1=I2C.is_read I2=$abc$61381$n561 I3=$abc$61381$n570 O=$auto$dff2dffe.cc:175:make_patterns_logic$48548 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$61381$n568 I1=$abc$61381$n573 I2=$abc$61381$n567 I3=$abc$61381$n571 O=$abc$61381$n570 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010100011 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n725_1 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n741_1 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$61381$n559 I1=RESET I2=$abc$61381$n572 I3=$false O=$abc$61381$n571 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:158:make_patterns_logic$99517 I1=KEYBOARD.isr I2=last_isr I3=$abc$123635$n739 O=$abc$123635$n742 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=I2C.wr I2=last_wr I3=RESET O=$abc$61381$n572 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110101100000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$123635$n743_1 +.param LUT_INIT 0100110111111111 +.gate SB_LUT4 I0=UART_WR I1=KEYBOARD.isr I2=INT I3=last_isr O=$abc$61381$n573 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n745 I1=$abc$123635$n730 I2=$abc$123635$n749 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$auto$dff2dffe.cc:175:make_patterns_logic$102697 +.param LUT_INIT 0000000001000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$auto$alumacc.cc:484:replace_alu$36690[7] O=$abc$61381$n574 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010000000000000 -.gate SB_LUT4 I0=$abc$123635$n747_1 I1=RESET I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$abc$123635$n746 O=$abc$123635$n745 +.param LUT_INIT 0000000001000001 +.gate SB_LUT4 I0=$abc$61381$n567 I1=RESET I2=I2C.wr I3=last_wr O=$auto$dff2dffe.cc:175:make_patterns_logic$48604 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$123635$n741_1 I1=$abc$123635$n736 I2=$false I3=$false O=$abc$123635$n746 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n722 I1=$abc$123635$n748 I2=$false I3=$false O=$abc$123635$n747_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$123635$n748 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n733 I1=RESET I2=$false I3=$false O=$abc$123635$n749 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n743_1 I1=$abc$123635$n742 I2=$abc$123635$n751 I3=$abc$123635$n741_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$103374 +.param LUT_INIT 0100000000000100 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$61381$n589 I2=$abc$61381$n577 I3=$abc$61381$n583 O=$auto$dff2dffe.cc:175:make_patterns_logic$49267 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101000000110000 -.gate SB_LUT4 I0=$abc$123635$n738 I1=$abc$123635$n722 I2=RESET I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$abc$123635$n751 +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$61381$n581 I1=I2C.byte_counter[1] I2=$abc$61381$n582 I3=$abc$61381$n578 O=$abc$61381$n577 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001111111111111 -.gate SB_LUT4 I0=$abc$123635$n753 I1=$abc$123635$n719 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$104178 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$61381$n579 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$61381$n578 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n762 I2=$abc$123635$n754 I3=$abc$123635$n766 O=$abc$123635$n753 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=$abc$123635$n755_1 I1=$abc$123635$n727 I2=$abc$123635$n764_1 I3=I2C.is_read O=$abc$123635$n754 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n763 I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=$abc$123635$n756 O=$abc$123635$n755_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101111100000000 -.gate SB_LUT4 I0=$abc$123635$n757 I1=$abc$123635$n761_1 I2=$abc$123635$n762 I3=I2C.is_read O=$abc$123635$n756 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=$abc$123635$n758_1 I1=$abc$123635$n759 I2=$false I3=$false O=$abc$123635$n757 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n728 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$123635$n758_1 +.gate SB_LUT4 I0=$abc$61381$n580 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$61381$n579 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=$abc$123635$n760 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$123635$n759 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$123635$n760 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$61381$n580 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$61381$n581 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=$abc$123635$n760 I2=I2C.byte_counter[1] I3=$false O=$abc$123635$n761_1 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[1] O=$abc$61381$n582 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$61381$n584 I1=$abc$61381$n587 I2=RESET I3=$abc$61381$n559 O=$abc$61381$n583 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010000000000000 +.gate SB_LUT4 I0=$abc$61381$n585 I1=$abc$61381$n586 I2=I2C.is_read I3=$false O=$abc$61381$n584 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=$abc$123635$n760 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$123635$n762 +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$61381$n581 I3=$false O=$abc$61381$n585 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$61381$n586 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$123635$n763 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$61381$n588 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$61381$n587 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n763 I1=$abc$123635$n760 I2=$abc$123635$n765 I3=I2C.is_read O=$abc$123635$n764_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$123635$n765 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n767 I2=$abc$123635$n757 I3=$abc$123635$n768 O=$abc$123635$n766 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010111010 -.gate SB_LUT4 I0=$abc$123635$n761_1 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$123635$n767 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$61381$n588 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n763 I1=$abc$123635$n769 I2=I2C.byte_counter[2] I3=I2C.byte_counter[3] O=$abc$123635$n768 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$61381$n590 I2=I2C.byte_counter[2] I3=I2C.byte_counter[3] O=$abc$61381$n589 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=$abc$123635$n770_1 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$123635$n769 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[2] I3=$false O=$abc$123635$n770_1 +.param LUT_INIT 1111111111000101 +.gate SB_LUT4 I0=$abc$61381$n580 I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=$false O=$abc$61381$n590 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n772 I1=$abc$123635$n792 I2=$abc$123635$n801 I3=$abc$123635$n802 O=$auto$dff2dffe.cc:175:make_patterns_logic$106376 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000100 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n773_1 I2=$abc$123635$n780_1 I3=$abc$123635$n787 O=$abc$123635$n772 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010100010101010 -.gate SB_LUT4 I0=$abc$123635$n776_1 I1=$abc$123635$n764_1 I2=$abc$123635$n774_1 I3=$abc$123635$n727 O=$abc$123635$n773_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n775 I2=I2C.is_read I3=$abc$123635$n756 O=$abc$123635$n774_1 +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$abc$61381$n592 I1=$abc$61381$n619_1 I2=$auto$rtlil.cc:1692:NotGate$61156 I3=RESET O=$auto$dff2dffe.cc:175:make_patterns_logic$49520 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011111101 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$123635$n775 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$61381$n559 I1=$abc$61381$n593 I2=$abc$61381$n586 I3=I2C.is_read O=$abc$61381$n592 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n770_1 I1=$abc$123635$n777_1 I2=$abc$123635$n779_1 I3=$abc$123635$n757 O=$abc$123635$n776_1 +.param LUT_INIT 1010101010001010 +.gate SB_LUT4 I0=$abc$61381$n587 I1=$abc$61381$n607 I2=$abc$61381$n614_1 I3=$abc$61381$n594 O=$abc$61381$n593 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101010100000000 -.gate SB_LUT4 I0=$abc$123635$n778 I1=I2C.received_byte[5] I2=$false I3=$false O=$abc$123635$n777_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$123635$n778 +.param LUT_INIT 0101010101000101 +.gate SB_LUT4 I0=$abc$61381$n602 I1=$abc$61381$n595 I2=$abc$61381$n581 I3=$false O=$abc$61381$n594 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$123635$n779_1 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$61381$n596 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$61381$n595 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$61381$n601 I1=$abc$61381$n597 I2=$abc$61381$n590 I3=$false O=$abc$61381$n596 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$61381$n600 I1=$abc$61381$n598 I2=$abc$61381$n579 I3=$false O=$abc$61381$n597 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$61381$n599 I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=$false O=$abc$61381$n598 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$false I3=$false O=$abc$61381$n599 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$61381$n600 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$123635$n775 I1=$abc$123635$n784 I2=$abc$123635$n786 I3=$abc$123635$n781 O=$abc$123635$n780_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$123635$n783_1 I3=$abc$123635$n782_1 O=$abc$123635$n781 +.gate SB_LUT4 I0=$abc$61381$n581 I1=$abc$61381$n586 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$61381$n601 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$123635$n769 I3=$abc$123635$n762 O=$abc$123635$n782_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$123635$n770_1 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$123635$n783_1 +.param LUT_INIT 0000000010000000 +.gate SB_LUT4 I0=$abc$61381$n579 I1=$abc$61381$n603 I2=$abc$61381$n606 I3=$false O=$abc$61381$n602 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n598 I1=$abc$61381$n604 I2=$false I3=$false O=$abc$61381$n603 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n777_1 I1=$abc$123635$n785_1 I2=$false I3=$false O=$abc$123635$n784 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$61381$n605 I1=I2C.received_byte[0] I2=I2C.received_byte[1] I3=$false O=$abc$61381$n604 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=$false I3=$false O=$abc$61381$n605 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$61381$n606 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$123635$n785_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=$abc$123635$n770_1 I1=$abc$123635$n777_1 I2=I2C.received_byte[3] I3=I2C.received_byte[2] O=$abc$123635$n786 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010100010 -.gate SB_LUT4 I0=$abc$123635$n790 I1=$abc$123635$n767 I2=$abc$123635$n788 I3=$abc$123635$n791 O=$abc$123635$n787 +.gate SB_LUT4 I0=$abc$61381$n613 I1=$abc$61381$n612 I2=$abc$61381$n608 I3=$false O=$abc$61381$n607 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n598 I1=$abc$61381$n605 I2=$abc$61381$n579 I3=$abc$61381$n609 O=$abc$61381$n608 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001011 -.gate SB_LUT4 I0=$abc$123635$n758_1 I1=$abc$123635$n777_1 I2=$abc$123635$n789 I3=I2C.is_read O=$abc$123635$n788 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$61381$n578 I3=$abc$61381$n610 O=$abc$61381$n609 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$123635$n789 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$61381$n611_1 I3=$abc$61381$n601 O=$abc$61381$n610 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n789 I1=$abc$123635$n777_1 I2=$abc$123635$n770_1 I3=$false O=$abc$123635$n790 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$61381$n580 I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=$false O=$abc$61381$n611_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n763 I1=$abc$123635$n760 I2=$abc$123635$n765 I3=I2C.is_read O=$abc$123635$n791 +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$61381$n598 I1=$abc$61381$n605 I2=I2C.received_byte[0] I3=I2C.received_byte[1] O=$abc$61381$n612 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n793 I2=$abc$123635$n720 I3=$false O=$abc$123635$n792 +.gate SB_LUT4 I0=$abc$61381$n580 I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=$false O=$abc$61381$n613 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$abc$61381$n618_1 I1=$abc$61381$n613 I2=$abc$61381$n615 I3=$false O=$abc$61381$n614_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$auto$dff2dffe.cc:175:make_patterns_logic$99418 I2=$false I3=$false O=$abc$123635$n793 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n795 I1=$abc$123635$n800 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$99418 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n796_1 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$abc$123635$n795 +.gate SB_LUT4 I0=$abc$61381$n616 I1=$abc$61381$n603 I2=$abc$61381$n617 I3=$false O=$abc$61381$n615 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$61381$n588 I1=$abc$61381$n586 I2=$abc$61381$n606 I3=$false O=$abc$61381$n616 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$61381$n606 I3=$false O=$abc$61381$n617 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101011 +.gate SB_LUT4 I0=$abc$61381$n581 I1=$abc$61381$n586 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$61381$n618_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n797 I1=$abc$123635$n725_1 I2=$false I3=$false O=$abc$123635$n796_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123580 I1=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I2=$false I3=$false O=$abc$123635$n797 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$98030[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$123635$n800 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n795 I1=$abc$123635$n800 I2=$false I3=$false O=$abc$123635$n801 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n720 I1=$auto$rtlil.cc:1692:NotGate$123580 I2=$false I3=$false O=$abc$123635$n802 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n741_1 I1=$abc$123635$n742 I2=$abc$123635$n733 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$106593 +.gate SB_LUT4 I0=$2\INT[0:0] I1=I2C.is_read I2=$abc$61381$n562 I3=$false O=$abc$61381$n619_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000100 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$109998 I1=$abc$123635$n806 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$107370 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n802 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$109998 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n746 I1=$abc$123635$n801 I2=$abc$123635$n807 I3=$abc$123635$n739 O=$abc$123635$n806 +.param LUT_INIT 00101111 +.gate SB_LUT4 I0=$2\INT[0:0] I1=$abc$61381$n568 I2=$abc$61381$n562 I3=$abc$61381$n572 O=$auto$dff2dffe.cc:175:make_patterns_logic$50775 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n808 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n807 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n734_1 I1=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I2=$auto$rtlil.cc:1692:NotGate$123580 I3=$false O=$abc$123635$n808 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$61381$n561 I2=$abc$61381$n570 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$51864 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$99418 I1=$abc$123635$n810 I2=$abc$123635$n826 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$109317 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$61381$n623 I1=$abc$61381$n571 I2=$abc$61381$n619_1 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52500 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$123635$n811 I1=$abc$123635$n824 I2=$abc$123635$n825 I3=I2C.is_read O=$abc$123635$n810 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$61381$n637 I1=$abc$61381$n636 I2=$abc$61381$n624 I3=$abc$61381$n638 O=$abc$61381$n623 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000010 -.gate SB_LUT4 I0=$abc$123635$n812_1 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$123635$n811 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$123635$n812_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n814 I1=$abc$123635$n819_1 I2=$false I3=$false O=$abc$123635$n813 +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=$abc$61381$n625 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$61381$n624 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n817 I1=$abc$123635$n818 I2=$abc$123635$n769 I3=$abc$123635$n815 O=$abc$123635$n814 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$123635$n775 I1=$abc$123635$n816 I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$123635$n815 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010100010 -.gate SB_LUT4 I0=$abc$123635$n817 I1=I2C_INPUT_LEN[2] I2=I2C_INPUT_LEN[3] I3=$false O=$abc$123635$n816 +.gate SB_LUT4 I0=$abc$61381$n635 I1=$abc$61381$n578 I2=$abc$61381$n626 I3=$false O=$abc$61381$n625 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$123635$n817 +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$61381$n627 I1=$abc$61381$n630 I2=$abc$61381$n632 I3=$abc$61381$n634 O=$abc$61381$n626 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$123635$n818 +.gate SB_LUT4 I0=$abc$61381$n629 I1=$abc$61381$n628 I2=$abc$61381$n590 I3=$auto$alumacc.cc:484:replace_alu$36663[3] O=$abc$61381$n627 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001000000 -.gate SB_LUT4 I0=$abc$123635$n765 I1=$abc$123635$n821 I2=$abc$123635$n822 I3=$abc$123635$n820 O=$abc$123635$n819_1 +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$61381$n628 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=$abc$123635$n816 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$123635$n783_1 O=$abc$123635$n820 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$61381$n629 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101111100000000 -.gate SB_LUT4 I0=$abc$123635$n816 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$123635$n821 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$61381$n631 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$61381$n613 O=$abc$61381$n630 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111110100000000 +.gate SB_LUT4 I0=$abc$61381$n628 I1=I2C_INPUT_LEN[2] I2=I2C_INPUT_LEN[3] I3=$false O=$abc$61381$n631 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 -.gate SB_LUT4 I0=$abc$123635$n823_1 I1=$abc$123635$n817 I2=$abc$123635$n765 I3=$auto$alumacc.cc:484:replace_alu$76232[3] O=$abc$123635$n822 +.gate SB_LUT4 I0=$abc$61381$n633 I1=$abc$61381$n631 I2=$abc$61381$n590 I3=$false O=$abc$61381$n632 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$false I3=$false O=$abc$61381$n633 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$61381$n631 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$61381$n611_1 O=$abc$61381$n634 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$123635$n823_1 +.param LUT_INIT 1101111100000000 +.gate SB_LUT4 I0=$abc$61381$n628 I1=$abc$61381$n633 I2=I2C_INPUT_LEN[2] I3=I2C_INPUT_LEN[3] O=$abc$61381$n635 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$123635$n824 +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=$abc$61381$n625 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$61381$n636 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$123635$n825 +.gate SB_LUT4 I0=$abc$61381$n625 I1=$abc$61381$n580 I2=$false I3=$false O=$abc$61381$n637 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n827 I1=$abc$123635$n801 I2=$abc$123635$n742 I3=$false O=$abc$123635$n826 +.gate SB_LUT4 I0=$abc$61381$n561 I1=I2C.is_read I2=$false I3=$false O=$abc$61381$n638 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=RESET I1=$auto$rtlil.cc:1692:NotGate$61156 I2=$auto$dff2dffe.cc:175:make_patterns_logic$47997 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52724 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n802 I2=$abc$123635$n741_1 I3=$abc$123635$n807 O=$abc$123635$n827 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n749 I1=$abc$123635$n802 I2=$abc$123635$n829 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110152 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n641 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52791 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$123635$n830 I1=$abc$123635$n800 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n829 +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$61381$n642 I1=$abc$61381$n647_1 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n641 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n734_1 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$auto$rtlil.cc:1692:NotGate$123580 O=$abc$123635$n830 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=$abc$123635$n829 I1=UART.tx_activity I2=last_uart_active I3=$abc$123635$n832 O=$auto$dff2dffe.cc:175:make_patterns_logic$110365 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=RESET I1=$abc$123635$n800 I2=$abc$123635$n830 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$abc$123635$n832 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000101010101010 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I1=$abc$123635$n721 I2=$abc$123635$n739 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110418 +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$61381$n643 I1=$abc$61381$n646_1 I2=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I3=$false O=$abc$61381$n642 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$109998 I1=$abc$123635$n732_1 I2=$abc$123635$n835 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110597 +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$61381$n644 I1=I2C.SCL_LAST I2=I2C.FLT_SCL.out I3=$false O=$abc$61381$n643 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=UART.tx_activity I2=last_uart_active I3=$abc$123635$n795 O=$abc$123635$n835 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.is_read I1=$techmap\I2C.$procmux$19301_Y I2=I2C.i2c_state_machine I3=I2C.i2c_start_latency O=$abc$61381$n644 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$123635$n837 I1=$abc$123635$n842 I2=$abc$123635$n846 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110669 +.param LUT_INIT 1010101000101010 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=$false O=$techmap\I2C.$procmux$19301_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n838 I1=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I2=$false I3=$false O=$abc$123635$n837 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76299[0] I1=$techmap\I2C.$procmux$31037_Y[1] I2=$false I3=$false O=$abc$123635$n838 +.param LUT_INIT 11011111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.i2c_state_machine O=$abc$61381$n646_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111011100100000 +.gate SB_LUT4 I0=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[3] I1=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[4] I2=$auto$simplemap.cc:309:simplemap_lut$46032[1] I3=$false O=$abc$61381$n647_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1] I1=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] I2=$false I3=$false O=$abc$61381$n648 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76299[0] +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=$false O=$techmap\I2C.$procmux$30991_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11011111 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$techmap\I2C.$procmux$31037_Y[1] +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n843 I1=$abc$123635$n844 I2=$abc$123635$n845 I3=$auto$alumacc.cc:484:replace_alu$76209[3] O=$abc$123635$n842 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000010000 -.gate SB_LUT4 I0=I2C.is_read I1=$techmap\I2C.$procmux$30991_Y I2=I2C.i2c_state_machine I3=I2C.i2c_start_latency O=$abc$123635$n843 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000101010 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.i2c_state_machine O=$abc$123635$n844 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100011011111 -.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$123635$n845 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I1=$auto$simplemap.cc:250:simplemap_eqne$79726[3] I2=$auto$simplemap.cc:250:simplemap_eqne$79726[4] I3=$auto$simplemap.cc:309:simplemap_lut$88206[1] O=$abc$123635$n846 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$123635$n848 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110736 +.gate SB_LUT4 I0=$abc$61381$n641 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52858 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n842 I1=$abc$123635$n846 I2=$false I3=$false O=$abc$123635$n848 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n848 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110803 +.gate SB_LUT4 I0=$abc$61381$n641 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52925 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n848 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110870 +.gate SB_LUT4 I0=$abc$61381$n641 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52992 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n837 I1=$abc$123635$n842 I2=$abc$123635$n852 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110937 +.gate SB_LUT4 I0=$abc$61381$n655 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$53059 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$61381$n642 I1=$abc$61381$n647_1 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n655 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I1=$auto$simplemap.cc:250:simplemap_eqne$79726[3] I2=$auto$simplemap.cc:250:simplemap_eqne$79726[4] I3=$auto$simplemap.cc:309:simplemap_lut$88206[1] O=$abc$123635$n852 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=$abc$123635$n854 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$111004 +.gate SB_LUT4 I0=$abc$61381$n655 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$53126 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n842 I1=$abc$123635$n852 I2=$false I3=$false O=$abc$123635$n854 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n854 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$111071 +.gate SB_LUT4 I0=$abc$61381$n655 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$53193 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n854 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$111138 +.gate SB_LUT4 I0=$abc$61381$n655 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$53260 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$techmap\I2C.$procmux$30991_Y I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$111147 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$techmap\I2C.$procmux$19301_Y I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$53269 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00101111 -.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=RESET I3=UART.tx_activity O=$auto$dff2dffe.cc:158:make_patterns_logic$111225 +.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=RESET I3=UART.tx_activity O=$auto$dff2dffe.cc:158:make_patterns_logic$53542 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111101001111 -.gate SB_LUT4 I0=UART.tx_activity I1=$abc$123635$n860 I2=RESET I3=$auto$dff2dffe.cc:158:make_patterns_logic$111225 O=$auto$dff2dffe.cc:175:make_patterns_logic$111206 +.gate SB_LUT4 I0=UART.tx_activity I1=$abc$61381$n662 I2=RESET I3=$auto$dff2dffe.cc:158:make_patterns_logic$53542 O=$auto$dff2dffe.cc:175:make_patterns_logic$53580 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$123635$n863 I1=UART.tx_bit_counter[2] I2=UART.tx_bit_counter[3] I3=$abc$123635$n861 O=$abc$123635$n860 +.gate SB_LUT4 I0=$abc$61381$n665 I1=UART.tx_bit_counter[2] I2=UART.tx_bit_counter[3] I3=$abc$61381$n663 O=$abc$61381$n662 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110100000000 -.gate SB_LUT4 I0=$abc$123635$n862 I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$abc$123635$n861 +.gate SB_LUT4 I0=$abc$61381$n664 I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$abc$61381$n663 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00101000 -.gate SB_LUT4 I0=$techmap\UART.$sub$uart.v:32$583_Y[0] I1=$techmap\UART.$sub$uart.v:32$583_Y[2] I2=$techmap\UART.$sub$uart.v:32$583_Y[3] I3=$false O=$abc$123635$n862 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36604[0] I1=$auto$wreduce.cc:310:run$36604[2] I2=$auto$wreduce.cc:310:run$36604[3] I3=$false O=$abc$61381$n664 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$123635$n863 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$61381$n665 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n905 I1=$abc$123635$n899_1 I2=$abc$123635$n898 I3=$abc$123635$n865 O=$auto$dff2dffe.cc:175:make_patterns_logic$111710 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n889 I2=$false I3=$false O=$abc$123635$n865 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n867 I2=$abc$123635$n883 I3=$false O=$abc$123635$n866 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n868 I1=RESET I2=$false I3=$false O=$abc$123635$n867 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n879 I1=$auto$dff2dffe.cc:175:make_patterns_logic$120653 I2=$abc$123635$n874 I3=$false O=$abc$123635$n868 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$auto$simplemap.cc:127:simplemap_reduce$120655 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$120653 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n871 I1=RESET I2=$false I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$120655 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n872 I1=$abc$123635$n873 I2=KEYBOARD.init_ram_cnt[8] I3=KEYBOARD.init_ram_cnt[0] O=$abc$123635$n871 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[5] I1=KEYBOARD.init_ram_cnt[6] I2=KEYBOARD.init_ram_cnt[7] I3=$false O=$abc$123635$n872 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.init_ram_cnt[2] I2=KEYBOARD.init_ram_cnt[3] I3=KEYBOARD.init_ram_cnt[4] O=$abc$123635$n873 +.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n676 I2=$abc$61381$n683 I3=$abc$61381$n694 O=$auto$dff2dffe.cc:175:make_patterns_logic$55122 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n882 I1=$abc$123635$n879 I2=$abc$123635$n875_1 I3=RESET O=$abc$123635$n874 +.param LUT_INIT 0000001000000000 +.gate SB_LUT4 I0=RESET I1=$abc$61381$n668 I2=$auto$rtlil.cc:1692:NotGate$61316 I3=$abc$61381$n673 O=$abc$61381$n667 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000101011111111 -.gate SB_LUT4 I0=$abc$123635$n878 I1=$abc$123635$n876 I2=$auto$alumacc.cc:484:replace_alu$76186[7] I3=$auto$alumacc.cc:484:replace_alu$76198[7] O=$abc$123635$n875_1 +.param LUT_INIT 1111111110100010 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$55268[1] I1=$abc$61381$n669 I2=$false I3=$false O=$abc$61381$n668 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$61381$n672 I1=$abc$61381$n670 I2=$auto$alumacc.cc:484:replace_alu$36629[7] I3=$auto$alumacc.cc:484:replace_alu$36634[7] O=$abc$61381$n669 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$123635$n877 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=$false O=$abc$123635$n876 +.gate SB_LUT4 I0=$abc$61381$n671 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=$false O=$abc$61381$n670 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$abc$123635$n877 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$abc$61381$n671 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n878 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n672 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n880 I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$abc$123635$n879 +.gate SB_LUT4 I0=$abc$61381$n675 I1=$abc$61381$n674 I2=RESET I3=$false O=$abc$61381$n673 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n881 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.kbd_code_hid[3] O=$abc$123635$n880 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$61381$n674 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n881 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$123635$n871 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$abc$123635$n882 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$123635$n887 I2=$abc$123635$n884 I3=$false O=$abc$123635$n883 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$61381$n675 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n677 I3=$abc$61381$n673 O=$abc$61381$n676 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000010000000000 +.gate SB_LUT4 I0=$abc$61381$n682 I1=$abc$61381$n681 I2=$abc$61381$n678 I3=$false O=$abc$61381$n677 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n885 I1=$abc$123635$n886 I2=$false I3=$false O=$abc$123635$n884 +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$61381$n679 I1=$abc$61381$n680 I2=$false I3=$false O=$abc$61381$n678 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$123635$n885 +.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$61381$n679 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$123635$n886 +.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$61381$n680 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n888 I1=KEYBOARD.report[2][0] I2=KEYBOARD.report[2][1] I3=KEYBOARD.report[2][2] O=$abc$123635$n887 +.gate SB_LUT4 I0=kbd_report[3][4] I1=kbd_report[3][5] I2=kbd_report[3][6] I3=kbd_report[3][7] O=$abc$61381$n681 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$123635$n888 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=kbd_report[3][0] I1=kbd_report[3][1] I2=kbd_report[3][2] I3=kbd_report[3][3] O=$abc$61381$n682 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n897 I1=$abc$123635$n896 I2=$abc$123635$n890 I3=$abc$123635$n893 O=$abc$123635$n889 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n684 I3=$abc$61381$n685 O=$abc$61381$n683 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n891 I1=$abc$123635$n892 I2=$false I3=$false O=$abc$123635$n890 +.param LUT_INIT 0000000001000000 +.gate SB_LUT4 I0=$abc$61381$n677 I1=$abc$61381$n673 I2=$false I3=$false O=$abc$61381$n684 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$61381$n686 I1=$abc$61381$n689 I2=$abc$61381$n692 I3=$abc$61381$n693 O=$abc$61381$n685 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$61381$n687 I1=$abc$61381$n688 I2=$false I3=$false O=$abc$61381$n686 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$123635$n891 +.gate SB_LUT4 I0=kbd_report[4][4] I1=kbd_report[4][5] I2=kbd_report[4][6] I3=kbd_report[4][7] O=$abc$61381$n687 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$123635$n892 +.gate SB_LUT4 I0=kbd_report[4][0] I1=kbd_report[4][1] I2=kbd_report[4][2] I3=kbd_report[4][3] O=$abc$61381$n688 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n894 I1=$abc$123635$n895 I2=$false I3=$false O=$abc$123635$n893 +.gate SB_LUT4 I0=$abc$61381$n690 I1=$abc$61381$n691 I2=$false I3=$false O=$abc$61381$n689 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$123635$n894 +.gate SB_LUT4 I0=kbd_report[5][4] I1=kbd_report[5][5] I2=kbd_report[5][6] I3=kbd_report[5][7] O=$abc$61381$n690 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$123635$n895 +.gate SB_LUT4 I0=kbd_report[5][0] I1=kbd_report[5][1] I2=kbd_report[5][2] I3=kbd_report[5][3] O=$abc$61381$n691 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$123635$n896 +.gate SB_LUT4 I0=kbd_report[6][4] I1=kbd_report[6][5] I2=kbd_report[6][6] I3=kbd_report[6][7] O=$abc$61381$n692 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$123635$n897 +.gate SB_LUT4 I0=kbd_report[6][0] I1=kbd_report[6][1] I2=kbd_report[6][2] I3=kbd_report[6][3] O=$abc$61381$n693 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n867 I2=$false I3=$false O=$abc$123635$n898 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n904 I1=$abc$123635$n900_1 I2=$abc$123635$n867 I3=$false O=$abc$123635$n899_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n901 I1=$abc$123635$n902 I2=$abc$123635$n903 I3=$false O=$abc$123635$n900_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[6][4] I3=KEYBOARD.report[6][5] O=$abc$123635$n901 +.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n695 I3=RESET O=$abc$61381$n694 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010001011111111 +.gate SB_LUT4 I0=$abc$61381$n696 I1=$abc$61381$n697 I2=$abc$61381$n698 I3=$abc$61381$n699 O=$abc$61381$n695 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=kbd_report[6][4] I3=kbd_report[6][5] O=$abc$61381$n696 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$123635$n902 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=kbd_report[6][6] I3=kbd_report[6][7] O=$abc$61381$n697 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[6][0] I3=KEYBOARD.report[6][1] O=$abc$123635$n903 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=kbd_report[6][2] I3=kbd_report[6][3] O=$abc$61381$n698 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$123635$n904 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=kbd_report[6][0] I3=kbd_report[6][1] O=$abc$61381$n699 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n906 I1=$abc$123635$n907_1 I2=$false I3=$false O=$abc$123635$n905 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$123635$n906 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$123635$n907_1 +.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n676 I2=$abc$61381$n701 I3=$abc$61381$n703 O=$auto$dff2dffe.cc:175:make_patterns_logic$55287 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n865 I1=$abc$123635$n909 I2=$abc$123635$n910 I3=$abc$123635$n916 O=$auto$dff2dffe.cc:175:make_patterns_logic$113720 +.param LUT_INIT 0000001000000000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n684 I3=$abc$61381$n702 O=$abc$61381$n701 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n893 I1=$abc$123635$n866 I2=$abc$123635$n868 I3=$false O=$abc$123635$n909 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n898 I1=$abc$123635$n911 I2=$false I3=$false O=$abc$123635$n910 +.param LUT_INIT 0000000001000000 +.gate SB_LUT4 I0=$abc$61381$n686 I1=$abc$61381$n689 I2=$false I3=$false O=$abc$61381$n702 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n912 I1=$abc$123635$n913 I2=$abc$123635$n914 I3=$abc$123635$n915 O=$abc$123635$n911 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n704_1 I3=RESET O=$abc$61381$n703 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[5][0] I3=KEYBOARD.report[5][1] O=$abc$123635$n912 +.param LUT_INIT 1010001011111111 +.gate SB_LUT4 I0=$abc$61381$n705 I1=$abc$61381$n706 I2=$abc$61381$n707 I3=$abc$61381$n708 O=$abc$61381$n704_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[5][4] I3=KEYBOARD.report[5][5] O=$abc$123635$n913 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=kbd_report[5][4] I3=kbd_report[5][5] O=$abc$61381$n705 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$123635$n914 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=kbd_report[5][6] I3=kbd_report[5][7] O=$abc$61381$n706 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$123635$n915 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=kbd_report[5][2] I3=kbd_report[5][3] O=$abc$61381$n707 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n917 I1=$abc$123635$n866 I2=$abc$123635$n890 I3=$abc$123635$n893 O=$abc$123635$n916 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=kbd_report[5][0] I3=kbd_report[5][1] O=$abc$61381$n708 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010100010101 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n867 I2=$abc$123635$n883 I3=$false O=$abc$123635$n917 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000100 -.gate SB_LUT4 I0=$abc$123635$n919 I1=$abc$123635$n909 I2=$abc$123635$n920 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$115418 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n890 I2=$abc$123635$n893 I3=$false O=$abc$123635$n919 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n921 I1=$abc$123635$n898 I2=$abc$123635$n917 I3=$false O=$abc$123635$n920 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n922 I1=$abc$123635$n923 I2=$abc$123635$n924 I3=$abc$123635$n925 O=$abc$123635$n921 +.param LUT_INIT 1000001001000001 +.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n676 I2=$abc$61381$n710 I3=$abc$61381$n711 O=$auto$dff2dffe.cc:175:make_patterns_logic$56573 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[4][0] I3=KEYBOARD.report[4][1] O=$abc$123635$n922 +.param LUT_INIT 0000001000000000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n684 I3=$abc$61381$n686 O=$abc$61381$n710 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[4][4] I3=KEYBOARD.report[4][5] O=$abc$123635$n923 +.param LUT_INIT 0000000001000000 +.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n712 I3=RESET O=$abc$61381$n711 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010001011111111 +.gate SB_LUT4 I0=$abc$61381$n713 I1=$abc$61381$n714 I2=$abc$61381$n715 I3=$abc$61381$n716 O=$abc$61381$n712 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=kbd_report[4][4] I3=kbd_report[4][5] O=$abc$61381$n713 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$123635$n924 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=kbd_report[4][6] I3=kbd_report[4][7] O=$abc$61381$n714 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$123635$n925 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=kbd_report[4][2] I3=kbd_report[4][3] O=$abc$61381$n715 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n927 I1=$abc$123635$n928 I2=$abc$123635$n917 I3=$abc$123635$n868 O=$auto$dff2dffe.cc:175:make_patterns_logic$116870 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=kbd_report[4][0] I3=kbd_report[4][1] O=$abc$61381$n716 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n893 I2=$false I3=$false O=$abc$123635$n927 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n898 I1=$abc$123635$n929 I2=$false I3=$false O=$abc$123635$n928 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n930 I1=$abc$123635$n931 I2=$abc$123635$n932 I3=$abc$123635$n933 O=$abc$123635$n929 +.param LUT_INIT 1000001001000001 +.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n718 I2=$abc$61381$n719 I3=$abc$61381$n720 O=$auto$dff2dffe.cc:175:make_patterns_logic$57638 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[3][0] I3=KEYBOARD.report[3][1] O=$abc$123635$n930 +.param LUT_INIT 0000001000000000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n673 I3=$abc$61381$n678 O=$abc$61381$n718 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[3][4] I3=KEYBOARD.report[3][5] O=$abc$123635$n931 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n684 I3=$false O=$abc$61381$n719 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n721 I3=RESET O=$abc$61381$n720 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010001011111111 +.gate SB_LUT4 I0=$abc$61381$n722 I1=$abc$61381$n723 I2=$abc$61381$n724 I3=$abc$61381$n725_1 O=$abc$61381$n721 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=kbd_report[3][4] I3=kbd_report[3][5] O=$abc$61381$n722 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$123635$n932 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=kbd_report[3][6] I3=kbd_report[3][7] O=$abc$61381$n723 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000001001000001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=kbd_report[3][2] I3=kbd_report[3][3] O=$abc$61381$n724 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$123635$n933 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=kbd_report[3][0] I3=kbd_report[3][1] O=$abc$61381$n725_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n935 I2=$abc$123635$n868 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$118084 +.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n727 I2=$abc$61381$n728 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$58513 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n936 I1=$abc$123635$n884 I2=$auto$rtlil.cc:1692:NotGate$123446 I3=$abc$123635$n867 O=$abc$123635$n935 +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n673 I3=$abc$61381$n678 O=$abc$61381$n727 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010001111111111 -.gate SB_LUT4 I0=$abc$123635$n937 I1=$abc$123635$n938 I2=$abc$123635$n939 I3=$abc$123635$n940 O=$abc$123635$n936 +.param LUT_INIT 0000000001000000 +.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n729 I3=RESET O=$abc$61381$n728 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[2][0] I3=KEYBOARD.report[2][1] O=$abc$123635$n937 +.param LUT_INIT 1010001011111111 +.gate SB_LUT4 I0=$abc$61381$n730 I1=$abc$61381$n731 I2=$abc$61381$n732 I3=$abc$61381$n733 O=$abc$61381$n729 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[2][4] I3=KEYBOARD.report[2][5] O=$abc$123635$n938 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[2][4] I3=KEYBOARD.report[2][5] O=$abc$61381$n730 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$123635$n939 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$61381$n731 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$123635$n940 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$61381$n732 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n867 I1=$abc$123635$n942 I2=$abc$123635$n948 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$119085 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n947 I1=$abc$123635$n943 I2=$auto$rtlil.cc:1692:NotGate$123446 I3=$false O=$abc$123635$n942 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n944 I1=$abc$123635$n945 I2=$abc$123635$n946 I3=$false O=$abc$123635$n943 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[1][4] I3=KEYBOARD.report[1][5] O=$abc$123635$n944 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[2][0] I3=KEYBOARD.report[2][1] O=$abc$61381$n733 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000001001000001 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n673 I3=$abc$61381$n735 O=$auto$dff2dffe.cc:175:make_patterns_logic$59214 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$61381$n736 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n668 I3=RESET O=$abc$61381$n735 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=$abc$61381$n737 I1=$abc$61381$n738 I2=$abc$61381$n739 I3=$abc$61381$n740 O=$abc$61381$n736 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[1][4] I3=KEYBOARD.report[1][5] O=$abc$61381$n737 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$123635$n945 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$61381$n738 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[1][0] I3=KEYBOARD.report[1][1] O=$abc$123635$n946 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$61381$n739 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$123635$n947 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[1][0] I3=KEYBOARD.report[1][1] O=$abc$61381$n740 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n868 I1=$abc$123635$n867 I2=$auto$rtlil.cc:1692:NotGate$123446 I3=$abc$123635$n884 O=$abc$123635$n948 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010100010 -.gate SB_LUT4 I0=RESET I1=$abc$123635$n879 I2=$abc$123635$n882 I3=$abc$123635$n875_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$119875 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111010101010101 -.gate SB_LUT4 I0=$abc$123635$n882 I1=$auto$rtlil.cc:1692:NotGate$123596 I2=$auto$simplemap.cc:127:simplemap_reduce$120655 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$120327 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$55268[1] I1=$abc$61381$n669 I2=RESET I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59740 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=RESET I1=$abc$123635$n882 I2=$abc$123635$n952 I3=$auto$rtlil.cc:1692:NotGate$123596 O=$auto$dff2dffe.cc:175:make_patterns_logic$120622 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010100010 -.gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n667 I2=$abc$123635$n672 I3=$false O=$abc$123635$n952 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$7457_Y I1=$auto$rtlil.cc:1692:NotGate$61364 I2=RESET I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$60147 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$61381$n500_1 I1=$abc$61381$n505 I2=$abc$61381$n510 I3=$false O=$techmap\KEYBOARD.$procmux$7457_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$120653 I1=$auto$rtlil.cc:1692:NotGate$123596 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$120964 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61364 I1=RESET I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59992 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n874 I1=$abc$123635$n955 I2=$abc$123635$n942 I3=$abc$123635$n929 O=$auto$dff2dffe.cc:175:make_patterns_logic$121761 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000101010 -.gate SB_LUT4 I0=$abc$123635$n899_1 I1=$abc$123635$n911 I2=$abc$123635$n921 I3=$abc$123635$n936 O=$abc$123635$n955 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=I2C.wr I1=I2C_HID_DESC.last_rd_request I2=RESET I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122712 +.gate SB_LUT4 I0=I2C.wr I1=I2C_HID_DESC.last_rd_request I2=RESET I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$60701 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00101111 -.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$1066_Y[0] I1=$abc$123635$n960 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$122811 +.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$993_Y[2] I1=$abc$61381$n749 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$60782 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n959 I1=$auto$wreduce.cc:310:run$76138[0] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$1066_Y[0] +.gate SB_LUT4 I0=$abc$61381$n748 I1=$auto$wreduce.cc:310:run$36591[2] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$993_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$123635$n959 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$61381$n748 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$1066_Y[1] I1=$auto$wreduce.cc:310:run$76138[2] I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$123635$n960 +.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$993_Y[1] I1=$auto$wreduce.cc:310:run$36591[0] I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$61381$n749 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$1066_Y[1] +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$993_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n959 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122826 +.gate SB_LUT4 I0=$abc$61381$n748 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$60797 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111101 -.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$1066_Y[0] I1=$abc$123635$n966 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$122871 +.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$993_Y[0] I1=$abc$61381$n755 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$60842 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n965 I1=$auto$wreduce.cc:310:run$76137[0] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$1066_Y[0] +.gate SB_LUT4 I0=$abc$61381$n754 I1=$auto$wreduce.cc:310:run$36590[0] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$993_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$123635$n965 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$61381$n754 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$1066_Y[1] I1=$auto$wreduce.cc:310:run$76137[2] I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$123635$n966 +.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$993_Y[1] I1=$auto$wreduce.cc:310:run$36590[2] I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$61381$n755 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$1066_Y[1] +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$993_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n965 I1=I2C.FLT_SCL.out I2=I2C.SCLF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122886 +.gate SB_LUT4 I0=$abc$61381$n754 I1=I2C.FLT_SCL.out I2=I2C.SCLF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$60857 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111101 -.gate SB_LUT4 I0=$abc$123635$n970 I1=$abc$123635$n745 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$90143 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n976 I1=$abc$123635$n801 I2=$abc$123635$n793 I3=$abc$123635$n971 O=$abc$123635$n970 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I1=RESET I2=$abc$123635$n972 I3=$false O=$abc$123635$n971 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n973 I1=$abc$123635$n747_1 I2=RESET I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$abc$123635$n972 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n974 I1=$abc$123635$n800 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n975 O=$abc$123635$n973 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=$abc$123635$n734_1 I1=$auto$rtlil.cc:1692:NotGate$123580 I2=$abc$123635$n738 I3=$false O=$abc$123635$n974 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=UART.tx_activity I1=uart_double_ff I2=last_uart_active I3=$false O=$abc$123635$n975 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$123635$n976 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n835 I1=$abc$123635$n972 I2=$abc$123635$n733 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$90751 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$123635$n979 I1=$abc$123635$n983 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$92180 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:484:replace_alu$36714[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n982 I1=I2C.is_read I2=$abc$123635$n981 I3=$abc$123635$n980 O=$abc$123635$n979 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$123635$n829 I1=UART.tx_activity I2=last_uart_active I3=$false O=$abc$123635$n980 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00101000 -.gate SB_LUT4 I0=$abc$123635$n830 I1=$abc$123635$n800 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n981 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n731_1 I1=$auto$alumacc.cc:484:replace_alu$76176[7] I2=$false I3=$false O=$abc$123635$n982 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n827 I1=$abc$123635$n984 I2=$abc$123635$n997 I3=$abc$123635$n998 O=$abc$123635$n983 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n993 I1=$abc$123635$n989 I2=$abc$123635$n985 I3=$false O=$abc$123635$n984 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n736 I1=$abc$123635$n986 I2=$abc$123635$n739 I3=$false O=$abc$123635$n985 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:158:make_patterns_logic$99517 I1=$abc$123635$n974 I2=$abc$123635$n987 I3=$false O=$abc$123635$n986 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n988 I1=$abc$123635$n748 I2=UART_WR I3=$false O=$abc$123635$n987 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=I2C_TRANS I1=UART.tx_activity I2=last_trans I3=last_uart_active O=$abc$123635$n988 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=$abc$123635$n990 I1=$abc$123635$n991 I2=$abc$123635$n992 I3=int_tmr[19] O=$abc$123635$n989 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n734_1 I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$123635$n990 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000010 -.gate SB_LUT4 I0=$abc$123635$n722 I1=$abc$123635$n797 I2=$abc$123635$n988 I3=$false O=$abc$123635$n991 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=RESET I1=UART_WR I2=$false I3=$false O=$abc$123635$n992 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n994 I1=$abc$123635$n731_1 I2=I2C_TRANS I3=int_tmr[19] O=$abc$123635$n993 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=$abc$123635$n995 I1=$abc$123635$n996 I2=$false I3=$false O=$abc$123635$n994 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=ring_wr[0] I1=ring_wr[1] I2=ring_rd[0] I3=ring_rd[1] O=$abc$123635$n995 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=ring_wr[2] I1=ring_wr[3] I2=ring_rd[2] I3=ring_rd[3] O=$abc$123635$n996 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=$abc$123635$n731_1 I1=I2C_TRANS I2=int_tmr[19] I3=$abc$123635$n989 O=$abc$123635$n997 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101111100000000 -.gate SB_LUT4 I0=$abc$123635$n990 I1=$abc$123635$n991 I2=$abc$123635$n992 I3=int_tmr[19] O=$abc$123635$n998 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=$abc$123635$n979 I1=$abc$123635$n1000 I2=$abc$123635$n989 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$95013 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=$abc$123635$n749 I1=$abc$123635$n802 I2=$abc$123635$n807 I3=$abc$123635$n986 O=$abc$123635$n1000 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n979 I1=$abc$123635$n1000 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$99225 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=RESET I1=$3\report_wr_en[0:0] I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$99687 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010101 -.gate SB_LUT4 I0=$abc$123635$n722 I1=$abc$123635$n748 I2=$false I3=$false O=$3\report_wr_en[0:0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:484:replace_alu$76297[0] +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[1] +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[4] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[2] +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[5] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[3] +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[6] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[4] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[4] +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[7] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[5] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[5] +.gate SB_LUT4 I0=KEYBOARD.ram_wr I1=KEYBOARD.RAM.last_we I2=$false I3=$false O=$techmap$techmap36770\KEYBOARD.RAM.mem.0.0.0.$reduce_or$/usr/bin/../share/yosys/ice40/brams_map.v:308$36769_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[6] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[6] +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$61381$n782 I1=$abc$61381$n768 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101100000000 +.gate SB_LUT4 I0=$abc$61381$n769 I1=$abc$61381$n773 I2=$abc$61381$n775_1 I3=$abc$61381$n778 O=$abc$61381$n768 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000100000 +.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][0] I2=kbd_report[6][0] I3=$abc$61381$n770 O=$abc$61381$n769 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n770 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$61381$n771 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[7] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[7] +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n772 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$abc$61381$n774 I1=kbd_report[3][0] I2=$false I3=$false O=$abc$61381$n773 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[0] I2=$false I3=$false O=$0\rststate[3:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n811 I1=$abc$123635$n824 I2=$abc$123635$n1014 I3=i2c_input_data_type[1] O=$abc$123635$n1013 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n774 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000100 +.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][0] I2=kbd_report[5][0] I3=$abc$61381$n776 O=$abc$61381$n775_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n1015 I2=$abc$123635$n734_1 I3=$false O=$abc$123635$n1014 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n776 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$abc$123635$n797 I2=I2C.is_read I3=$false O=$abc$123635$n1015 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n777 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 -.gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[1] I2=$false I3=$false O=$0\rststate[3:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[2] I2=$false I3=$false O=$0\rststate[3:0][2] +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][0] O=$abc$61381$n778 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$61381$n780_1 I1=$abc$61381$n781 I2=$auto$alumacc.cc:484:replace_alu$36674[7] I3=$auto$alumacc.cc:484:replace_alu$36695[7] O=$abc$61381$n779 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000010000000000 +.gate SB_LUT4 I0=$abc$61381$n587 I1=$abc$61381$n586 I2=$false I3=$false O=$abc$61381$n780_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[3] I2=$false I3=$false O=$0\rststate[3:0][3] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$61381$n601 I1=$abc$61381$n616 I2=$false I3=$false O=$abc$61381$n781 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n1022 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[0] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][0] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][0] I3=$false O=$abc$61381$n782 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n783 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111101 +.gate SB_LUT4 I0=$abc$61381$n780_1 I1=$abc$61381$n785 I2=$auto$alumacc.cc:484:replace_alu$36674[7] I3=$auto$alumacc.cc:484:replace_alu$36695[7] O=$procmux$23459_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$abc$123635$n1021 I1=$auto$alumacc.cc:484:replace_alu$76214[7] I2=$false I3=$false O=$abc$123635$n1020 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n723 I1=$abc$123635$n724 I2=init_ram_cnt[7] I3=init_ram_cnt[5] O=$abc$123635$n1021 +.param LUT_INIT 1010111000000000 +.gate SB_LUT4 I0=$abc$61381$n791 I1=$abc$61381$n786_1 I2=$abc$61381$n618_1 I3=$abc$61381$n781 O=$abc$61381$n785 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[0] I1=$6\report_data_wadr[7:0][0] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1022 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1020 I1=init_ram_cnt[1] I2=$abc$123635$n1024 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][1] +.param LUT_INIT 1010101100000000 +.gate SB_LUT4 I0=kbd_report[3][1] I1=$abc$61381$n774 I2=$abc$61381$n787 I3=$abc$61381$n790 O=$abc$61381$n786_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111100010001 -.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=wr_cnt[1] I2=ring_wr[0] I3=$abc$123635$n748 O=$abc$123635$n1024 +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=kbd_report[6][1] I1=$abc$61381$n770 I2=$abc$61381$n789 I3=$abc$61381$n788 O=$abc$61381$n787 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010111000011 -.gate SB_LUT4 I0=$add$top.v:98$41_Y[0] I1=ring_wr[0] I2=$abc$123635$n1026_1 I3=$false O=$2\ring_wr[3:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n1027 I1=$add$top.v:98$41_Y[4] I2=$false I3=$false O=$abc$123635$n1026_1 +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][1] I2=$false I3=$false O=$abc$61381$n788 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1028 I1=$abc$123635$n1029 I2=$add$top.v:98$41_Y[0] I3=ring_rd[0] O=$abc$123635$n1027 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][1] O=$abc$61381$n789 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000100 -.gate SB_LUT4 I0=ring_wr[0] I1=ring_wr[1] I2=ring_rd[1] I3=$false O=$abc$123635$n1028 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010110 -.gate SB_LUT4 I0=$add$top.v:98$41_Y[2] I1=$add$top.v:98$41_Y[3] I2=ring_rd[2] I3=ring_rd[3] O=$abc$123635$n1029 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][1] I2=kbd_report[5][1] I3=$abc$61381$n776 O=$abc$61381$n790 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=$abc$123635$n1035_1 I1=$abc$123635$n1031 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$0\report_data_wadr[7:0][2] +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][1] I3=$false O=$abc$61381$n791 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$abc$123635$n1033_1 I2=$abc$123635$n1034_1 I3=$abc$123635$n748 O=$abc$123635$n1031 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n798_1 I1=$abc$61381$n793 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010111000011 -.gate SB_LUT4 I0=$abc$123635$n1026_1 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$123635$n1033_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=$false I3=$false O=$abc$123635$n1034_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$123635$n1020 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$false O=$abc$123635$n1035_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000001 -.gate SB_LUT4 I0=$abc$123635$n1020 I1=$sub$top.v:88$26_Y[3] I2=$abc$123635$n1037 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$123635$n1039 I1=$2\ring_wr[3:0][2] I2=$2\ring_wr[3:0][0] I3=$abc$123635$n748 O=$abc$123635$n1037 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100001101010101 -.gate SB_LUT4 I0=$add$top.v:98$41_Y[2] I1=ring_wr[2] I2=$abc$123635$n1026_1 I3=$false O=$2\ring_wr[3:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n1040 I1=$abc$123635$n1041 I2=ring_wr[0] I3=$false O=$abc$123635$n1039 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01101001 -.gate SB_LUT4 I0=$abc$123635$n1033_1 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$123635$n1040 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010111 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$123635$n1041 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$123635$n1020 I1=$sub$top.v:88$26_Y[4] I2=$abc$123635$n1043_1 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$123635$n1047_1 I1=$auto$maccmap.cc:111:fulladd$77152[4] I2=$auto$maccmap.cc:112:fulladd$77153[3] I3=$abc$123635$n748 O=$abc$123635$n1043_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100001110101010 -.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=$2\ring_wr[3:0][2] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$77153[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:111:fulladd$77152[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$add$top.v:98$41_Y[3] I1=ring_wr[3] I2=$abc$123635$n1026_1 I3=$false O=$2\ring_wr[3:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$auto$maccmap.cc:112:fulladd$77134[3] I1=$auto$maccmap.cc:111:fulladd$77133[4] I2=$false I3=$false O=$abc$123635$n1047_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n1049 I1=$abc$123635$n1040 I2=ring_wr[0] I3=$abc$123635$n1041 O=$auto$maccmap.cc:112:fulladd$77134[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110101000110000 -.gate SB_LUT4 I0=$abc$123635$n1033_1 I1=$abc$123635$n1034_1 I2=$false I3=$false O=$abc$123635$n1049 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1041 I1=wr_cnt[2] I2=ring_wr[1] I3=$abc$123635$n1051 O=$auto$maccmap.cc:111:fulladd$77133[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111101110000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=ring_wr[3] I3=$false O=$abc$123635$n1051 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01111000 -.gate SB_LUT4 I0=$abc$123635$n1053 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[5] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[5] I1=$6\report_data_wadr[7:0][5] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1053 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1055 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[6] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[6] I1=$6\report_data_wadr[7:0][6] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1055 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1057 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[7] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[7] I1=$6\report_data_wadr[7:0][7] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1057 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1059 I1=$abc$123635$n1073 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$123635$n1072_1 I2=$abc$123635$n1060 I3=$abc$123635$n1065 O=$abc$123635$n1059 +.param LUT_INIT 1010101100000000 +.gate SB_LUT4 I0=kbd_report[3][2] I1=$abc$61381$n774 I2=$abc$61381$n794 I3=$abc$61381$n797 O=$abc$61381$n793 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=$abc$123635$n1063 I2=$abc$123635$n1064 I3=$abc$123635$n1061 O=$abc$123635$n1060 +.gate SB_LUT4 I0=kbd_report[6][2] I1=$abc$61381$n770 I2=$abc$61381$n796 I3=$abc$61381$n795 O=$abc$61381$n794 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][0] I2=$false I3=$false O=$abc$123635$n1061 +.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][2] I2=$false I3=$false O=$abc$61381$n795 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1062 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100100000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1063 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][2] O=$abc$61381$n796 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000110 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$123635$n1064 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000100 -.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=$abc$123635$n1071 I2=$abc$123635$n1066 I3=$abc$123635$n1069 O=$abc$123635$n1065 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][0] I2=KEYBOARD.report[6][0] I3=$abc$123635$n1067 O=$abc$123635$n1066 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][2] I2=kbd_report[5][2] I3=$abc$61381$n776 O=$abc$61381$n797 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1067 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011000000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1068_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$123635$n1070_1 I1=KEYBOARD.report[1][0] I2=$false I3=$false O=$abc$123635$n1069 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1070_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010010000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1071 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001100000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1072_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0110000000001001 -.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=$abc$123635$n1078 I2=$abc$123635$n1074_1 I3=$abc$123635$n1076 O=$abc$123635$n1073 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[5][0] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1074_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=wr_cnt[1] I1=$sub$top.v:122$76_Y[0] I2=$false I3=$false O=$abc$123635$n1075 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$123635$n1077 I2=$abc$123635$n1064 I3=$false O=$abc$123635$n1076 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=$false O=$abc$123635$n1077 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010010 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=$false O=$abc$123635$n1078 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=$false O=$abc$123635$n1079 +.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][2] I3=$false O=$abc$61381$n798_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n1087 I2=$abc$123635$n1081 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][1] +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n780_1 I1=$abc$61381$n800 I2=$auto$alumacc.cc:484:replace_alu$36674[7] I3=$auto$alumacc.cc:484:replace_alu$36695[7] O=$procmux$23459_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010111000000000 +.gate SB_LUT4 I0=$abc$61381$n806 I1=$abc$61381$n801 I2=$abc$61381$n618_1 I3=$abc$61381$n781 O=$abc$61381$n800 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010101011 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$123635$n1072_1 I2=$abc$123635$n1082_1 I3=$abc$123635$n1084 O=$abc$123635$n1081 +.param LUT_INIT 1010101100000000 +.gate SB_LUT4 I0=kbd_report[3][3] I1=$abc$61381$n774 I2=$abc$61381$n802 I3=$abc$61381$n805 O=$abc$61381$n801 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[2][1] I1=$abc$123635$n1063 I2=$abc$123635$n1064 I3=$abc$123635$n1083 O=$abc$123635$n1082_1 +.gate SB_LUT4 I0=kbd_report[6][3] I1=$abc$61381$n770 I2=$abc$61381$n804 I3=$abc$61381$n803 O=$abc$61381$n802 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][1] I2=$false I3=$false O=$abc$123635$n1083 +.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][3] I2=$false I3=$false O=$abc$61381$n803 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=$abc$123635$n1070_1 I2=$abc$123635$n1085 I3=$abc$123635$n1086 O=$abc$123635$n1084 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][3] O=$abc$61381$n804 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][1] I2=$abc$123635$n1071 I3=KEYBOARD.report[3][1] O=$abc$123635$n1085 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][3] I2=kbd_report[5][3] I3=$abc$61381$n776 O=$abc$61381$n805 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$123635$n1067 I1=KEYBOARD.report[6][1] I2=$false I3=$false O=$abc$123635$n1086 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$123635$n1077 I2=$abc$123635$n1088 I3=$abc$123635$n1064 O=$abc$123635$n1087 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=KEYBOARD.report[5][1] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1088 +.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][3] I3=$false O=$abc$61381$n806 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n813 I1=$abc$61381$n808 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=$abc$123635$n1090 I1=$abc$123635$n1096 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$123635$n1072_1 I2=$abc$123635$n1091_1 I3=$abc$123635$n1093 O=$abc$123635$n1090 +.param LUT_INIT 1010101100000000 +.gate SB_LUT4 I0=kbd_report[3][4] I1=$abc$61381$n774 I2=$abc$61381$n809 I3=$abc$61381$n812_1 O=$abc$61381$n808 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[3][2] I1=$abc$123635$n1071 I2=$abc$123635$n1064 I3=$abc$123635$n1092_1 O=$abc$123635$n1091_1 +.gate SB_LUT4 I0=kbd_report[6][4] I1=$abc$61381$n770 I2=$abc$61381$n811 I3=$abc$61381$n810 O=$abc$61381$n809 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][2] I2=$false I3=$false O=$abc$123635$n1092_1 +.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][4] I2=$false I3=$false O=$abc$61381$n810 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][2] I1=$abc$123635$n1063 I2=$abc$123635$n1094 I3=$abc$123635$n1095 O=$abc$123635$n1093 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][4] O=$abc$61381$n811 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$123635$n1067 I1=KEYBOARD.report[6][2] I2=$false I3=$false O=$abc$123635$n1094 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][2] I2=$abc$123635$n1070_1 I3=KEYBOARD.report[1][2] O=$abc$123635$n1095 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][4] I2=kbd_report[5][4] I3=$abc$61381$n776 O=$abc$61381$n812_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[6][2] I1=$abc$123635$n1078 I2=$abc$123635$n1097 I3=$abc$123635$n1098 O=$abc$123635$n1096 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][2] I1=KEYBOARD.report[5][2] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1097 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$123635$n1077 I2=$abc$123635$n1064 I3=$false O=$abc$123635$n1098 +.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][4] I3=$false O=$abc$61381$n813 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n1106_1 I2=$abc$123635$n1100 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010101011 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$123635$n1072_1 I2=$abc$123635$n1101_1 I3=$abc$123635$n1103_1 O=$abc$123635$n1100 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$123635$n1063 I2=$abc$123635$n1064 I3=$abc$123635$n1102 O=$abc$123635$n1101_1 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n820 I1=$abc$61381$n815 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][3] I2=$false I3=$false O=$abc$123635$n1102 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[3][3] I1=$abc$123635$n1071 I2=$abc$123635$n1104_1 I3=$abc$123635$n1105 O=$abc$123635$n1103_1 +.param LUT_INIT 1010101100000000 +.gate SB_LUT4 I0=$abc$61381$n816 I1=$abc$61381$n817_1 I2=$abc$61381$n818 I3=$abc$61381$n819 O=$abc$61381$n815 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][3] I2=KEYBOARD.report[6][3] I3=$abc$123635$n1067 O=$abc$123635$n1104_1 +.param LUT_INIT 0000000000100000 +.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][5] I2=kbd_report[6][5] I3=$abc$61381$n770 O=$abc$61381$n816 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$123635$n1070_1 I1=KEYBOARD.report[1][3] I2=$false I3=$false O=$abc$123635$n1105 +.gate SB_LUT4 I0=$abc$61381$n774 I1=kbd_report[3][5] I2=$false I3=$false O=$abc$61381$n817_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$123635$n1077 I2=$abc$123635$n1107_1 I3=$abc$123635$n1064 O=$abc$123635$n1106_1 +.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][5] I2=kbd_report[5][5] I3=$abc$61381$n776 O=$abc$61381$n818 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=KEYBOARD.report[5][3] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1107_1 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][5] O=$abc$61381$n819 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=$abc$123635$n1109 I1=$abc$123635$n1115 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][4] +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][5] I3=$false O=$abc$61381$n820 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n827_1 I1=$abc$61381$n822_1 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$123635$n1072_1 I2=$abc$123635$n1110_1 I3=$abc$123635$n1112 O=$abc$123635$n1109 +.param LUT_INIT 1010101100000000 +.gate SB_LUT4 I0=kbd_report[3][6] I1=$abc$61381$n774 I2=$abc$61381$n823 I3=$abc$61381$n826 O=$abc$61381$n822_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=$abc$123635$n1067 I2=$abc$123635$n1064 I3=$abc$123635$n1111 O=$abc$123635$n1110_1 +.gate SB_LUT4 I0=kbd_report[6][6] I1=$abc$61381$n770 I2=$abc$61381$n825 I3=$abc$61381$n824 O=$abc$61381$n823 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][4] I2=$false I3=$false O=$abc$123635$n1111 +.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][6] I2=$false I3=$false O=$abc$61381$n824 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=$abc$123635$n1070_1 I2=$abc$123635$n1113 I3=$abc$123635$n1114 O=$abc$123635$n1112 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][6] O=$abc$61381$n825 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1071 I1=KEYBOARD.report[3][4] I2=$abc$123635$n1063 I3=KEYBOARD.report[2][4] O=$abc$123635$n1113 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][6] I2=kbd_report[5][6] I3=$abc$61381$n776 O=$abc$61381$n826 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][4] I2=$false I3=$false O=$abc$123635$n1114 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$123635$n1077 I2=$abc$123635$n1116 I3=$abc$123635$n1064 O=$abc$123635$n1115 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=$abc$123635$n1078 I2=$abc$123635$n1118 I3=$abc$123635$n1117 O=$abc$123635$n1116 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1075 I1=wr_cnt[2] I2=KEYBOARD.report[1][4] I3=$false O=$abc$123635$n1117 +.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][6] I3=$false O=$abc$61381$n827_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=KEYBOARD.report[2][4] O=$abc$123635$n1118 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n1120 I1=$abc$123635$n1126 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$123635$n1072_1 I2=$abc$123635$n1121 I3=$abc$123635$n1123 O=$abc$123635$n1120 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n834 I1=$abc$61381$n829 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][5] I1=$abc$123635$n1067 I2=$abc$123635$n1064 I3=$abc$123635$n1122 O=$abc$123635$n1121 +.param LUT_INIT 1010101100000000 +.gate SB_LUT4 I0=$abc$61381$n830 I1=$abc$61381$n831 I2=$abc$61381$n832_1 I3=$abc$61381$n833 O=$abc$61381$n829 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][5] I2=$false I3=$false O=$abc$123635$n1122 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][5] I1=$abc$123635$n1063 I2=$abc$123635$n1124 I3=$abc$123635$n1125 O=$abc$123635$n1123 +.param LUT_INIT 0000000000100000 +.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][7] I2=kbd_report[6][7] I3=$abc$61381$n770 O=$abc$61381$n830 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][5] I2=$false I3=$false O=$abc$123635$n1124 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$61381$n774 I1=kbd_report[3][7] I2=$false I3=$false O=$abc$61381$n831 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1071 I1=KEYBOARD.report[3][5] I2=$abc$123635$n1070_1 I3=KEYBOARD.report[1][5] O=$abc$123635$n1125 +.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][7] I2=kbd_report[5][7] I3=$abc$61381$n776 O=$abc$61381$n832_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$123635$n1077 I2=$abc$123635$n1127 I3=$abc$123635$n1064 O=$abc$123635$n1126 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][5] I1=$abc$123635$n1078 I2=$abc$123635$n1129 I3=$abc$123635$n1128 O=$abc$123635$n1127 +.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][7] O=$abc$61381$n833 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1075 I1=wr_cnt[2] I2=KEYBOARD.report[1][5] I3=$false O=$abc$123635$n1128 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][7] I3=$false O=$abc$61381$n834 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=KEYBOARD.report[2][5] O=$abc$123635$n1129 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n1131 I1=$abc$123635$n1137 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$123635$n1072_1 I2=$abc$123635$n1132 I3=$abc$123635$n1134 O=$abc$123635$n1131 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][6] I1=$abc$123635$n1067 I2=$abc$123635$n1064 I3=$abc$123635$n1133 O=$abc$123635$n1132 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n836 I1=$add$top.v:60$11_Y[0] I2=$false I3=$false O=$0\rststate[3:0][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$61381$n636 I1=$abc$61381$n637 I2=$abc$61381$n638 I3=i2c_input_data_type[1] O=$abc$61381$n836 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][6] I2=$false I3=$false O=$abc$123635$n1133 +.param LUT_INIT 0000000010000000 +.gate SB_LUT4 I0=$abc$61381$n836 I1=$add$top.v:60$11_Y[1] I2=$false I3=$false O=$0\rststate[3:0][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$61381$n836 I1=$add$top.v:60$11_Y[2] I2=$false I3=$false O=$0\rststate[3:0][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$61381$n836 I1=$add$top.v:60$11_Y[3] I2=$false I3=$false O=$0\rststate[3:0][3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[0] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=$abc$123635$n1070_1 I2=$abc$123635$n1135 I3=$abc$123635$n1136 O=$abc$123635$n1134 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1071 I1=KEYBOARD.report[3][6] I2=$abc$123635$n1063 I3=KEYBOARD.report[2][6] O=$abc$123635$n1135 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][6] I2=$false I3=$false O=$abc$123635$n1136 +.gate SB_LUT4 I0=$abc$61381$n559 I1=$abc$61381$n771 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[2] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$123635$n1077 I2=$abc$123635$n1138 I3=$abc$123635$n1064 O=$abc$123635$n1137 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][6] I1=$abc$123635$n1078 I2=$abc$123635$n1140 I3=$abc$123635$n1139 O=$abc$123635$n1138 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1075 I1=wr_cnt[2] I2=KEYBOARD.report[1][6] I3=$false O=$abc$123635$n1139 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=KEYBOARD.report[2][6] O=$abc$123635$n1140 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n1142 I1=$abc$123635$n1148 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$123635$n1072_1 I2=$abc$123635$n1143 I3=$abc$123635$n1145 O=$abc$123635$n1142 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[3][7] I1=$abc$123635$n1071 I2=$abc$123635$n1064 I3=$abc$123635$n1144 O=$abc$123635$n1143 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][7] I2=$false I3=$false O=$abc$123635$n1144 +.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[3] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][7] I1=$abc$123635$n1063 I2=$abc$123635$n1146 I3=$abc$123635$n1147 O=$abc$123635$n1145 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$123635$n1067 I1=KEYBOARD.report[6][7] I2=$false I3=$false O=$abc$123635$n1146 +.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[4] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][7] I2=$abc$123635$n1070_1 I3=KEYBOARD.report[1][7] O=$abc$123635$n1147 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[6][7] I1=$abc$123635$n1078 I2=$abc$123635$n1149 I3=$abc$123635$n1150 O=$abc$123635$n1148 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][7] I1=KEYBOARD.report[5][7] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1149 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$123635$n1077 I2=$abc$123635$n1064 I3=$false O=$abc$123635$n1150 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n743_1 I1=$add$top.v:125$80_Y[0] I2=$abc$123635$n748 I3=$false O=$2\wr_cnt[3:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n743_1 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n743_1 I2=$add$top.v:125$80_Y[2] I3=$false O=$2\wr_cnt[3:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n743_1 I2=$add$top.v:125$80_Y[3] I3=$false O=$2\wr_cnt[3:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$abc$123635$n748 I2=$false I3=$false O=$2\INT[0:0] +.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[5] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1157 I1=$abc$123635$n748 I2=I2C.is_read I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11111101 -.gate SB_LUT4 I0=$abc$123635$n824 I1=$abc$123635$n1160 I2=I2C_OUTPUT_TYPE[0] I3=$abc$123635$n1158 O=$abc$123635$n1157 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010100000011 -.gate SB_LUT4 I0=$abc$123635$n825 I1=$abc$123635$n824 I2=$abc$123635$n812_1 I3=$abc$123635$n1159 O=$abc$123635$n1158 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001110 -.gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$123635$n1159 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[6] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n825 I1=$abc$123635$n812_1 I2=$abc$123635$n1159 I3=i2c_input_data_type[0] O=$abc$123635$n1160 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n1162 I1=$abc$123635$n748 I2=I2C.is_read I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11111101 -.gate SB_LUT4 I0=$abc$123635$n825 I1=$abc$123635$n1160 I2=I2C_OUTPUT_TYPE[1] I3=$abc$123635$n1158 O=$abc$123635$n1162 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010100000011 -.gate SB_LUT4 I0=$abc$123635$n1164 I1=$abc$123635$n748 I2=I2C.is_read I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n1158 I1=$abc$123635$n1160 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$123635$n1164 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$123635$n1166 I1=$abc$123635$n748 I2=$false I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] +.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[7] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1157 I1=$abc$123635$n1162 I2=$abc$123635$n1164 I3=I2C.is_read O=$abc$123635$n1166 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000110 -.gate SB_LUT4 I0=$abc$123635$n1168 I1=$abc$123635$n824 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][0] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$61381$n849 I1=$abc$61381$n636 I2=$0\uart_double_ff[0:0] I3=$abc$61381$n559 O=$0\i2c_input_data_type[3:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010100001100 -.gate SB_LUT4 I0=$abc$123635$n1170 I1=$abc$123635$n727 I2=$abc$123635$n1169 I3=I2C.received_byte[0] O=$abc$123635$n1168 +.gate SB_LUT4 I0=$abc$61381$n851 I1=$abc$61381$n780_1 I2=$abc$61381$n850 I3=I2C.received_byte[0] O=$abc$61381$n849 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010101010101 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76243[7] I1=$abc$123635$n784 I2=$auto$alumacc.cc:484:replace_alu$76181[7] I3=$false O=$abc$123635$n1169 +.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$36650[7] I1=$abc$61381$n612 I2=$auto$alumacc.cc:484:replace_alu$36685[7] I3=$false O=$abc$61381$n850 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n778 I2=$abc$123635$n789 I3=$false O=$abc$123635$n1170 +.gate SB_LUT4 I0=$abc$61381$n852 I1=$abc$61381$n598 I2=$abc$61381$n618_1 I3=$abc$61381$n604 O=$abc$61381$n851 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110000000000000 +.gate SB_LUT4 I0=$abc$61381$n599 I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=$false O=$abc$61381$n852 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n1172 I1=$abc$123635$n825 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][1] +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$abc$61381$n854 I1=$abc$61381$n624 I2=$0\uart_double_ff[0:0] I3=$abc$61381$n559 O=$0\i2c_input_data_type[3:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010100001100 -.gate SB_LUT4 I0=$abc$123635$n1173 I1=$abc$123635$n727 I2=$abc$123635$n1169 I3=I2C.received_byte[1] O=$abc$123635$n1172 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010101010101 -.gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n1174 I2=$abc$123635$n789 I3=$false O=$abc$123635$n1173 +.gate SB_LUT4 I0=$abc$61381$n618_1 I1=$abc$61381$n856 I2=$abc$61381$n855_1 I3=$false O=$abc$61381$n854 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=$abc$123635$n1175 I3=$false O=$abc$123635$n1174 +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$61381$n850 I1=$abc$61381$n780_1 I2=I2C.received_byte[1] I3=$false O=$abc$61381$n855_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$123635$n1175 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$61381$n604 I1=$abc$61381$n599 I2=I2C.received_byte[4] I3=I2C.received_byte[5] O=$abc$61381$n856 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1177 I1=$abc$123635$n812_1 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][2] +.param LUT_INIT 1111011101111111 +.gate SB_LUT4 I0=$abc$61381$n859_1 I1=$abc$61381$n858_1 I2=$0\uart_double_ff[0:0] I3=$abc$61381$n559 O=$0\i2c_input_data_type[3:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010100001100 -.gate SB_LUT4 I0=$abc$123635$n1178 I1=$abc$123635$n727 I2=$abc$123635$n1169 I3=I2C.received_byte[2] O=$abc$123635$n1177 +.gate SB_LUT4 I0=$abc$61381$n625 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$61381$n858_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$61381$n860 I1=$abc$61381$n850 I2=$abc$61381$n780_1 I3=I2C.received_byte[2] O=$abc$61381$n859_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010101010101 -.gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n789 I2=$abc$123635$n1175 I3=$false O=$abc$123635$n1178 +.gate SB_LUT4 I0=$abc$61381$n856 I1=$abc$61381$n603 I2=$abc$61381$n618_1 I3=$false O=$abc$61381$n860 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n1180 I1=$abc$123635$n1159 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][3] +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$61381$n863 I1=$abc$61381$n862 I2=$0\uart_double_ff[0:0] I3=$abc$61381$n559 O=$0\i2c_input_data_type[3:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000001100 -.gate SB_LUT4 I0=$abc$123635$n1169 I1=$abc$123635$n727 I2=I2C.received_byte[3] I3=$false O=$abc$123635$n1180 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[0] I1=$mul$top.v:177$125_Y[0] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:176$124_Y[0] I1=ring_rd[0] I2=$abc$123635$n994 I3=$false O=$2\ring_rd[3:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=report_data_radr[0] I2=report_data_radr[1] I3=$abc$123635$n727 O=$0\report_data_radr[7:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000111100 -.gate SB_LUT4 I0=$abc$123635$n994 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$2\ring_rd[3:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[2] I1=$2\ring_rd[3:0][1] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[3] I1=$2\ring_rd[3:0][0] I2=$2\ring_rd[3:0][2] I3=$abc$123635$n727 O=$0\report_data_radr[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$add$top.v:176$124_Y[2] I1=ring_rd[2] I2=$abc$123635$n994 I3=$false O=$2\ring_rd[3:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[4] I1=$auto$maccmap.cc:111:fulladd$76951[4] I2=$auto$maccmap.cc:112:fulladd$76952[3] I3=$abc$123635$n727 O=$0\report_data_radr[7:0][4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=$2\ring_rd[3:0][2] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$76952[3] +.gate SB_LUT4 I0=$abc$61381$n625 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$61381$n862 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$2\ring_rd[3:0][1] I1=$2\ring_rd[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:111:fulladd$76951[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$add$top.v:176$124_Y[3] I1=ring_rd[3] I2=$abc$123635$n994 I3=$false O=$2\ring_rd[3:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[5] I1=$mul$top.v:177$125_Y[5] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[6] I1=$mul$top.v:177$125_Y[6] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][6] +.gate SB_LUT4 I0=$abc$61381$n850 I1=$abc$61381$n780_1 I2=I2C.received_byte[3] I3=$false O=$abc$61381$n863 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[7] I1=$mul$top.v:177$125_Y[7] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][7] +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$61381$n865 I2=I2C.is_read I3=$false O=$procmux$31585_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$auto$rtlil.cc:1692:NotGate$123580 O=$2\UART_WR[0:0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111001011111111 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$123635$n1197 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] +.gate SB_LUT4 I0=I2C_TX_REPORT[0] I1=I2C_TX_DESC[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$61381$n865 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_TX_DESC[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$123635$n1197 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$61381$n867 I2=I2C.is_read I3=$false O=$procmux$31585_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$123635$n1199 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] +.gate SB_LUT4 I0=I2C_TX_REPORT[1] I1=I2C_TX_DESC[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$61381$n867 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_TX_DESC[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$123635$n1199 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$61381$n869 I2=I2C.is_read I3=$false O=$procmux$31585_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$123635$n1201 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] +.gate SB_LUT4 I0=I2C_TX_REPORT[2] I1=I2C_TX_DESC[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$61381$n869 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_TX_DESC[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$123635$n1201 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$61381$n871 I2=I2C.is_read I3=$false O=$procmux$31585_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$123635$n1203 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] +.gate SB_LUT4 I0=I2C_TX_REPORT[3] I1=I2C_TX_DESC[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$61381$n871 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_TX_DESC[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$123635$n1203 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$61381$n873 I2=I2C.is_read I3=$false O=$procmux$31585_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$123635$n1205 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] +.gate SB_LUT4 I0=I2C_TX_REPORT[4] I1=I2C_TX_DESC[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$61381$n873 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_TX_DESC[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$123635$n1205 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$61381$n875 I2=I2C.is_read I3=$false O=$procmux$31585_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$123635$n1207 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] +.gate SB_LUT4 I0=I2C_TX_REPORT[5] I1=I2C_TX_DESC[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$61381$n875 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_TX_DESC[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$123635$n1207 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$61381$n877 I2=I2C.is_read I3=$false O=$procmux$31585_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$123635$n1209 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] +.gate SB_LUT4 I0=I2C_TX_REPORT[6] I1=I2C_TX_DESC[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$61381$n877 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_TX_DESC[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$123635$n1209 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$61381$n879 I2=I2C.is_read I3=$false O=$procmux$31585_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$123635$n1211 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] +.gate SB_LUT4 I0=I2C_TX_REPORT[7] I1=I2C_TX_DESC[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$61381$n879 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_TX_DESC[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$123635$n1211 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$auto$rtlil.cc:1692:NotGate$61156 I2=$abc$61381$n568 I3=$false O=$2\UART_WR[0:0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][0] I2=$false I3=$false O=$2\int_tmr[19:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=int_tmr[0] I2=int_tmr[1] I3=$false O=$2\int_tmr[19:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][2] I2=$false I3=$false O=$2\int_tmr[19:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][3] I2=$false I3=$false O=$2\int_tmr[19:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][4] I2=$false I3=$false O=$2\int_tmr[19:0][4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][5] I2=$false I3=$false O=$2\int_tmr[19:0][5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][6] I2=$false I3=$false O=$2\int_tmr[19:0][6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][7] I2=$false I3=$false O=$2\int_tmr[19:0][7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][8] I2=$false I3=$false O=$2\int_tmr[19:0][8] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][9] I2=$false I3=$false O=$2\int_tmr[19:0][9] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][10] I2=$false I3=$false O=$2\int_tmr[19:0][10] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][11] I2=$false I3=$false O=$2\int_tmr[19:0][11] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][12] I2=$false I3=$false O=$2\int_tmr[19:0][12] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][13] I2=$false I3=$false O=$2\int_tmr[19:0][13] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][14] I2=$false I3=$false O=$2\int_tmr[19:0][14] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][15] I2=$false I3=$false O=$2\int_tmr[19:0][15] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][16] I2=$false I3=$false O=$2\int_tmr[19:0][16] +.param LUT_INIT 11111011 +.gate SB_LUT4 I0=$abc$61381$n882 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][17] I2=$false I3=$false O=$2\int_tmr[19:0][17] +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$61381$n624 I1=$abc$61381$n883 I2=$abc$61381$n636 I3=$abc$61381$n884 O=$abc$61381$n882 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011111100001000 +.gate SB_LUT4 I0=$abc$61381$n858_1 I1=$abc$61381$n862 I2=$false I3=$false O=$abc$61381$n883 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][18] I2=$false I3=$false O=$2\int_tmr[19:0][18] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$61381$n611_1 I1=$abc$61381$n625 I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$61381$n884 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$61381$n886 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$add$top.v:271$182_Y[19] I1=int_tmr[19] I2=$abc$123635$n800 I3=$false O=$2\int_tmr[19:0][19] +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$61381$n636 I1=$abc$61381$n624 I2=$abc$61381$n883 I3=$abc$61381$n887 O=$abc$61381$n886 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011111100100000 +.gate SB_LUT4 I0=$abc$61381$n611_1 I1=$abc$61381$n625 I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$61381$n887 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$techmap\I2C.$procmux$31037_Y[2] +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$61381$n889 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1242 I1=$abc$123635$n1243 I2=$abc$123635$n1235 I3=$false O=$techmap\I2C.$procmux$31862_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110010 -.gate SB_LUT4 I0=$abc$123635$n1241 I1=$abc$123635$n1240 I2=$abc$123635$n844 I3=$abc$123635$n1236 O=$abc$123635$n1235 +.gate SB_LUT4 I0=$abc$61381$n624 I1=$abc$61381$n636 I2=$abc$61381$n883 I3=$abc$61381$n890 O=$abc$61381$n889 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010101000 -.gate SB_LUT4 I0=$abc$123635$n1239 I1=$abc$123635$n1237 I2=$abc$123635$n843 I3=$auto$alumacc.cc:484:replace_alu$76209[3] O=$abc$123635$n1236 +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=$abc$61381$n611_1 I1=$abc$61381$n625 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$61381$n890 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$61381$n882 I1=$abc$61381$n886 I2=$abc$61381$n889 I3=$2\INT[0:0] O=$2\I2C_OUT_DESC_MASK[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011000001010000 -.gate SB_LUT4 I0=$abc$123635$n1238 I1=$abc$123635$n845 I2=$false I3=$false O=$abc$123635$n1237 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.is_ack I2=$false I3=$false O=$abc$123635$n1238 +.param LUT_INIT 0000011000000000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$123635$n1239 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.i2c_bit_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36644[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1238 I1=$abc$123635$n1239 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n843 O=$abc$123635$n1240 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.wr O=$abc$123635$n1241 +.gate SB_LUT4 I0=$abc$61381$n902 I1=$abc$61381$n895 I2=$abc$61381$n905 I3=$abc$61381$n646_1 O=$techmap\I2C.$procmux$20172_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101011100000000 -.gate SB_LUT4 I0=$abc$123635$n1237 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=$false I3=$false O=$abc$123635$n1242 +.param LUT_INIT 0101010001010101 +.gate SB_LUT4 I0=$abc$61381$n896 I1=$abc$61381$n900 I2=$false I3=$false O=$abc$61381$n895 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76292[7] I1=$abc$123635$n1244 I2=$abc$123635$n844 I3=$abc$123635$n843 O=$abc$123635$n1243 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$61381$n897 I1=$abc$61381$n899 I2=I2C.FLT_SDA.out I3=$false O=$abc$61381$n896 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$abc$61381$n898 I1=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I2=I2C.SCL_LAST I3=I2C.FLT_SCL.out O=$abc$61381$n897 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111110011111010 -.gate SB_LUT4 I0=$abc$123635$n1245 I1=I2C.FLT_SDA.out I2=$false I3=$false O=$abc$123635$n1244 +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.is_ack I2=$false I3=$false O=$abc$61381$n898 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.SDA_DIR O=$abc$123635$n1245 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.SDA_DIR O=$abc$61381$n899 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101011100000000 -.gate SB_LUT4 I0=I2C.is_ack I1=$abc$123635$n1247 I2=$abc$123635$n844 I3=$false O=$techmap\I2C.$procmux$31816_Y +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I1=$abc$61381$n901 I2=$abc$61381$n644 I3=$false O=$abc$61381$n900 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$123635$n1255 I1=$abc$123635$n1248 I2=$abc$123635$n843 I3=$false O=$abc$123635$n1247 +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$61381$n901 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$61381$n646_1 I1=$abc$61381$n903 I2=$abc$61381$n904 I3=$false O=$abc$61381$n902 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1249 I1=$abc$123635$n1239 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n1238 O=$abc$123635$n1248 +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$36709[7] I1=$abc$61381$n644 I2=$abc$61381$n897 I3=$false O=$abc$61381$n903 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.wr O=$abc$61381$n904 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101011100000000 +.gate SB_LUT4 I0=$abc$61381$n898 I1=$abc$61381$n901 I2=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I3=$abc$61381$n644 O=$abc$61381$n905 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100000010111111 -.gate SB_LUT4 I0=$abc$123635$n1250 I1=$abc$123635$n1253 I2=$false I3=$false O=$abc$123635$n1249 +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=I2C.is_ack I1=$abc$61381$n907 I2=$abc$61381$n646_1 I3=$false O=$techmap\I2C.$procmux$20126_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$61381$n918 I1=$abc$61381$n917 I2=$abc$61381$n908 I3=$abc$61381$n644 O=$abc$61381$n907 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101000000011 +.gate SB_LUT4 I0=$abc$61381$n909 I1=$abc$61381$n915 I2=$false I3=$false O=$abc$61381$n908 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1251 I1=$abc$123635$n1252 I2=$false I3=$false O=$abc$123635$n1250 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$61381$n910 I1=$abc$61381$n913 I2=$false I3=$false O=$abc$61381$n909 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76297[0] I1=$auto$alumacc.cc:483:replace_alu$76296[1] I2=$auto$alumacc.cc:483:replace_alu$76296[2] I3=$auto$alumacc.cc:483:replace_alu$76296[3] O=$abc$123635$n1251 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$61381$n911 I1=$abc$61381$n912 I2=$false I3=$false O=$abc$61381$n910 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$36714[0] I1=$auto$alumacc.cc:483:replace_alu$36713[1] I2=$auto$alumacc.cc:483:replace_alu$36713[2] I3=$auto$alumacc.cc:483:replace_alu$36713[3] O=$abc$61381$n911 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[4] I1=$auto$alumacc.cc:483:replace_alu$76296[5] I2=$auto$alumacc.cc:483:replace_alu$76296[6] I3=$auto$alumacc.cc:483:replace_alu$76296[7] O=$abc$123635$n1252 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[4] I1=$auto$alumacc.cc:483:replace_alu$36713[5] I2=$auto$alumacc.cc:483:replace_alu$36713[6] I3=$auto$alumacc.cc:483:replace_alu$36713[7] O=$abc$61381$n912 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n1254 I1=I2C.received_byte[2] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$123635$n1253 +.gate SB_LUT4 I0=$abc$61381$n914_1 I1=I2C.received_byte[2] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$61381$n913 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=I2C.received_byte[1] O=$abc$123635$n1254 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=I2C.received_byte[1] O=$abc$61381$n914_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000100000 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76209[3] I1=$abc$123635$n1238 I2=$abc$123635$n1239 I3=$false O=$abc$123635$n1255 +.gate SB_LUT4 I0=$abc$61381$n916 I1=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I2=$false I3=$false O=$abc$61381$n915 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$61381$n898 I1=$abc$61381$n901 I2=$false I3=$false O=$abc$61381$n916 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I1=$abc$61381$n901 I2=$abc$61381$n898 I3=$false O=$abc$61381$n917 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I1=$abc$61381$n898 I2=$abc$61381$n901 I3=$false O=$abc$61381$n918 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76297[0] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[0] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[0] +.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$36714[0] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[0] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1242 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$abc$123635$n1257 +.gate SB_LUT4 I0=$abc$61381$n897 I1=$abc$61381$n915 I2=$abc$61381$n644 I3=$abc$61381$n646_1 O=$abc$61381$n920 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011001010 -.gate SB_LUT4 I0=$abc$123635$n1259 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=$false I3=$false O=$abc$123635$n1258 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1238 I1=$abc$123635$n1239 I2=$false I3=$false O=$abc$123635$n1259 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n1261 I1=$abc$123635$n1262 I2=$auto$alumacc.cc:483:replace_alu$76296[4] I3=I2C.byte_counter[5] O=$abc$123635$n1260 +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$61381$n922 I1=$auto$alumacc.cc:483:replace_alu$36713[2] I2=$abc$61381$n606 I3=I2C.byte_counter[3] O=$abc$61381$n921 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[7] I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$123635$n1261 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[2] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$123635$n1262 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[4] I1=$auto$alumacc.cc:483:replace_alu$36713[7] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$61381$n922 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n1257 I1=$abc$123635$n1260 I2=$auto$alumacc.cc:484:replace_alu$76297[0] I3=$auto$alumacc.cc:483:replace_alu$76296[1] O=$techmap\I2C.$procmux$31770_Y[1] +.gate SB_LUT4 I0=$abc$61381$n920 I1=$abc$61381$n921 I2=$auto$alumacc.cc:484:replace_alu$36714[0] I3=$auto$alumacc.cc:483:replace_alu$36713[1] O=$techmap\I2C.$procmux$20080_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101111110101000 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[2] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[2] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[2] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[2] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[2] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[3] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[3] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[3] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[3] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[3] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[4] I1=$abc$123635$n1268 I2=$abc$123635$n844 I3=$abc$123635$n1267 O=$techmap\I2C.$procmux$31770_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110100010 -.gate SB_LUT4 I0=$techmap\I2C.$add$i2c_slave.v:157$270_Y[4] I1=$abc$123635$n1260 I2=$abc$123635$n1257 I3=$false O=$abc$123635$n1267 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1242 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$abc$123635$n1268 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111111001010 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[5] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[5] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[5] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[4] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[4] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$abc$123635$n1271 I1=$abc$123635$n1257 I2=$auto$alumacc.cc:483:replace_alu$76296[6] I3=$false O=$techmap\I2C.$procmux$31770_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10111010 -.gate SB_LUT4 I0=$techmap\I2C.$add$i2c_slave.v:157$270_Y[6] I1=$abc$123635$n1260 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n1272 O=$abc$123635$n1271 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[5] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[5] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011100000 -.gate SB_LUT4 I0=$abc$123635$n1259 I1=$abc$123635$n1237 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$abc$123635$n1272 +.param LUT_INIT 1111110010101010 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[6] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[6] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111100110101 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[7] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[7] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[7] +.param LUT_INIT 1111110010101010 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[7] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[7] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$abc$123635$n1276 I1=$abc$123635$n1275 I2=$auto$alumacc.cc:483:replace_alu$76299[0] I3=$abc$123635$n844 O=$techmap\I2C.$procmux$31678_Y[0] +.gate SB_LUT4 I0=$abc$61381$n933 I1=$abc$61381$n931_1 I2=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] I3=$abc$61381$n646_1 O=$techmap\I2C.$procmux$19988_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010111010 -.gate SB_LUT4 I0=$abc$123635$n845 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=$abc$123635$n1240 I3=$abc$123635$n1236 O=$abc$123635$n1275 +.param LUT_INIT 1011101011110000 +.gate SB_LUT4 I0=$abc$61381$n900 I1=$abc$61381$n897 I2=$abc$61381$n932 I3=$false O=$abc$61381$n931_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I1=I2C.SCL_LAST I2=I2C.FLT_SCL.out I3=$abc$61381$n905 O=$abc$61381$n932 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000101111 -.gate SB_LUT4 I0=$abc$123635$n1277 I1=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I2=$false I3=$false O=$abc$123635$n1276 +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$61381$n934 I1=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I2=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I3=$false O=$abc$61381$n933 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000100 +.gate SB_LUT4 I0=$abc$61381$n644 I1=I2C.SCL_LAST I2=I2C.FLT_SCL.out I3=$false O=$abc$61381$n934 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100111 +.gate SB_LUT4 I0=$abc$61381$n937 I1=$abc$61381$n936 I2=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1] I3=$false O=$techmap\I2C.$procmux$19988_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111010 +.gate SB_LUT4 I0=$abc$61381$n931_1 I1=$abc$61381$n646_1 I2=$false I3=$false O=$abc$61381$n936 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n843 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=I2C.SCL_LAST I3=I2C.FLT_SCL.out O=$abc$123635$n1277 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100100000 -.gate SB_LUT4 I0=$abc$123635$n1280 I1=$abc$123635$n838 I2=$abc$123635$n1279 I3=$techmap\I2C.$procmux$31037_Y[1] O=$techmap\I2C.$procmux$31678_Y[1] +.gate SB_LUT4 I0=$abc$61381$n648 I1=$abc$61381$n934 I2=$abc$61381$n646_1 I3=$auto$simplemap.cc:250:simplemap_eqne$52942[1] O=$abc$61381$n937 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010111100100010 -.gate SB_LUT4 I0=$abc$123635$n1275 I1=$abc$123635$n844 I2=$false I3=$false O=$abc$123635$n1279 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1277 I1=$abc$123635$n844 I2=$false I3=$false O=$abc$123635$n1280 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1280 I1=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I2=$techmap\I2C.$procmux$31037_Y[2] I3=$abc$123635$n1279 O=$techmap\I2C.$procmux$31678_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000100011111000 -.gate SB_LUT4 I0=$abc$123635$n1280 I1=$auto$simplemap.cc:250:simplemap_eqne$79726[3] I2=$auto$alumacc.cc:483:replace_alu$76208[3] I3=$abc$123635$n1279 O=$techmap\I2C.$procmux$31678_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101110100001101 -.gate SB_LUT4 I0=$abc$123635$n1284 I1=$abc$123635$n843 I2=$false I3=$false O=$techmap\I2C.$procmux$31632_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n1250 I1=$abc$123635$n1258 I2=$abc$123635$n844 I3=I2C.received_byte[0] O=$abc$123635$n1284 +.param LUT_INIT 0000000000010000 +.gate SB_LUT4 I0=$abc$61381$n939 I1=$abc$61381$n936 I2=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2] I3=$false O=$techmap\I2C.$procmux$19988_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111010 +.gate SB_LUT4 I0=$abc$61381$n934 I1=$abc$61381$n646_1 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$auto$simplemap.cc:250:simplemap_eqne$52942[1] O=$abc$61381$n939 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=$abc$123635$n1286 I1=$abc$123635$n1249 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$techmap\I2C.$procmux$31586_Y +.param LUT_INIT 0000000001000000 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36644[3] I1=$abc$61381$n936 I2=$abc$61381$n941 I3=$false O=$techmap\I2C.$procmux$19988_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$61381$n934 I1=$abc$61381$n646_1 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[3] I3=$auto$simplemap.cc:250:simplemap_eqne$52942[1] O=$abc$61381$n941 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001010111 -.gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1242 I2=$abc$123635$n1244 I3=$abc$123635$n843 O=$abc$123635$n1286 +.param LUT_INIT 0000000000000100 +.gate SB_LUT4 I0=$abc$61381$n644 I1=$abc$61381$n910 I2=$abc$61381$n943 I3=$false O=$techmap\I2C.$procmux$19942_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111010 +.gate SB_LUT4 I0=$abc$61381$n915 I1=$abc$61381$n646_1 I2=I2C.received_byte[0] I3=$false O=$abc$61381$n943 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$61381$n945 I1=$abc$61381$n909 I2=$abc$61381$n644 I3=$abc$61381$n646_1 O=$techmap\I2C.$procmux$19896_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100000010101010 -.gate SB_LUT4 I0=$abc$123635$n1245 I1=$abc$123635$n1288 I2=$abc$123635$n844 I3=$false O=$techmap\I2C.$procmux$31540_Y +.param LUT_INIT 0101011100000000 +.gate SB_LUT4 I0=$abc$61381$n915 I1=$abc$61381$n896 I2=$abc$61381$n644 I3=$false O=$abc$61381$n945 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$61381$n899 I1=I2C.i2c_state_machine I2=$abc$61381$n947 I3=$false O=$techmap\I2C.$procmux$19850_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$123635$n1296 I1=$abc$123635$n1289 I2=$abc$123635$n1298 I3=$abc$123635$n843 O=$abc$123635$n1288 +.param LUT_INIT 11110010 +.gate SB_LUT4 I0=$abc$61381$n949 I1=$abc$61381$n948 I2=$abc$61381$n644 I3=$abc$61381$n646_1 O=$abc$61381$n947 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001110101010 -.gate SB_LUT4 I0=$abc$123635$n1295 I1=$abc$123635$n1294 I2=$abc$123635$n1290 I3=$auto$simplemap.cc:250:simplemap_eqne$79429[2] O=$abc$123635$n1289 +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$61381$n901 I1=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I2=$abc$61381$n899 I3=$abc$61381$n908 O=$abc$61381$n948 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010000011000000 -.gate SB_LUT4 I0=$abc$123635$n1291 I1=$abc$123635$n1292 I2=$abc$123635$n1239 I3=$auto$alumacc.cc:484:replace_alu$76209[3] O=$abc$123635$n1290 +.param LUT_INIT 0000000010001111 +.gate SB_LUT4 I0=$abc$61381$n916 I1=$abc$61381$n899 I2=$abc$61381$n950 I3=$auto$simplemap.cc:250:simplemap_eqne$52942[1] O=$abc$61381$n949 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000010000 -.gate SB_LUT4 I0=$abc$123635$n1205 I1=$abc$123635$n1197 I2=$abc$123635$n837 I3=$auto$simplemap.cc:250:simplemap_eqne$79429[2] O=$abc$123635$n1291 +.param LUT_INIT 1011101111110000 +.gate SB_LUT4 I0=$abc$61381$n899 I1=$abc$61381$n954 I2=$abc$61381$n951 I3=$abc$61381$n901 O=$abc$61381$n950 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010000011000000 -.gate SB_LUT4 I0=$abc$123635$n838 I1=$abc$123635$n1293 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$abc$123635$n1292 +.param LUT_INIT 1111110001010101 +.gate SB_LUT4 I0=$abc$61381$n953 I1=$abc$61381$n952 I2=$abc$61381$n648 I3=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] O=$abc$61381$n951 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000110101 +.gate SB_LUT4 I0=$abc$61381$n873 I1=$abc$61381$n865 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n952 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$123635$n1209 I1=$abc$123635$n1201 I2=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I3=$false O=$abc$123635$n1293 +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$61381$n877 I1=$abc$61381$n869 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n953 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$123635$n1203 I1=$abc$123635$n1199 I2=$abc$123635$n838 I3=$auto$simplemap.cc:250:simplemap_eqne$79651[0] O=$abc$123635$n1294 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$123635$n1211 I1=$abc$123635$n1207 I2=$abc$123635$n838 I3=$auto$simplemap.cc:250:simplemap_eqne$79651[0] O=$abc$123635$n1295 +.gate SB_LUT4 I0=$abc$61381$n956_1 I1=$abc$61381$n955 I2=$abc$61381$n648 I3=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] O=$abc$61381$n954 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1249 I2=$abc$123635$n1297 I3=$false O=$abc$123635$n1296 +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$61381$n879 I1=$abc$61381$n871 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n955 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76209[3] I1=$abc$123635$n1239 I2=$abc$123635$n1245 I3=$false O=$abc$123635$n1297 +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$61381$n875 I1=$abc$61381$n867 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n956_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n1239 I1=$abc$123635$n1238 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n1245 O=$abc$123635$n1298 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101010100000000 -.gate SB_LUT4 I0=$abc$123635$n1305 I1=$abc$123635$n1300 I2=$auto$alumacc.cc:484:replace_alu$76171[3] I3=$techmap\UART.$sub$uart.v:40$588_Y[0] O=$techmap\UART.$procmux$965_Y +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$61381$n963 I1=$abc$61381$n958 I2=$auto$alumacc.cc:484:replace_alu$36624[3] I3=$auto$wreduce.cc:310:run$36605[0] O=$techmap\UART.$procmux$892_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110011111010 -.gate SB_LUT4 I0=$abc$123635$n1304 I1=$abc$123635$n1303 I2=$abc$123635$n1301 I3=$false O=$abc$123635$n1300 +.gate SB_LUT4 I0=$abc$61381$n962 I1=$abc$61381$n961 I2=$abc$61381$n959 I3=$false O=$abc$61381$n958 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76170[1] I1=$auto$simplemap.cc:309:simplemap_lut$87755[7] I2=$false I3=$false O=$abc$123635$n1301 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] I1=$auto$alumacc.cc:470:replace_alu$36760.B_buf[0] I2=$false I3=$false O=$abc$61381$n959 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[1] +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[1] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1303 +.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[1] I2=$auto$wreduce.cc:310:run$36605[2] I3=$false O=$abc$61381$n961 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[3] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1304 +.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[3] I2=$auto$wreduce.cc:310:run$36605[2] I3=$false O=$abc$61381$n962 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$123635$n1307 I1=$abc$123635$n1306 I2=$abc$123635$n1301 I3=$false O=$abc$123635$n1305 +.gate SB_LUT4 I0=$abc$61381$n965 I1=$abc$61381$n964_1 I2=$abc$61381$n959 I3=$false O=$abc$61381$n963 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[0] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1306 +.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[0] I2=$auto$wreduce.cc:310:run$36605[2] I3=$false O=$abc$61381$n964_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[2] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1307 +.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[2] I2=$auto$wreduce.cc:310:run$36605[2] I3=$false O=$abc$61381$n965 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=$abc$123635$n1309 I3=UART.tx_activity O=$techmap\UART.$procmux$826_Y +.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=$abc$61381$n967 I3=UART.tx_activity O=$techmap\UART.$procmux$753_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111100100010 -.gate SB_LUT4 I0=$abc$123635$n861 I1=$abc$123635$n863 I2=UART.tx_bit_counter[2] I3=UART.tx_bit_counter[3] O=$abc$123635$n1309 +.gate SB_LUT4 I0=$abc$61381$n663 I1=$abc$61381$n665 I2=UART.tx_bit_counter[2] I3=UART.tx_bit_counter[3] O=$abc$61381$n967 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n861 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:32$583_Y[0] I3=$false O=$techmap\UART.$procmux$812_Y[0] +.gate SB_LUT4 I0=$abc$61381$n663 I1=UART.tx_activity I2=$auto$wreduce.cc:310:run$36604[0] I3=$false O=$techmap\UART.$procmux$739_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11111011 -.gate SB_LUT4 I0=UART.tx_activity I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$techmap\UART.$procmux$812_Y[1] +.gate SB_LUT4 I0=UART.tx_activity I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$techmap\UART.$procmux$739_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000010 -.gate SB_LUT4 I0=$abc$123635$n861 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:32$583_Y[2] I3=$false O=$techmap\UART.$procmux$812_Y[2] +.gate SB_LUT4 I0=$abc$61381$n663 I1=UART.tx_activity I2=$auto$wreduce.cc:310:run$36604[2] I3=$false O=$techmap\UART.$procmux$739_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11111011 -.gate SB_LUT4 I0=$abc$123635$n861 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:32$583_Y[3] I3=$false O=$techmap\UART.$procmux$812_Y[3] +.gate SB_LUT4 I0=$abc$61381$n663 I1=UART.tx_activity I2=$auto$wreduce.cc:310:run$36604[3] I3=$false O=$techmap\UART.$procmux$739_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11111011 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n881 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[0] +.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n557 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1324 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[1] +.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n974 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1324 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n974 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1326 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[2] +.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n976 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1326 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n976 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000100 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1328_1 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[3] +.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n978 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1328_1 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n978 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1330 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[4] +.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n980_1 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1330 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n980_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1332 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[5] +.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n982 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1332 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n982 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 -.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1334 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[6] +.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n984 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1334 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n984 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 -.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n878 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[7] +.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n672 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=$abc$123635$n1337_1 I1=$abc$123635$n879 I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$25493_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n883 I2=$abc$123635$n889 I3=$abc$123635$n905 O=$abc$123635$n1337_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$auto$wreduce.cc:310:run$76146[0] I2=$auto$rtlil.cc:1692:NotGate$123596 I3=$false O=$auto$simplemap.cc:309:simplemap_lut$88852[0] +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$rtlil.cc:1692:NotGate$61364 I3=$false O=$auto$simplemap.cc:309:simplemap_lut$46578[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123596 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$auto$wreduce.cc:310:run$76140[4] +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61364 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$auto$wreduce.cc:310:run$36592[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$auto$wreduce.cc:310:run$76146[2] I2=$auto$rtlil.cc:1692:NotGate$123596 I3=$false O=$auto$wreduce.cc:310:run$76140[5] +.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$auto$wreduce.cc:310:run$36598[2] I2=$auto$rtlil.cc:1692:NotGate$61364 I3=$false O=$auto$wreduce.cc:310:run$36592[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$auto$wreduce.cc:310:run$76146[3] I2=$auto$rtlil.cc:1692:NotGate$123596 I3=$false O=$auto$wreduce.cc:310:run$76140[6] +.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$auto$wreduce.cc:310:run$36598[3] I2=$auto$rtlil.cc:1692:NotGate$61364 I3=$false O=$auto$wreduce.cc:310:run$36592[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[0] I1=$abc$123635$n711 I2=$abc$123635$n708 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[0] +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[0] I1=$abc$61381$n549 I2=$abc$61381$n546 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$123635$n702 I2=$abc$123635$n697 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[1] +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$61381$n540 I2=$abc$61381$n535 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=$abc$123635$n707 I2=$abc$123635$n703 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[2] +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=$abc$61381$n545 I2=$abc$61381$n541 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$123635$n699 I2=$abc$123635$n692 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[3] +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$61381$n537 I2=$abc$61381$n530 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$123635$n695 I2=$abc$123635$n688 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[4] +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$61381$n533 I2=$abc$61381$n526 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$123635$n687 I2=$abc$123635$n677 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[5] +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$61381$n525 I2=$abc$61381$n515 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$123635$n1349 I2=$abc$123635$n673 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[6] +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$61381$n997 I2=$abc$61381$n511 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n1349 +.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$61381$n507 I3=$false O=$abc$61381$n997 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$123635$n1351 I2=$abc$123635$n661 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[7] +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$61381$n999 I2=$abc$61381$n499 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n1351 +.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$61381$n507 I3=$false O=$abc$61381$n999 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n1362 I1=$abc$123635$n1360 I2=$abc$123635$n1353 I3=$abc$123635$n1364 O=$techmap\KEYBOARD.$procmux$15309_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110100010 -.gate SB_LUT4 I0=$abc$123635$n697 I1=$techmap\KEYBOARD.$add$matrix_kbd.v:143$436_Y[0] I2=$abc$123635$n1359 I3=$abc$123635$n1354 O=$abc$123635$n1353 +.gate SB_LUT4 I0=$abc$61381$n546 I1=$abc$61381$n1013 I2=$abc$61381$n1001 I3=$abc$61381$n1012 O=$techmap\KEYBOARD.$procmux$8366_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011110100 -.gate SB_LUT4 I0=$abc$123635$n688 I1=$auto$wreduce.cc:310:run$76141[0] I2=$abc$123635$n1355 I3=$abc$123635$n1358 O=$abc$123635$n1354 +.param LUT_INIT 1111111101010001 +.gate SB_LUT4 I0=$abc$61381$n1010 I1=$abc$61381$n1008 I2=$abc$61381$n1002 I3=$abc$61381$n541 O=$abc$61381$n1001 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010111100000000 -.gate SB_LUT4 I0=$abc$123635$n677 I1=$abc$123635$n1357 I2=$auto$wreduce.cc:310:run$76142[0] I3=$abc$123635$n1356 O=$abc$123635$n1355 +.param LUT_INIT 0000000001011101 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36594[0] I1=$abc$61381$n526 I2=$abc$61381$n1003 I3=$abc$61381$n1006 O=$abc$61381$n1002 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011111101 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76143[0] I1=$auto$wreduce.cc:310:run$76144[0] I2=$abc$123635$n660 I3=$abc$123635$n673 O=$abc$123635$n1356 +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$61381$n1004_1 I1=$abc$61381$n1005_1 I2=$abc$61381$n526 I3=$false O=$abc$61381$n1003 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36596[0] I1=$auto$wreduce.cc:310:run$36597[0] I2=$abc$61381$n498_1 I3=$abc$61381$n511 O=$abc$61381$n1004_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000010100000011 -.gate SB_LUT4 I0=$abc$123635$n687 I1=KEYBOARD.COLS_SHADOW[5] I2=$false I3=$false O=$abc$123635$n1357 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n688 I1=$abc$123635$n695 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$123635$n692 O=$abc$123635$n1358 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=$abc$123635$n692 I1=$abc$123635$n699 I2=KEYBOARD.COLS_SHADOW[3] I3=$abc$123635$n697 O=$abc$123635$n1359 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011010111 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$add$matrix_kbd.v:143$412_Y[0] I1=$abc$123635$n697 I2=$abc$123635$n703 I3=$abc$123635$n1361 O=$abc$123635$n1360 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n697 I1=$abc$123635$n702 I2=KEYBOARD.COLS_SHADOW[1] I3=$false O=$abc$123635$n1361 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000010 -.gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n703 I2=$abc$123635$n1363 I3=$auto$wreduce.cc:310:run$76140[0] O=$abc$123635$n1362 +.gate SB_LUT4 I0=$abc$61381$n515 I1=$abc$61381$n525 I2=$auto$wreduce.cc:310:run$36595[0] I3=KEYBOARD.COLS_SHADOW[5] O=$abc$61381$n1005_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010101010001 -.gate SB_LUT4 I0=$abc$123635$n707 I1=KEYBOARD.COLS_SHADOW[2] I2=$false I3=$false O=$abc$123635$n1363 +.param LUT_INIT 0000001000001000 +.gate SB_LUT4 I0=$abc$61381$n530 I1=$abc$61381$n1007 I2=$false I3=$false O=$abc$61381$n1006 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n711 I2=KEYBOARD.COLS_SHADOW[0] I3=$false O=$abc$123635$n1364 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$61381$n526 I1=$abc$61381$n533 I2=KEYBOARD.COLS_SHADOW[4] I3=$false O=$abc$61381$n1007 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000010 -.gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n1361 I2=$abc$123635$n1366 I3=$abc$123635$n1364 O=$techmap\KEYBOARD.$procmux$15309_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101010100 -.gate SB_LUT4 I0=$abc$123635$n1367 I1=$abc$123635$n697 I2=$false I3=$false O=$abc$123635$n1366 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n688 I1=$abc$123635$n695 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$123635$n1368 O=$abc$123635$n1367 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=$abc$123635$n1357 I1=$abc$123635$n677 I2=$abc$123635$n688 I3=$false O=$abc$123635$n1368 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36593[0] I1=$abc$61381$n1009 I2=$abc$61381$n535 I3=$false O=$abc$61381$n1008 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n1371 I2=$abc$123635$n1370 I3=$abc$123635$n1364 O=$techmap\KEYBOARD.$procmux$15309_Y[2] +.gate SB_LUT4 I0=$abc$61381$n530 I1=$abc$61381$n537 I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$61381$n1009 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00101000 +.gate SB_LUT4 I0=$techmap\KEYBOARD.$add$matrix_kbd.v:240$336_Y[0] I1=$abc$61381$n535 I2=$abc$61381$n1011 I3=$false O=$abc$61381$n1010 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$61381$n535 I1=$abc$61381$n540 I2=KEYBOARD.COLS_SHADOW[1] I3=$false O=$abc$61381$n1011 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000010 +.gate SB_LUT4 I0=$abc$61381$n546 I1=$abc$61381$n549 I2=KEYBOARD.COLS_SHADOW[0] I3=$false O=$abc$61381$n1012 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000010 +.gate SB_LUT4 I0=$abc$61381$n541 I1=$abc$61381$n545 I2=$auto$wreduce.cc:310:run$36592[0] I3=KEYBOARD.COLS_SHADOW[2] O=$abc$61381$n1013 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101011101011101 +.gate SB_LUT4 I0=$abc$61381$n546 I1=$abc$61381$n1011 I2=$abc$61381$n1015_1 I3=$abc$61381$n1012 O=$techmap\KEYBOARD.$procmux$8366_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111101010100 -.gate SB_LUT4 I0=$abc$123635$n1361 I1=$abc$123635$n1359 I2=$abc$123635$n703 I3=$false O=$abc$123635$n1370 +.gate SB_LUT4 I0=$abc$61381$n1007 I1=$abc$61381$n1016_1 I2=$abc$61381$n535 I3=$false O=$abc$61381$n1015_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$123635$n1363 I1=$abc$123635$n703 I2=$false I3=$false O=$abc$123635$n1371 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$simplemap.cc:309:simplemap_lut$88852[0] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[3] +.gate SB_LUT4 I0=$abc$61381$n515 I1=$abc$61381$n525 I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$61381$n526 O=$abc$61381$n1016_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011010111 +.gate SB_LUT4 I0=$abc$61381$n1012 I1=$abc$61381$n546 I2=$abc$61381$n1018 I3=$abc$61381$n1019 O=$techmap\KEYBOARD.$procmux$8366_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$61381$n1011 I1=$abc$61381$n1009 I2=$abc$61381$n535 I3=$abc$61381$n541 O=$abc$61381$n1018 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010101011 +.gate SB_LUT4 I0=$abc$61381$n541 I1=$abc$61381$n545 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$61381$n1012 O=$abc$61381$n1019 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111101 +.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$8366_Y[7] I1=$auto$simplemap.cc:309:simplemap_lut$46578[3] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$8366_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n1368 I1=$abc$123635$n660 I2=$abc$123635$n1374_1 I3=$techmap\KEYBOARD.$procmux$15309_Y[2] O=$techmap\KEYBOARD.$procmux$15309_Y[7] +.gate SB_LUT4 I0=$abc$61381$n1016_1 I1=$abc$61381$n498_1 I2=$abc$61381$n1022 I3=$techmap\KEYBOARD.$procmux$8366_Y[2] O=$techmap\KEYBOARD.$procmux$8366_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$123635$n1358 I1=$abc$123635$n1361 I2=$abc$123635$n1371 I3=$abc$123635$n1364 O=$abc$123635$n1374_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$wreduce.cc:310:run$76140[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[4] +.gate SB_LUT4 I0=$abc$61381$n1006 I1=$abc$61381$n1011 I2=$abc$61381$n1019 I3=$false O=$abc$61381$n1022 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$8366_Y[7] I1=$auto$wreduce.cc:310:run$36592[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$8366_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$wreduce.cc:310:run$76140[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[5] +.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$8366_Y[7] I1=$auto$wreduce.cc:310:run$36592[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$8366_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$wreduce.cc:310:run$76140[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[6] +.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$8366_Y[7] I1=$auto$wreduce.cc:310:run$36592[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$8366_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n952 I1=$abc$123635$n871 I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$13598_Y +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$auto$wreduce.cc:310:run$36598[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=$auto$wreduce.cc:310:run$76146[0] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$techmap\KEYBOARD.$procmux$10950_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$techmap\KEYBOARD.$procmux$10950_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=$auto$wreduce.cc:310:run$76146[2] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$techmap\KEYBOARD.$procmux$10950_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[3] I1=$auto$wreduce.cc:310:run$76146[3] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$techmap\KEYBOARD.$procmux$10950_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$auto$wreduce.cc:310:run$76139[0] O=$techmap\I2C_HID_DESC.$procmux$659_Y[0] +.param LUT_INIT 0110 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[0] O=$techmap\I2C_HID_DESC.$procmux$586_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100100000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$techmap\I2C_HID_DESC.$procmux$659_Y[5] +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$techmap\I2C_HID_DESC.$procmux$586_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001011 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$techmap\I2C_HID_DESC.$procmux$659_Y[6] +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$techmap\I2C_HID_DESC.$procmux$586_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111110110000 -.gate SB_LUT4 I0=I2C.byte_counter[7] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$auto$wreduce.cc:310:run$76139[7] O=$techmap\I2C_HID_DESC.$procmux$659_Y[7] +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[7] O=$techmap\I2C_HID_DESC.$procmux$586_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100100000 -.gate SB_LUT4 I0=$abc$123635$n959 I1=$auto$wreduce.cc:310:run$76138[2] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$1066_Y[2] +.gate SB_LUT4 I0=$abc$61381$n748 I1=$auto$wreduce.cc:310:run$36591[0] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$993_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n965 I1=$auto$wreduce.cc:310:run$76137[2] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$1066_Y[2] +.gate SB_LUT4 I0=$abc$61381$n754 I1=$auto$wreduce.cc:310:run$36590[2] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$993_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$false I3=$false O=$auto$wreduce.cc:310:run$76145[1] +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$auto$simplemap.cc:309:simplemap_lut$46966 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$auto$wreduce.cc:310:run$76147[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$77153[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$auto$maccmap.cc:111:fulladd$77133[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001011111000000 -.gate SB_LUT4 I0=$abc$123635$n1041 I1=wr_cnt[2] I2=ring_wr[1] I3=ring_wr[3] O=$auto$maccmap.cc:112:fulladd$77134[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$auto$maccmap.cc:112:fulladd$77134[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110100000000000 -.gate SB_LUT4 I0=$2\ring_rd[3:0][1] I1=$2\ring_rd[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$76952[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[8] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001000001 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[9] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000010 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[10] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000010100 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[11] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000101000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[12] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[13] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001000000000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[14] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[15] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010100000000000 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$false I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$29977_CMP -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[3] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=RESET I1=$false I2=$false I3=$false O=$logic_not$top.v:68$13_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$eq$top.v:256$174_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$120653 I1=$false I2=$false I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122616 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[5] +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[8] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[9] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000100 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[10] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[11] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[12] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[13] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[14] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[15] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$eq$top.v:225$129_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[4] +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$false I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$55251[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[2] +.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[3] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$61332 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[0] +.gate SB_LUT4 I0=RESET I1=$false I2=$false I3=$false O=$logic_not$top.v:60$10_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76231[3] +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76231[1] +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76231[0] +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[7] +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[6] +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[5] +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[4] +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[3] +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36662[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[2] +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36662[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[1] +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36662[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$sub$top.v:88$26_Y[1] +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76208[3] I1=$false I2=$false I3=$false O=$techmap\I2C.$procmux$31037_Y[3] +.gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$simplemap.cc:309:simplemap_lut$87755[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[0] +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76170[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76169.B_buf[1] +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$auto$simplemap.cc:309:simplemap_lut$47343[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76299[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[0] +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[1] +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[2] +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$auto$simplemap.cc:309:simplemap_lut$45894[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[3] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36644[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36715.A_buf[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[4] +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36644[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[5] +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36724.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37884_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[6] +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36760.B_buf[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[7] +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36760.B_buf[0] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76230.BB[2] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$31037_Y[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[1] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$31037_Y[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[2] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[1] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[2] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[5] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[6] +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[7] +.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36661.$ternary$/usr/bin/../share/yosys/ice40/arith_map.v:42$37725_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[3] +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36760.B_buf[2] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[4] +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36760.B_buf[3] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76212.BB[3] +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36644[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$76169.B_buf[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[2] +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36644[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$76169.B_buf[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[3] +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=INT I1=$false I2=$false I3=$false O=LED1 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76170[0] CO=$auto$alumacc.cc:484:replace_alu$76171[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76171[1] CO=$auto$alumacc.cc:484:replace_alu$76171[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76171[2] CO=$auto$alumacc.cc:484:replace_alu$76171[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76175[1] CO=$auto$alumacc.cc:484:replace_alu$76176[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[2] CO=$auto$alumacc.cc:484:replace_alu$76176[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[3] CO=$auto$alumacc.cc:484:replace_alu$76176[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[4] CO=$auto$alumacc.cc:484:replace_alu$76176[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[5] CO=$auto$alumacc.cc:484:replace_alu$76176[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[6] CO=$auto$alumacc.cc:484:replace_alu$76176[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.BB[1] CO=$auto$alumacc.cc:484:replace_alu$76181[2] I0=$true I1=$auto$alumacc.cc:470:replace_alu$76241.BB[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[2] CO=$auto$alumacc.cc:484:replace_alu$76181[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[3] CO=$auto$alumacc.cc:484:replace_alu$76181[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[4] CO=$auto$alumacc.cc:484:replace_alu$76181[5] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[5] CO=$auto$alumacc.cc:484:replace_alu$76181[6] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[6] CO=$auto$alumacc.cc:484:replace_alu$76181[7] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:484:replace_alu$76186[4] I0=KEYBOARD.kbd_code_hid[4] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76186[4] CO=$auto$alumacc.cc:484:replace_alu$76186[5] I0=KEYBOARD.kbd_code_hid[5] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76186[5] CO=$auto$alumacc.cc:484:replace_alu$76186[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76186[6] CO=$auto$alumacc.cc:484:replace_alu$76186[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:484:replace_alu$76198[1] I0=KEYBOARD.kbd_code_hid[1] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[1] CO=$auto$alumacc.cc:484:replace_alu$76198[2] I0=KEYBOARD.kbd_code_hid[2] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[2] CO=$auto$alumacc.cc:484:replace_alu$76198[3] I0=KEYBOARD.kbd_code_hid[3] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[3] CO=$auto$alumacc.cc:484:replace_alu$76198[4] I0=KEYBOARD.kbd_code_hid[4] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[4] CO=$auto$alumacc.cc:484:replace_alu$76198[5] I0=KEYBOARD.kbd_code_hid[5] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[5] CO=$auto$alumacc.cc:484:replace_alu$76198[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[6] CO=$auto$alumacc.cc:484:replace_alu$76198[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76208[0] CO=$auto$alumacc.cc:484:replace_alu$76209[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76208[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76209[1] CO=$auto$alumacc.cc:484:replace_alu$76209[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76208[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76209[2] CO=$auto$alumacc.cc:484:replace_alu$76209[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76208[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76213[0] CO=$auto$alumacc.cc:484:replace_alu$76214[1] I0=$true I1=$sub$top.v:88$26_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[1] CO=$auto$alumacc.cc:484:replace_alu$76214[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[2] CO=$auto$alumacc.cc:484:replace_alu$76214[3] I0=$true I1=$auto$alumacc.cc:470:replace_alu$76212.BB[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[3] CO=$auto$alumacc.cc:484:replace_alu$76214[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[4] CO=$auto$alumacc.cc:484:replace_alu$76214[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[5] CO=$auto$alumacc.cc:484:replace_alu$76214[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[6] CO=$auto$alumacc.cc:484:replace_alu$76214[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:484:replace_alu$76225[2] I0=init_ram_cnt[2] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[2] CO=$auto$alumacc.cc:484:replace_alu$76225[3] I0=init_ram_cnt[3] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[3] CO=$auto$alumacc.cc:484:replace_alu$76225[4] I0=init_ram_cnt[4] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[4] CO=$auto$alumacc.cc:484:replace_alu$76225[5] I0=init_ram_cnt[5] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[5] CO=$auto$alumacc.cc:484:replace_alu$76225[6] I0=init_ram_cnt[6] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[6] CO=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I0=init_ram_cnt[7] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76231[0] CO=$auto$alumacc.cc:484:replace_alu$76232[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76231[1] +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=INT I1=$false I2=$false I3=$false O=LED1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_CARRY CI=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] CO=$auto$alumacc.cc:484:replace_alu$36624[1] I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76232[1] CO=$auto$alumacc.cc:484:replace_alu$76232[2] I0=$true I1=$auto$alumacc.cc:470:replace_alu$76230.BB[2] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36624[1] CO=$auto$alumacc.cc:484:replace_alu$36624[2] I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76232[2] CO=$auto$alumacc.cc:484:replace_alu$76232[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76231[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36624[2] CO=$auto$alumacc.cc:484:replace_alu$36624[3] I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.BB[1] CO=$auto$alumacc.cc:470:replace_alu$76241.C[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[2] +.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:484:replace_alu$36629[4] I0=KEYBOARD.kbd_code_hid[4] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[3] CO=$auto$alumacc.cc:470:replace_alu$76241.C[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36629[4] CO=$auto$alumacc.cc:484:replace_alu$36629[5] I0=KEYBOARD.kbd_code_hid[5] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[4] CO=$auto$alumacc.cc:470:replace_alu$76241.C[5] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[4] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36629[5] CO=$auto$alumacc.cc:484:replace_alu$36629[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[5] CO=$auto$alumacc.cc:470:replace_alu$76241.C[6] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[5] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36629[6] CO=$auto$alumacc.cc:484:replace_alu$36629[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[6] CO=$auto$alumacc.cc:470:replace_alu$76241.C[7] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[6] +.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:484:replace_alu$36634[1] I0=KEYBOARD.kbd_code_hid[1] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[7] CO=$auto$alumacc.cc:484:replace_alu$76243[7] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[7] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[1] CO=$auto$alumacc.cc:484:replace_alu$36634[2] I0=KEYBOARD.kbd_code_hid[2] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76170[0] I3=$true O=$techmap\UART.$sub$uart.v:40$588_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76170[0] CO=$auto$alumacc.cc:484:replace_alu$76256[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[1] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[2] CO=$auto$alumacc.cc:484:replace_alu$36634[3] I0=KEYBOARD.kbd_code_hid[3] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76170[2] I3=$auto$alumacc.cc:484:replace_alu$76256[1] O=$techmap\UART.$sub$uart.v:40$588_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$add$top.v:125$80_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76259[1] I0=$false I1=wr_cnt[1] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[3] CO=$auto$alumacc.cc:484:replace_alu$36634[4] I0=KEYBOARD.kbd_code_hid[4] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:484:replace_alu$76259[1] O=$add$top.v:125$80_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76259[1] CO=$auto$alumacc.cc:484:replace_alu$76259[2] I0=$false I1=wr_cnt[2] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[4] CO=$auto$alumacc.cc:484:replace_alu$36634[5] I0=KEYBOARD.kbd_code_hid[5] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:484:replace_alu$76259[2] O=$add$top.v:125$80_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$add$top.v:176$124_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:484:replace_alu$76262[1] I0=$false I1=ring_rd[1] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[5] CO=$auto$alumacc.cc:484:replace_alu$36634[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:484:replace_alu$76262[1] O=$add$top.v:176$124_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76262[1] CO=$auto$alumacc.cc:484:replace_alu$76262[2] I0=$false I1=ring_rd[2] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[6] CO=$auto$alumacc.cc:484:replace_alu$36634[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:484:replace_alu$76262[2] O=$add$top.v:176$124_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:180$126_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:484:replace_alu$76265[1] I0=$false I1=report_data_radr[1] +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36644[0] CO=$auto$alumacc.cc:484:replace_alu$36645[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36644[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:484:replace_alu$76265[1] O=$add$top.v:180$126_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[1] CO=$auto$alumacc.cc:484:replace_alu$76265[2] I0=$false I1=report_data_radr[2] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36645[1] CO=$auto$alumacc.cc:484:replace_alu$36645[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36644[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:484:replace_alu$76265[2] O=$add$top.v:180$126_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[2] CO=$auto$alumacc.cc:484:replace_alu$76265[3] I0=$false I1=report_data_radr[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36645[2] CO=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36644[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:484:replace_alu$76265[3] O=$add$top.v:180$126_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[3] CO=$auto$alumacc.cc:484:replace_alu$76265[4] I0=$false I1=report_data_radr[4] +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36649[1] CO=$auto$alumacc.cc:484:replace_alu$36650[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:484:replace_alu$76265[4] O=$add$top.v:180$126_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[4] CO=$auto$alumacc.cc:484:replace_alu$76265[5] I0=$false I1=report_data_radr[5] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[2] CO=$auto$alumacc.cc:484:replace_alu$36650[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:484:replace_alu$76265[5] O=$add$top.v:180$126_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[5] CO=$auto$alumacc.cc:484:replace_alu$76265[6] I0=$false I1=report_data_radr[6] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[3] CO=$auto$alumacc.cc:484:replace_alu$36650[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:484:replace_alu$76265[6] O=$add$top.v:180$126_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[19:0][0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:484:replace_alu$76268[9] O=$13\int_tmr[19:0][10] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[9] CO=$auto$alumacc.cc:484:replace_alu$76268[10] I0=$false I1=int_tmr[10] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[4] CO=$auto$alumacc.cc:484:replace_alu$36650[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:484:replace_alu$76268[10] O=$13\int_tmr[19:0][11] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[10] CO=$auto$alumacc.cc:484:replace_alu$76268[11] I0=$false I1=int_tmr[11] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[5] CO=$auto$alumacc.cc:484:replace_alu$36650[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:484:replace_alu$76268[11] O=$13\int_tmr[19:0][12] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[11] CO=$auto$alumacc.cc:484:replace_alu$76268[12] I0=$false I1=int_tmr[12] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[6] CO=$auto$alumacc.cc:484:replace_alu$36650[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:484:replace_alu$76268[12] O=$13\int_tmr[19:0][13] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[12] CO=$auto$alumacc.cc:484:replace_alu$76268[13] I0=$false I1=int_tmr[13] +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36662[0] CO=$auto$alumacc.cc:484:replace_alu$36663[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36662[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:484:replace_alu$76268[13] O=$13\int_tmr[19:0][14] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[13] CO=$auto$alumacc.cc:484:replace_alu$76268[14] I0=$false I1=int_tmr[14] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36663[1] CO=$auto$alumacc.cc:484:replace_alu$36663[2] I0=$true I1=$techmap$auto$alumacc.cc:470:replace_alu$36661.$ternary$/usr/bin/../share/yosys/ice40/arith_map.v:42$37725_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[15] I3=$auto$alumacc.cc:484:replace_alu$76268[14] O=$13\int_tmr[19:0][15] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[14] CO=$auto$alumacc.cc:484:replace_alu$76268[15] I0=$false I1=int_tmr[15] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36663[2] CO=$auto$alumacc.cc:484:replace_alu$36663[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36662[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[16] I3=$auto$alumacc.cc:484:replace_alu$76268[15] O=$13\int_tmr[19:0][16] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[15] CO=$auto$alumacc.cc:484:replace_alu$76268[16] I0=$false I1=int_tmr[16] +.gate SB_CARRY CI=$auto$simplemap.cc:309:simplemap_lut$45894[3] CO=$auto$alumacc.cc:484:replace_alu$36674[1] I0=$true I1=$techmap$auto$alumacc.cc:470:replace_alu$36724.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37884_Y[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[17] I3=$auto$alumacc.cc:484:replace_alu$76268[16] O=$13\int_tmr[19:0][17] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[16] CO=$auto$alumacc.cc:484:replace_alu$76268[17] I0=$false I1=int_tmr[17] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[1] CO=$auto$alumacc.cc:484:replace_alu$36674[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[18] I3=$auto$alumacc.cc:484:replace_alu$76268[17] O=$13\int_tmr[19:0][18] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[17] CO=$auto$alumacc.cc:484:replace_alu$76268[18] I0=$false I1=int_tmr[18] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[2] CO=$auto$alumacc.cc:484:replace_alu$36674[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[19] I3=$auto$alumacc.cc:484:replace_alu$76268[18] O=$add$top.v:271$182_Y[19] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:484:replace_alu$76268[1] I0=$false I1=int_tmr[1] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[3] CO=$auto$alumacc.cc:484:replace_alu$36674[4] I0=$false I1=$auto$simplemap.cc:309:simplemap_lut$47343[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:484:replace_alu$76268[1] O=$13\int_tmr[19:0][2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[1] CO=$auto$alumacc.cc:484:replace_alu$76268[2] I0=$false I1=int_tmr[2] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[4] CO=$auto$alumacc.cc:484:replace_alu$36674[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:484:replace_alu$76268[2] O=$13\int_tmr[19:0][3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[2] CO=$auto$alumacc.cc:484:replace_alu$76268[3] I0=$false I1=int_tmr[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[5] CO=$auto$alumacc.cc:484:replace_alu$36674[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:484:replace_alu$76268[3] O=$13\int_tmr[19:0][4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[3] CO=$auto$alumacc.cc:484:replace_alu$76268[4] I0=$false I1=int_tmr[4] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[6] CO=$auto$alumacc.cc:484:replace_alu$36674[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:484:replace_alu$76268[4] O=$13\int_tmr[19:0][5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[4] CO=$auto$alumacc.cc:484:replace_alu$76268[5] I0=$false I1=int_tmr[5] +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36649[1] CO=$auto$alumacc.cc:484:replace_alu$36685[2] I0=$true I1=$auto$alumacc.cc:483:replace_alu$36649[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:484:replace_alu$76268[5] O=$13\int_tmr[19:0][6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[5] CO=$auto$alumacc.cc:484:replace_alu$76268[6] I0=$false I1=int_tmr[6] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[2] CO=$auto$alumacc.cc:484:replace_alu$36685[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:484:replace_alu$76268[6] O=$13\int_tmr[19:0][7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[6] CO=$auto$alumacc.cc:484:replace_alu$76268[7] I0=$false I1=int_tmr[7] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[3] CO=$auto$alumacc.cc:484:replace_alu$36685[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:484:replace_alu$76268[7] O=$13\int_tmr[19:0][8] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[7] CO=$auto$alumacc.cc:484:replace_alu$76268[8] I0=$false I1=int_tmr[8] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[4] CO=$auto$alumacc.cc:484:replace_alu$36685[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:484:replace_alu$76268[8] O=$13\int_tmr[19:0][9] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[8] CO=$auto$alumacc.cc:484:replace_alu$76268[9] I0=$false I1=int_tmr[9] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[5] CO=$auto$alumacc.cc:484:replace_alu$36685[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$logic_not$top.v:68$13_Y I2=rststate[0] I3=$false O=$add$top.v:68$14_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:484:replace_alu$76271[0] I0=$logic_not$top.v:68$13_Y I1=rststate[0] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[6] CO=$auto$alumacc.cc:484:replace_alu$36685[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:484:replace_alu$76271[0] O=$add$top.v:68$14_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76271[0] CO=$auto$alumacc.cc:484:replace_alu$76271[1] I0=$false I1=rststate[1] +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36689[1] CO=$auto$alumacc.cc:484:replace_alu$36690[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:484:replace_alu$76271[1] O=$add$top.v:68$14_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76271[1] CO=$auto$alumacc.cc:484:replace_alu$76271[2] I0=$false I1=rststate[2] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[2] CO=$auto$alumacc.cc:484:replace_alu$36690[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:484:replace_alu$76271[2] O=$add$top.v:68$14_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76274[1] I0=$false I1=init_ram_cnt[1] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[3] CO=$auto$alumacc.cc:484:replace_alu$36690[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:484:replace_alu$76274[1] O=$2\init_ram_cnt[7:0][2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[1] CO=$auto$alumacc.cc:484:replace_alu$76274[2] I0=$false I1=init_ram_cnt[2] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[4] CO=$auto$alumacc.cc:484:replace_alu$36690[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:484:replace_alu$76274[2] O=$2\init_ram_cnt[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[2] CO=$auto$alumacc.cc:484:replace_alu$76274[3] I0=$false I1=init_ram_cnt[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[5] CO=$auto$alumacc.cc:484:replace_alu$36690[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:484:replace_alu$76274[3] O=$2\init_ram_cnt[7:0][4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[3] CO=$auto$alumacc.cc:484:replace_alu$76274[4] I0=$false I1=init_ram_cnt[4] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[6] CO=$auto$alumacc.cc:484:replace_alu$36690[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:484:replace_alu$76274[4] O=$2\init_ram_cnt[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[4] CO=$auto$alumacc.cc:484:replace_alu$76274[5] I0=$false I1=init_ram_cnt[5] +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36673[2] CO=$auto$alumacc.cc:484:replace_alu$36695[3] I0=$true I1=$auto$alumacc.cc:483:replace_alu$36673[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:484:replace_alu$76274[5] O=$2\init_ram_cnt[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[5] CO=$auto$alumacc.cc:484:replace_alu$76274[6] I0=$false I1=init_ram_cnt[6] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36695[3] CO=$auto$alumacc.cc:484:replace_alu$36695[4] I0=$false I1=$auto$simplemap.cc:309:simplemap_lut$47343[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:484:replace_alu$76274[6] O=$2\init_ram_cnt[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$add$top.v:98$41_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:484:replace_alu$76277[1] I0=$false I1=ring_wr[1] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36695[4] CO=$auto$alumacc.cc:484:replace_alu$36695[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:484:replace_alu$76277[1] O=$add$top.v:98$41_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76277[1] CO=$auto$alumacc.cc:484:replace_alu$76277[2] I0=$false I1=ring_wr[2] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36695[5] CO=$auto$alumacc.cc:484:replace_alu$36695[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:484:replace_alu$76277[2] O=$add$top.v:98$41_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76277[2] CO=$auto$alumacc.cc:484:replace_alu$76277[3] I0=$false I1=ring_wr[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36695[6] CO=$auto$alumacc.cc:484:replace_alu$36695[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:484:replace_alu$76277[3] O=$add$top.v:98$41_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$sub$top.v:122$76_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$sub$top.v:124$78_Y[0] +.gate SB_LUT4 I0=$false I1=$logic_not$top.v:60$10_Y I2=rststate[0] I3=$false O=$add$top.v:60$11_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76283[1] I0=wr_cnt[1] I1=$false +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:484:replace_alu$36700[0] I0=$logic_not$top.v:60$10_Y I1=rststate[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76283[1] O=$sub$top.v:124$78_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0] +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:484:replace_alu$36700[0] O=$add$top.v:60$11_Y[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76286[1] I0=I2C.byte_counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36700[0] CO=$auto$alumacc.cc:484:replace_alu$36700[1] I0=$false I1=rststate[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[1] O=$2\I2C_INPUT_LEN[7:0][2] +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:484:replace_alu$36700[1] O=$add$top.v:60$11_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[1] CO=$auto$alumacc.cc:484:replace_alu$76286[2] I0=I2C.byte_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36700[1] CO=$auto$alumacc.cc:484:replace_alu$36700[2] I0=$false I1=rststate[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[2] O=$2\I2C_INPUT_LEN[7:0][3] +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:484:replace_alu$36700[2] O=$add$top.v:60$11_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[2] CO=$auto$alumacc.cc:484:replace_alu$76286[3] I0=I2C.byte_counter[3] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[3] O=$2\I2C_INPUT_LEN[7:0][4] +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$36584[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[3] CO=$auto$alumacc.cc:484:replace_alu$76286[4] I0=I2C.byte_counter[4] I1=$true +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:484:replace_alu$36703[1] I0=I2C.byte_counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[4] O=$2\I2C_INPUT_LEN[7:0][5] +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$false I3=$auto$alumacc.cc:484:replace_alu$36703[1] O=$auto$wreduce.cc:310:run$36584[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[4] CO=$auto$alumacc.cc:484:replace_alu$76286[5] I0=I2C.byte_counter[5] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[5] O=$2\I2C_INPUT_LEN[7:0][6] +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$sub$top.v:78$20_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[5] CO=$auto$alumacc.cc:484:replace_alu$76286[6] I0=I2C.byte_counter[6] I1=$true +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$36704.C[2] I0=I2C.byte_counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[6] O=$2\I2C_INPUT_LEN[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$sub$top.v:88$26_Y[0] +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[2] O=$sub$top.v:78$20_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:484:replace_alu$76289[2] I0=init_ram_cnt[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[2] CO=$auto$alumacc.cc:470:replace_alu$36704.C[3] I0=I2C.byte_counter[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:484:replace_alu$76289[2] O=$sub$top.v:88$26_Y[3] +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[3] O=$sub$top.v:78$20_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[2] CO=$auto$alumacc.cc:484:replace_alu$76289[3] I0=init_ram_cnt[3] I1=$false +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[3] CO=$auto$alumacc.cc:470:replace_alu$36704.C[4] I0=I2C.byte_counter[3] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[3] O=$sub$top.v:88$26_Y[4] +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[4] O=$sub$top.v:78$20_Y[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[3] CO=$auto$alumacc.cc:484:replace_alu$76289[4] I0=init_ram_cnt[4] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[4] CO=$auto$alumacc.cc:470:replace_alu$36704.C[5] I0=I2C.byte_counter[4] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[4] O=$sub$top.v:88$26_Y[5] +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[5] O=$sub$top.v:78$20_Y[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[4] CO=$auto$alumacc.cc:484:replace_alu$76289[5] I0=init_ram_cnt[5] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[5] CO=$auto$alumacc.cc:470:replace_alu$36704.C[6] I0=I2C.byte_counter[5] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[5] O=$sub$top.v:88$26_Y[6] +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[6] O=$sub$top.v:78$20_Y[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[5] CO=$auto$alumacc.cc:484:replace_alu$76289[6] I0=init_ram_cnt[6] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[6] CO=$auto$alumacc.cc:470:replace_alu$36704.C[7] I0=I2C.byte_counter[6] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[6] O=$sub$top.v:88$26_Y[7] +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[7] O=$sub$top.v:78$20_Y[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76291[1] CO=$auto$alumacc.cc:484:replace_alu$76292[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[2] CO=$auto$alumacc.cc:484:replace_alu$76292[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[3] +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36708[1] CO=$auto$alumacc.cc:484:replace_alu$36709[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[3] CO=$auto$alumacc.cc:484:replace_alu$76292[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[4] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[2] CO=$auto$alumacc.cc:484:replace_alu$36709[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[4] CO=$auto$alumacc.cc:484:replace_alu$76292[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[5] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[3] CO=$auto$alumacc.cc:484:replace_alu$36709[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[5] CO=$auto$alumacc.cc:484:replace_alu$76292[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[6] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[4] CO=$auto$alumacc.cc:484:replace_alu$36709[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[6] CO=$auto$alumacc.cc:484:replace_alu$76292[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[7] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[5] CO=$auto$alumacc.cc:484:replace_alu$36709[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=$auto$alumacc.cc:484:replace_alu$76297[0] I3=$false O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[0] CO=$auto$alumacc.cc:484:replace_alu$76297[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[2] I3=$auto$alumacc.cc:484:replace_alu$76297[1] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[1] CO=$auto$alumacc.cc:484:replace_alu$76297[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[3] I3=$auto$alumacc.cc:484:replace_alu$76297[2] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[2] CO=$auto$alumacc.cc:484:replace_alu$76297[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[6] CO=$auto$alumacc.cc:484:replace_alu$36709[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[4] I3=$auto$alumacc.cc:484:replace_alu$76297[3] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[4] +.gate SB_LUT4 I0=$false I1=$true I2=$auto$alumacc.cc:484:replace_alu$36714[0] I3=$false O=$auto$wreduce.cc:310:run$36586[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[3] CO=$auto$alumacc.cc:484:replace_alu$76297[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[4] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[0] CO=$auto$alumacc.cc:484:replace_alu$36714[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[5] I3=$auto$alumacc.cc:484:replace_alu$76297[4] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[5] +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[2] I3=$auto$alumacc.cc:484:replace_alu$36714[1] O=$auto$wreduce.cc:310:run$36586[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[4] CO=$auto$alumacc.cc:484:replace_alu$76297[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[5] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[1] CO=$auto$alumacc.cc:484:replace_alu$36714[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[6] I3=$auto$alumacc.cc:484:replace_alu$76297[5] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[6] +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[3] I3=$auto$alumacc.cc:484:replace_alu$36714[2] O=$auto$wreduce.cc:310:run$36586[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[5] CO=$auto$alumacc.cc:484:replace_alu$76297[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[6] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[2] CO=$auto$alumacc.cc:484:replace_alu$36714[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[7] I3=$auto$alumacc.cc:484:replace_alu$76297[6] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[7] +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[4] I3=$auto$alumacc.cc:484:replace_alu$36714[3] O=$auto$wreduce.cc:310:run$36586[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:483:replace_alu$76299[0] I2=$false I3=$true O=$auto$simplemap.cc:250:simplemap_eqne$79651[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76299[0] CO=$auto$alumacc.cc:484:replace_alu$76300[1] I0=$techmap\I2C.$procmux$31037_Y[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[3] CO=$auto$alumacc.cc:484:replace_alu$36714[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$techmap\I2C.$procmux$31037_Y[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76300[1] O=$auto$simplemap.cc:250:simplemap_eqne$79429[2] +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[5] I3=$auto$alumacc.cc:484:replace_alu$36714[4] O=$auto$wreduce.cc:310:run$36586[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76300[1] CO=$auto$alumacc.cc:484:replace_alu$76300[2] I0=$techmap\I2C.$procmux$31037_Y[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[4] CO=$auto$alumacc.cc:484:replace_alu$36714[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$techmap\I2C.$procmux$31037_Y[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76300[2] O=$auto$simplemap.cc:250:simplemap_eqne$79726[3] +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[6] I3=$auto$alumacc.cc:484:replace_alu$36714[5] O=$auto$wreduce.cc:310:run$36586[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76300[2] CO=$auto$simplemap.cc:309:simplemap_lut$88206[1] I0=$techmap\I2C.$procmux$31037_Y[3] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[5] CO=$auto$alumacc.cc:484:replace_alu$36714[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$auto$simplemap.cc:309:simplemap_lut$88206[1] O=$auto$simplemap.cc:250:simplemap_eqne$79726[4] +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[7] I3=$auto$alumacc.cc:484:replace_alu$36714[6] O=$auto$wreduce.cc:310:run$36586[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$76137[0] +.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] I2=$false I3=$true O=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:484:replace_alu$76303[1] I0=I2C.FLT_SCL.counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] CO=$auto$alumacc.cc:484:replace_alu$36717[1] I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76303[1] O=$auto$wreduce.cc:310:run$76137[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$76138[0] +.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36717[1] O=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:484:replace_alu$76306[1] I0=I2C.FLT_SDA.counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36717[1] CO=$auto$alumacc.cc:484:replace_alu$36717[2] I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76306[1] O=$auto$wreduce.cc:310:run$76138[2] +.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$36715.A_buf[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36717[2] O=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$auto$wreduce.cc:310:run$76139[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:484:replace_alu$76309[6] I0=$false I1=I2C.byte_counter[6] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36717[2] CO=$auto$simplemap.cc:309:simplemap_lut$46032[1] I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[3] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:484:replace_alu$76309[6] O=$auto$wreduce.cc:310:run$76139[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76140[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:143$412_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:143$436_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76141[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$76142[0] +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$auto$simplemap.cc:309:simplemap_lut$46032[1] O=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76143[0] +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$36590[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$76144[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:310:run$76145[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76333[1] I0=$false I1=KEYBOARD.init_ram_cnt[1] +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:484:replace_alu$36720[1] I0=I2C.FLT_SCL.counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:484:replace_alu$76333[1] O=$auto$wreduce.cc:310:run$76145[2] +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36720[1] O=$auto$wreduce.cc:310:run$36590[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[1] CO=$auto$alumacc.cc:484:replace_alu$76333[2] I0=$false I1=KEYBOARD.init_ram_cnt[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:484:replace_alu$76333[2] O=$auto$wreduce.cc:310:run$76145[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[2] CO=$auto$alumacc.cc:484:replace_alu$76333[3] I0=$false I1=KEYBOARD.init_ram_cnt[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:484:replace_alu$76333[3] O=$auto$wreduce.cc:310:run$76145[4] +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$36591[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[3] CO=$auto$alumacc.cc:484:replace_alu$76333[4] I0=$false I1=KEYBOARD.init_ram_cnt[4] +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:484:replace_alu$36723[1] I0=I2C.FLT_SDA.counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:484:replace_alu$76333[4] O=$auto$wreduce.cc:310:run$76145[5] +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36723[1] O=$auto$wreduce.cc:310:run$36591[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[4] CO=$auto$alumacc.cc:484:replace_alu$76333[5] I0=$false I1=KEYBOARD.init_ram_cnt[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:484:replace_alu$76333[5] O=$auto$wreduce.cc:310:run$76145[6] +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[5] CO=$auto$alumacc.cc:484:replace_alu$76333[6] I0=$false I1=KEYBOARD.init_ram_cnt[6] +.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:484:replace_alu$36726[6] I0=$false I1=I2C.byte_counter[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:484:replace_alu$76333[6] O=$auto$wreduce.cc:310:run$76145[7] +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:484:replace_alu$36726[6] O=$techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[6] CO=$auto$alumacc.cc:484:replace_alu$76333[7] I0=$false I1=KEYBOARD.init_ram_cnt[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:484:replace_alu$76333[7] O=$auto$wreduce.cc:310:run$76145[8] +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$36592[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$auto$wreduce.cc:310:run$76146[0] +.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:240$336_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76336[1] I0=$false I1=KEYBOARD.row_counter[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:484:replace_alu$76336[1] O=$auto$wreduce.cc:310:run$76146[2] +.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$36593[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76336[1] CO=$auto$alumacc.cc:484:replace_alu$76336[2] I0=$false I1=KEYBOARD.row_counter[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:484:replace_alu$76336[2] O=$auto$wreduce.cc:310:run$76146[3] +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$36594[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$auto$wreduce.cc:310:run$76147[0] +.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$36595[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:484:replace_alu$76339[9] O=$auto$wreduce.cc:310:run$76147[10] +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$36596[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[9] CO=$auto$alumacc.cc:484:replace_alu$76339[10] I0=$false I1=KEYBOARD.row_time[10] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:484:replace_alu$76339[10] O=$auto$wreduce.cc:310:run$76147[11] +.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$36597[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[10] CO=$auto$alumacc.cc:484:replace_alu$76339[11] I0=$false I1=KEYBOARD.row_time[11] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:484:replace_alu$76339[11] O=$auto$wreduce.cc:310:run$76147[12] +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$auto$wreduce.cc:310:run$36598[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[11] CO=$auto$alumacc.cc:484:replace_alu$76339[12] I0=$false I1=KEYBOARD.row_time[12] +.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:484:replace_alu$36750[1] I0=$false I1=KEYBOARD.row_counter[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:484:replace_alu$76339[12] O=$auto$wreduce.cc:310:run$76147[13] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:484:replace_alu$36750[1] O=$auto$wreduce.cc:310:run$36598[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[12] CO=$auto$alumacc.cc:484:replace_alu$76339[13] I0=$false I1=KEYBOARD.row_time[13] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36750[1] CO=$auto$alumacc.cc:484:replace_alu$36750[2] I0=$false I1=KEYBOARD.row_counter[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:484:replace_alu$76339[13] O=$auto$wreduce.cc:310:run$76147[14] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:484:replace_alu$36750[2] O=$auto$wreduce.cc:310:run$36598[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[13] CO=$auto$alumacc.cc:484:replace_alu$76339[14] I0=$false I1=KEYBOARD.row_time[14] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:484:replace_alu$76339[14] O=$auto$wreduce.cc:310:run$76147[15] +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:484:replace_alu$76339[1] I0=$false I1=KEYBOARD.row_time[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:484:replace_alu$76339[1] O=$auto$wreduce.cc:310:run$76147[2] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:484:replace_alu$36753[9] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[10] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[1] CO=$auto$alumacc.cc:484:replace_alu$76339[2] I0=$false I1=KEYBOARD.row_time[2] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[9] CO=$auto$alumacc.cc:484:replace_alu$36753[10] I0=$false I1=KEYBOARD.row_time[10] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:484:replace_alu$76339[2] O=$auto$wreduce.cc:310:run$76147[3] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:484:replace_alu$36753[10] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[11] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[2] CO=$auto$alumacc.cc:484:replace_alu$76339[3] I0=$false I1=KEYBOARD.row_time[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[10] CO=$auto$alumacc.cc:484:replace_alu$36753[11] I0=$false I1=KEYBOARD.row_time[11] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:484:replace_alu$76339[3] O=$auto$wreduce.cc:310:run$76147[4] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:484:replace_alu$36753[11] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[12] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[3] CO=$auto$alumacc.cc:484:replace_alu$76339[4] I0=$false I1=KEYBOARD.row_time[4] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[11] CO=$auto$alumacc.cc:484:replace_alu$36753[12] I0=$false I1=KEYBOARD.row_time[12] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:484:replace_alu$76339[4] O=$auto$wreduce.cc:310:run$76147[5] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:484:replace_alu$36753[12] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[13] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[4] CO=$auto$alumacc.cc:484:replace_alu$76339[5] I0=$false I1=KEYBOARD.row_time[5] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[12] CO=$auto$alumacc.cc:484:replace_alu$36753[13] I0=$false I1=KEYBOARD.row_time[13] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:484:replace_alu$76339[5] O=$auto$wreduce.cc:310:run$76147[6] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:484:replace_alu$36753[13] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[14] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[5] CO=$auto$alumacc.cc:484:replace_alu$76339[6] I0=$false I1=KEYBOARD.row_time[6] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[13] CO=$auto$alumacc.cc:484:replace_alu$36753[14] I0=$false I1=KEYBOARD.row_time[14] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:484:replace_alu$76339[6] O=$auto$wreduce.cc:310:run$76147[7] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:484:replace_alu$36753[14] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[15] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[6] CO=$auto$alumacc.cc:484:replace_alu$76339[7] I0=$false I1=KEYBOARD.row_time[7] +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:484:replace_alu$36753[1] I0=$false I1=KEYBOARD.row_time[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:484:replace_alu$76339[7] O=$auto$wreduce.cc:310:run$76147[8] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:484:replace_alu$36753[1] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[7] CO=$auto$alumacc.cc:484:replace_alu$76339[8] I0=$false I1=KEYBOARD.row_time[8] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[1] CO=$auto$alumacc.cc:484:replace_alu$36753[2] I0=$false I1=KEYBOARD.row_time[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:484:replace_alu$76339[8] O=$auto$wreduce.cc:310:run$76147[9] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:484:replace_alu$36753[2] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[8] CO=$auto$alumacc.cc:484:replace_alu$76339[9] I0=$false I1=KEYBOARD.row_time[9] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[2] CO=$auto$alumacc.cc:484:replace_alu$36753[3] I0=$false I1=KEYBOARD.row_time[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$techmap\UART.$sub$uart.v:32$583_Y[0] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:484:replace_alu$36753[3] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76342[1] I0=UART.tx_clk_counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[3] CO=$auto$alumacc.cc:484:replace_alu$36753[4] I0=$false I1=KEYBOARD.row_time[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76342[1] O=$techmap\UART.$sub$uart.v:32$583_Y[2] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:484:replace_alu$36753[4] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76342[1] CO=$auto$alumacc.cc:484:replace_alu$76342[2] I0=UART.tx_clk_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[4] CO=$auto$alumacc.cc:484:replace_alu$36753[5] I0=$false I1=KEYBOARD.row_time[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76342[2] O=$techmap\UART.$sub$uart.v:32$583_Y[3] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:484:replace_alu$36753[5] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$auto$simplemap.cc:309:simplemap_lut$87755[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76345[1] I0=UART.tx_bit_counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[5] CO=$auto$alumacc.cc:484:replace_alu$36753[6] I0=$false I1=KEYBOARD.row_time[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76345[1] O=$auto$alumacc.cc:470:replace_alu$76169.B_buf[2] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:484:replace_alu$36753[6] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76345[1] CO=$auto$alumacc.cc:484:replace_alu$76345[2] I0=UART.tx_bit_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[6] CO=$auto$alumacc.cc:484:replace_alu$36753[7] I0=$false I1=KEYBOARD.row_time[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76345[2] O=$auto$alumacc.cc:470:replace_alu$76169.B_buf[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$mul$top.v:177$125_Y[0] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:484:replace_alu$36753[7] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[8] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:247:synth$76957[4] I0=$auto$maccmap.cc:111:fulladd$76951[4] I1=$auto$maccmap.cc:112:fulladd$76952[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[7] CO=$auto$alumacc.cc:484:replace_alu$36753[8] I0=$false I1=KEYBOARD.row_time[8] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_rd[3:0][2] I2=$auto$maccmap.cc:112:fulladd$76952[4] I3=$auto$maccmap.cc:247:synth$76957[4] O=$mul$top.v:177$125_Y[5] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:484:replace_alu$36753[8] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[9] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$76957[4] CO=$auto$maccmap.cc:247:synth$76957[5] I0=$2\ring_rd[3:0][2] I1=$auto$maccmap.cc:112:fulladd$76952[4] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[8] CO=$auto$alumacc.cc:484:replace_alu$36753[9] I0=$false I1=KEYBOARD.row_time[9] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:247:synth$76957[5] O=$mul$top.v:177$125_Y[6] +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$36604[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$76957[5] CO=$auto$maccmap.cc:247:synth$76957[6] I0=$2\ring_rd[3:0][3] I1=$false +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:484:replace_alu$36756[1] I0=UART.tx_clk_counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:247:synth$76957[6] O=$mul$top.v:177$125_Y[7] +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36756[1] O=$auto$wreduce.cc:310:run$36604[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:247:synth$77139[4] I0=$auto$maccmap.cc:111:fulladd$77133[4] I1=$auto$maccmap.cc:112:fulladd$77134[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36756[1] CO=$auto$alumacc.cc:484:replace_alu$36756[2] I0=UART.tx_clk_counter[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$auto$maccmap.cc:111:fulladd$77133[5] I2=$auto$maccmap.cc:112:fulladd$77134[4] I3=$auto$maccmap.cc:247:synth$77139[4] O=$6\report_data_wadr[7:0][5] +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36756[2] O=$auto$wreduce.cc:310:run$36604[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77139[4] CO=$auto$maccmap.cc:247:synth$77139[5] I0=$auto$maccmap.cc:111:fulladd$77133[5] I1=$auto$maccmap.cc:112:fulladd$77134[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$auto$maccmap.cc:112:fulladd$77134[5] I3=$auto$maccmap.cc:247:synth$77139[5] O=$6\report_data_wadr[7:0][6] +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$auto$alumacc.cc:470:replace_alu$36760.B_buf[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77139[5] CO=$auto$maccmap.cc:247:synth$77139[6] I0=ring_wr[3] I1=$auto$maccmap.cc:112:fulladd$77134[5] +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:484:replace_alu$36759[1] I0=UART.tx_bit_counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:247:synth$77139[6] O=$6\report_data_wadr[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76134[0] +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36759[1] O=$auto$alumacc.cc:470:replace_alu$36760.B_buf[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:247:synth$77158[4] I0=$auto$maccmap.cc:111:fulladd$77152[4] I1=$auto$maccmap.cc:112:fulladd$77153[3] +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36759[1] CO=$auto$alumacc.cc:484:replace_alu$36759[2] I0=UART.tx_bit_counter[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$auto$maccmap.cc:112:fulladd$77153[4] I3=$auto$maccmap.cc:247:synth$77158[4] O=$auto$wreduce.cc:310:run$76134[5] +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36759[2] O=$auto$alumacc.cc:470:replace_alu$36760.B_buf[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77158[4] CO=$auto$maccmap.cc:247:synth$77158[5] I0=$2\ring_wr[3:0][2] I1=$auto$maccmap.cc:112:fulladd$77153[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:247:synth$77158[5] O=$auto$wreduce.cc:310:run$76134[6] +.gate SB_LUT4 I0=$false I1=$false I2=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] I3=$true O=$auto$wreduce.cc:310:run$36605[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77158[5] CO=$auto$maccmap.cc:247:synth$77158[6] I0=$2\ring_wr[3:0][3] I1=$false +.gate SB_CARRY CI=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] CO=$auto$alumacc.cc:470:replace_alu$36760.C[2] I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:247:synth$77158[6] O=$auto$wreduce.cc:310:run$76134[7] +.gate SB_LUT4 I0=$false I1=$false I2=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[2] I3=$auto$alumacc.cc:470:replace_alu$36760.C[2] O=$auto$wreduce.cc:310:run$36605[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$90143 Q=UART_WR R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[0] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[1] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[2] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[3] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[4] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[5] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[6] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[7] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\INT[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$92180 Q=INT S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[4] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[5] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[6] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[7] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][8] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[8] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][9] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[9] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][10] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[10] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][11] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[11] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][12] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[12] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][13] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[13] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][14] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[14] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][15] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[15] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][16] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[16] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][17] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[17] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][18] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[18] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][19] E=$auto$dff2dffe.cc:175:make_patterns_logic$99225 Q=int_tmr[19] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$99418 Q=KBD_FREEZE -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[4] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[5] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[6] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[7] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[0] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[1] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[2] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[3] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$100744 Q=report_wr_en S=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[3] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[4] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[5] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[6] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[7] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[3] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[4] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[5] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[6] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[7] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[0] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[1] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[2] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[3] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[4] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[5] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[6] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[7] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$104178 Q=temp_output_report[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$104178 Q=temp_output_report[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$104178 Q=temp_output_report[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[3] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[4] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[5] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[6] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[7] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUTPUT_TYPE[0] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUTPUT_TYPE[1] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUTPUT_TYPE[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[4] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[5] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[6] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[7] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$109317 Q=LED2 S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$109317 Q=LED3 R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$109317 Q=LED4 S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.wr E=$auto$dff2dffe.cc:175:make_patterns_logic$109998 Q=last_wr -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C_TRANS E=$auto$dff2dffe.cc:175:make_patterns_logic$110152 Q=last_trans R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=UART.tx_activity E=$auto$dff2dffe.cc:175:make_patterns_logic$110365 Q=last_uart_active R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$auto$dff2dffe.cc:175:make_patterns_logic$110418 Q=last_isr R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$98030[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$110597 Q=uart_double_ff R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFESR C=CLK D=$procmux$23459_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[0] R=$auto$simplemap.cc:256:simplemap_eqne$37067 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$23459_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[1] R=$auto$simplemap.cc:256:simplemap_eqne$37067 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$23459_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[2] R=$auto$simplemap.cc:256:simplemap_eqne$37067 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$23459_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[3] R=$auto$simplemap.cc:256:simplemap_eqne$37067 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$23459_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[4] R=$auto$simplemap.cc:256:simplemap_eqne$37067 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$23459_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[5] R=$auto$simplemap.cc:256:simplemap_eqne$37067 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$23459_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[6] R=$auto$simplemap.cc:256:simplemap_eqne$37067 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$23459_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[7] R=$auto$simplemap.cc:256:simplemap_eqne$37067 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$auto$simplemap.cc:127:simplemap_reduce$48347[1] Q=UART_WR R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$31585_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[0] S=$auto$rtlil.cc:1692:NotGate$61156 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$31585_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[1] S=$auto$rtlil.cc:1692:NotGate$61156 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$31585_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[2] S=$auto$rtlil.cc:1692:NotGate$61156 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$31585_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[3] S=$auto$rtlil.cc:1692:NotGate$61156 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$31585_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[4] S=$auto$rtlil.cc:1692:NotGate$61156 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$31585_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[5] S=$auto$rtlil.cc:1692:NotGate$61156 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$31585_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[6] S=$auto$rtlil.cc:1692:NotGate$61156 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$31585_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[7] S=$auto$rtlil.cc:1692:NotGate$61156 +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\INT[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$48548 Q=INT R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$48604 Q=KBD_FREEZE +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$49267 Q=temp_output_report[0] +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$49267 Q=temp_output_report[1] +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$49267 Q=temp_output_report[2] +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$49520 Q=i2c_input_data_type[0] +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$49520 Q=i2c_input_data_type[1] +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$49520 Q=i2c_input_data_type[2] +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$49520 Q=i2c_input_data_type[3] +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[0] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[1] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[2] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[3] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[4] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[5] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[6] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[7] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUTPUT_TYPE[0] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUTPUT_TYPE[1] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUTPUT_TYPE[2] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[0] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[1] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[2] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[3] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[4] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[5] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[6] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[7] R=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$52500 Q=LED2 S=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$52500 Q=LED3 S=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$52500 Q=LED4 S=$logic_not$top.v:60$10_Y +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.wr E=RESET Q=last_wr +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C_TRANS E=RESET Q=last_trans +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART.tx_activity E=RESET Q=last_uart_active +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=KEYBOARD.isr E=RESET Q=last_isr +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$52724 Q=uart_double_ff +.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF .attr src "i2c_slave.v:48|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr src "i2c_slave.v:48|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31862_Y Q=I2C.wr +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20172_Y Q=I2C.wr .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31816_Y Q=I2C.is_ack +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20126_Y Q=I2C.is_ack .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[0] Q=I2C.byte_counter[0] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[0] Q=I2C.byte_counter[0] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[1] Q=I2C.byte_counter[1] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[1] Q=I2C.byte_counter[1] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[2] Q=I2C.byte_counter[2] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[2] Q=I2C.byte_counter[2] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[3] Q=I2C.byte_counter[3] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[3] Q=I2C.byte_counter[3] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[4] Q=I2C.byte_counter[4] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[4] Q=I2C.byte_counter[4] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[5] Q=I2C.byte_counter[5] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[5] Q=I2C.byte_counter[5] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[6] Q=I2C.byte_counter[6] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[6] Q=I2C.byte_counter[6] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[7] Q=I2C.byte_counter[7] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[7] Q=I2C.byte_counter[7] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110669 Q=I2C.received_byte[0] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$52791 Q=I2C.received_byte[0] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110736 Q=I2C.received_byte[1] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$52858 Q=I2C.received_byte[1] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110803 Q=I2C.received_byte[2] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$52925 Q=I2C.received_byte[2] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110870 Q=I2C.received_byte[3] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$52992 Q=I2C.received_byte[3] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110937 Q=I2C.received_byte[4] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$53059 Q=I2C.received_byte[4] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$111004 Q=I2C.received_byte[5] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$53126 Q=I2C.received_byte[5] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$111071 Q=I2C.received_byte[6] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$53193 Q=I2C.received_byte[6] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$111138 Q=I2C.received_byte[7] +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$53260 Q=I2C.received_byte[7] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[0] Q=I2C.i2c_bit_counter[0] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19988_Y[0] Q=I2C.i2c_bit_counter[0] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[1] Q=I2C.i2c_bit_counter[1] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19988_Y[1] Q=I2C.i2c_bit_counter[1] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[2] Q=I2C.i2c_bit_counter[2] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19988_Y[2] Q=I2C.i2c_bit_counter[2] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[3] Q=I2C.i2c_bit_counter[3] +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19988_Y[3] Q=I2C.i2c_bit_counter[3] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31632_Y Q=I2C.is_read +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19942_Y Q=I2C.is_read .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$techmap\I2C.$procmux$30991_Y E=$auto$dff2dffe.cc:158:make_patterns_logic$111147 Q=I2C.i2c_start_latency +.gate SB_DFFE C=CLK D=$techmap\I2C.$procmux$19301_Y E=$auto$dff2dffe.cc:158:make_patterns_logic$53269 Q=I2C.i2c_start_latency .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=I2C.FLT_SDA.out Q=I2C.SDA_LAST .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=I2C.FLT_SCL.out Q=I2C.SCL_LAST .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31540_Y Q=I2C.SDA_DIR +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19850_Y Q=I2C.SDA_DIR .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$techmap\UART.$procmux$826_Y E=RESET Q=UART.tx_activity -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$965_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_line R=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[0] R=$logic_not$top.v:68$13_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[1] R=$logic_not$top.v:68$13_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[2] R=$logic_not$top.v:68$13_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[3] R=$logic_not$top.v:68$13_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$auto$simplemap.cc:309:simplemap_lut$87755[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[0] S=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$76169.B_buf[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[1] R=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$76169.B_buf[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[2] R=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$76169.B_buf[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[3] S=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\UART.$procmux$753_Y E=RESET Q=UART.tx_activity +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$892_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_line R=$eq$top.v:225$129_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$739_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$53542 Q=UART.tx_clk_counter[0] R=$logic_not$top.v:60$10_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$739_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$53542 Q=UART.tx_clk_counter[1] R=$logic_not$top.v:60$10_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$739_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$53542 Q=UART.tx_clk_counter[2] R=$logic_not$top.v:60$10_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$739_Y[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$53542 Q=UART.tx_clk_counter[3] R=$logic_not$top.v:60$10_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$36760.B_buf[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_bit_counter[0] S=$eq$top.v:225$129_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$36760.B_buf[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_bit_counter[1] R=$eq$top.v:225$129_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$36760.B_buf[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_bit_counter[2] R=$eq$top.v:225$129_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$36760.B_buf[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_bit_counter[3] S=$eq$top.v:225$129_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=UART_WR E=RESET Q=UART.TX_sig_last -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][0] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][1] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][2] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][3] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][4] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][5] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][6] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][7] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][0] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][1] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][2] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][3] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][4] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][5] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][6] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][7] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[4] Q=KEYBOARD.COLS_SHADOW[4] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[5] Q=KEYBOARD.COLS_SHADOW[5] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[6] Q=KEYBOARD.COLS_SHADOW[6] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[7] Q=KEYBOARD.COLS_SHADOW[7] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[0] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[1] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[2] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$13598_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$120622 Q=KEYBOARD.ram_wr S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$29977_CMP E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.is_pressed -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[0] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[1] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[2] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[4] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[5] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[6] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[7] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[0] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[1] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[2] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[3] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[4] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[5] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[6] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[7] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[8] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[9] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[10] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[11] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[12] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[13] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[14] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[15] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$25493_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$121761 Q=KEYBOARD.isr R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[0] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[0] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[1] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[1] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[2] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[2] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[3] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[3] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[4] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[4] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[5] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[5] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[6] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[6] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[7] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[7] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$auto$simplemap.cc:309:simplemap_lut$88852[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[0] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$76140[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[1] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$76140[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[2] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$76140[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[0] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[1] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[2] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[3] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[4] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[5] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[6] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[7] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[8] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[9] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[10] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[11] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[12] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[13] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[14] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[15] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[8] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[8] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[0] R=$logic_not$top.v:68$13_Y +.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][0] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][1] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][2] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][3] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][4] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][5] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][6] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][7] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][0] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][1] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][2] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][3] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][4] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][5] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][6] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][7] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][0] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][1] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][2] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][3] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][4] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][5] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][6] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][7] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][0] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][1] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][2] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][3] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][4] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][5] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][6] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][7] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][0] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][1] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][2] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][3] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][4] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][5] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][6] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][7] R=$auto$rtlil.cc:1692:NotGate$61316 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][0] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][1] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][2] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][4] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][5] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][6] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][7] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36598[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[0] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36598[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[1] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36598[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[2] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36598[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[4] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[5] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[6] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[7] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$7457_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$60147 Q=KEYBOARD.ram_wr +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$55251[4] E=RESET Q=KEYBOARD.is_pressed +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[0] E=RESET Q=KEYBOARD.kbd_code[0] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[1] E=RESET Q=KEYBOARD.kbd_code[1] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[2] E=RESET Q=KEYBOARD.kbd_code[2] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[3] E=RESET Q=KEYBOARD.kbd_code[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[4] E=RESET Q=KEYBOARD.kbd_code[4] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[5] E=RESET Q=KEYBOARD.kbd_code[5] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[6] E=RESET Q=KEYBOARD.kbd_code[6] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[7] E=RESET Q=KEYBOARD.kbd_code[7] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[0] R=$auto$wreduce.cc:310:run$36598[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[1] R=$auto$wreduce.cc:310:run$36598[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[2] R=$auto$wreduce.cc:310:run$36598[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[3] R=$auto$wreduce.cc:310:run$36598[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[4] R=$auto$wreduce.cc:310:run$36598[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[5] R=$auto$wreduce.cc:310:run$36598[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[6] R=$auto$wreduce.cc:310:run$36598[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[7] R=$auto$wreduce.cc:310:run$36598[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[8] R=$auto$rtlil.cc:1692:NotGate$61332 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[9] R=$auto$rtlil.cc:1692:NotGate$61332 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[10] R=$auto$rtlil.cc:1692:NotGate$61332 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[11] R=$auto$rtlil.cc:1692:NotGate$61332 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[12] R=$auto$rtlil.cc:1692:NotGate$61332 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[13] R=$auto$rtlil.cc:1692:NotGate$61332 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[14] R=$auto$rtlil.cc:1692:NotGate$61332 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[15] R=$auto$rtlil.cc:1692:NotGate$61332 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$55268[1] E=RESET Q=KEYBOARD.isr +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[0] E=RESET Q=KEYBOARD.temp[0] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[1] E=RESET Q=KEYBOARD.temp[1] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[2] E=RESET Q=KEYBOARD.temp[2] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[3] E=RESET Q=KEYBOARD.temp[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[4] E=RESET Q=KEYBOARD.temp[4] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[5] E=RESET Q=KEYBOARD.temp[5] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[6] E=RESET Q=KEYBOARD.temp[6] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[7] E=RESET Q=KEYBOARD.temp[7] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$simplemap.cc:309:simplemap_lut$46578[3] E=RESET Q=KEYBOARD.row_counter[0] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36592[4] E=RESET Q=KEYBOARD.row_counter[1] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36592[5] E=RESET Q=KEYBOARD.row_counter[2] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36592[6] E=RESET Q=KEYBOARD.row_counter[3] +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[0] E=RESET Q=KEYBOARD.row_time[0] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$simplemap.cc:309:simplemap_lut$46966 E=RESET Q=KEYBOARD.row_time[1] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[2] E=RESET Q=KEYBOARD.row_time[2] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[3] E=RESET Q=KEYBOARD.row_time[3] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[4] E=RESET Q=KEYBOARD.row_time[4] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[5] E=RESET Q=KEYBOARD.row_time[5] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[6] E=RESET Q=KEYBOARD.row_time[6] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[7] E=RESET Q=KEYBOARD.row_time[7] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[8] E=RESET Q=KEYBOARD.row_time[8] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[9] E=RESET Q=KEYBOARD.row_time[9] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[10] E=RESET Q=KEYBOARD.row_time[10] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[11] E=RESET Q=KEYBOARD.row_time[11] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[12] E=RESET Q=KEYBOARD.row_time[12] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[13] E=RESET Q=KEYBOARD.row_time[13] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[14] E=RESET Q=KEYBOARD.row_time[14] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[15] E=RESET Q=KEYBOARD.row_time[15] R=$auto$rtlil.cc:1692:NotGate$61364 +.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$586_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[0] R=$logic_not$top.v:60$10_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[1] R=$logic_not$top.v:68$13_Y +.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[1] R=$logic_not$top.v:60$10_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[2] R=$logic_not$top.v:68$13_Y +.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[2] R=$logic_not$top.v:60$10_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[3] R=$logic_not$top.v:68$13_Y +.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[3] R=$logic_not$top.v:60$10_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[4] R=$logic_not$top.v:68$13_Y +.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[4] R=$logic_not$top.v:60$10_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[5] R=$logic_not$top.v:68$13_Y +.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$586_Y[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[5] R=$logic_not$top.v:60$10_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[6] R=$logic_not$top.v:68$13_Y +.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$586_Y[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[6] R=$logic_not$top.v:60$10_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[7] R=$logic_not$top.v:68$13_Y +.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$586_Y[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[7] R=$logic_not$top.v:60$10_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$logic_not$top.v:68$13_Y +.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$logic_not$top.v:60$10_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFR C=CLK D=$techmap\I2C.$procmux$31586_Y Q=I2C.i2c_state_machine R=$logic_not$top.v:68$13_Y +.gate SB_DFF C=CLK D=KEYBOARD.ram_wr Q=KEYBOARD.RAM.last_we +.attr src "ram.v:8|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFR C=CLK D=$techmap\I2C.$procmux$19896_Y Q=I2C.i2c_state_machine R=$logic_not$top.v:60$10_Y .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:12" -.gate SB_DFFES C=CLK D=I2C.SDAF E=$auto$dff2dffe.cc:175:make_patterns_logic$122811 Q=I2C.FLT_SDA.out S=$logic_not$top.v:68$13_Y +.gate SB_DFFES C=CLK D=I2C.SDAF E=$auto$dff2dffe.cc:175:make_patterns_logic$60782 Q=I2C.FLT_SDA.out S=$logic_not$top.v:60$10_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$1066_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122826 Q=I2C.FLT_SDA.counter[0] R=$logic_not$top.v:68$13_Y +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$993_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$60797 Q=I2C.FLT_SDA.counter[0] R=$logic_not$top.v:60$10_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$1066_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122826 Q=I2C.FLT_SDA.counter[1] R=$logic_not$top.v:68$13_Y +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$993_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$60797 Q=I2C.FLT_SDA.counter[1] R=$logic_not$top.v:60$10_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$1066_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122826 Q=I2C.FLT_SDA.counter[2] R=$logic_not$top.v:68$13_Y +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$993_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$60797 Q=I2C.FLT_SDA.counter[2] R=$logic_not$top.v:60$10_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFES C=CLK D=I2C.SCLF E=$auto$dff2dffe.cc:175:make_patterns_logic$122871 Q=I2C.FLT_SCL.out S=$logic_not$top.v:68$13_Y +.gate SB_DFFES C=CLK D=I2C.SCLF E=$auto$dff2dffe.cc:175:make_patterns_logic$60842 Q=I2C.FLT_SCL.out S=$logic_not$top.v:60$10_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$1066_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122886 Q=I2C.FLT_SCL.counter[0] R=$logic_not$top.v:68$13_Y +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$993_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$60857 Q=I2C.FLT_SCL.counter[0] R=$logic_not$top.v:60$10_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$1066_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122886 Q=I2C.FLT_SCL.counter[1] R=$logic_not$top.v:68$13_Y +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$993_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$60857 Q=I2C.FLT_SCL.counter[1] R=$logic_not$top.v:60$10_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$1066_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122886 Q=I2C.FLT_SCL.counter[2] R=$logic_not$top.v:68$13_Y +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$993_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$60857 Q=I2C.FLT_SCL.counter[2] R=$logic_not$top.v:60$10_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr src "i2c_slave.v:178" @@ -3747,7 +2677,7 @@ .param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$true WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=KEYBOARD.ram_wr +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$true WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$techmap$techmap36770\KEYBOARD.RAM.mem.0.0.0.$reduce_or$/usr/bin/../share/yosys/ice40/brams_map.v:308$36769_Y .attr src "/usr/bin/../share/yosys/ice40/brams_map.v:277|/usr/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -3768,7 +2698,7 @@ .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false -.attr src "matrix_kbd.v:161" +.attr src "matrix_kbd.v:262" .param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 .param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 @@ -3782,89 +2712,69 @@ .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] -.attr src "matrix_kbd.v:187" +.attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$true WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=report_wr_en -.attr src "/usr/bin/../share/yosys/ice40/brams_map.v:277|/usr/bin/../share/yosys/ice40/brams_map.v:35" -.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 -.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param READ_MODE 00000000000000000000000000000001 -.param WRITE_MODE 00000000000000000000000000000001 .names INT COM_DCD 1 1 .names KBD_FREEZE COM_DSR @@ -4061,25 +2971,25 @@ 1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 -.names CLK KEYBOARD.RAM.clk +.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.addr[0] 1 1 -.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0] +.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.addr[1] 1 1 -.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1] +.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.addr[2] 1 1 -.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2] +.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.addr[3] 1 1 -.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3] +.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.addr[4] 1 1 -.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4] +.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.addr[5] 1 1 -.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5] +.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.addr[6] 1 1 -.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6] +.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.addr[7] 1 1 -.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7] +.names $undef KEYBOARD.RAM.addr[8] 1 1 -.names $undef KEYBOARD.RAM.raddr[8] +.names CLK KEYBOARD.RAM.clk 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 @@ -4097,24 +3007,6 @@ 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] 1 1 -.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0] -1 1 -.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1] -1 1 -.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2] -1 1 -.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3] -1 1 -.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4] -1 1 -.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5] -1 1 -.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6] -1 1 -.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7] -1 1 -.names $undef KEYBOARD.RAM.waddr[8] -1 1 .names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] 1 1 .names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1] @@ -4215,69 +3107,65 @@ 1 1 .names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7] 1 1 -.names KEYBOARD.report[3][0] KEYBOARD.kbd_r4[0] +.names kbd_report[3][0] KEYBOARD.kbd_r4[0] 1 1 -.names KEYBOARD.report[3][1] KEYBOARD.kbd_r4[1] +.names kbd_report[3][1] KEYBOARD.kbd_r4[1] 1 1 -.names KEYBOARD.report[3][2] KEYBOARD.kbd_r4[2] +.names kbd_report[3][2] KEYBOARD.kbd_r4[2] 1 1 -.names KEYBOARD.report[3][3] KEYBOARD.kbd_r4[3] +.names kbd_report[3][3] KEYBOARD.kbd_r4[3] 1 1 -.names KEYBOARD.report[3][4] KEYBOARD.kbd_r4[4] +.names kbd_report[3][4] KEYBOARD.kbd_r4[4] 1 1 -.names KEYBOARD.report[3][5] KEYBOARD.kbd_r4[5] +.names kbd_report[3][5] KEYBOARD.kbd_r4[5] 1 1 -.names KEYBOARD.report[3][6] KEYBOARD.kbd_r4[6] +.names kbd_report[4][0] KEYBOARD.kbd_r5[0] 1 1 -.names KEYBOARD.report[3][7] KEYBOARD.kbd_r4[7] +.names kbd_report[4][1] KEYBOARD.kbd_r5[1] 1 1 -.names KEYBOARD.report[4][0] KEYBOARD.kbd_r5[0] +.names kbd_report[4][2] KEYBOARD.kbd_r5[2] 1 1 -.names KEYBOARD.report[4][1] KEYBOARD.kbd_r5[1] +.names kbd_report[4][3] KEYBOARD.kbd_r5[3] 1 1 -.names KEYBOARD.report[4][2] KEYBOARD.kbd_r5[2] +.names kbd_report[4][4] KEYBOARD.kbd_r5[4] 1 1 -.names KEYBOARD.report[4][3] KEYBOARD.kbd_r5[3] +.names kbd_report[4][5] KEYBOARD.kbd_r5[5] 1 1 -.names KEYBOARD.report[4][4] KEYBOARD.kbd_r5[4] +.names kbd_report[4][6] KEYBOARD.kbd_r5[6] 1 1 -.names KEYBOARD.report[4][5] KEYBOARD.kbd_r5[5] +.names kbd_report[4][7] KEYBOARD.kbd_r5[7] 1 1 -.names KEYBOARD.report[4][6] KEYBOARD.kbd_r5[6] +.names kbd_report[5][0] KEYBOARD.kbd_r6[0] 1 1 -.names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7] +.names kbd_report[5][1] KEYBOARD.kbd_r6[1] 1 1 -.names KEYBOARD.report[5][0] KEYBOARD.kbd_r6[0] +.names kbd_report[5][2] KEYBOARD.kbd_r6[2] 1 1 -.names KEYBOARD.report[5][1] KEYBOARD.kbd_r6[1] +.names kbd_report[5][3] KEYBOARD.kbd_r6[3] 1 1 -.names KEYBOARD.report[5][2] KEYBOARD.kbd_r6[2] +.names kbd_report[5][4] KEYBOARD.kbd_r6[4] 1 1 -.names KEYBOARD.report[5][3] KEYBOARD.kbd_r6[3] +.names kbd_report[5][5] KEYBOARD.kbd_r6[5] 1 1 -.names KEYBOARD.report[5][4] KEYBOARD.kbd_r6[4] +.names kbd_report[5][6] KEYBOARD.kbd_r6[6] 1 1 -.names KEYBOARD.report[5][5] KEYBOARD.kbd_r6[5] +.names kbd_report[5][7] KEYBOARD.kbd_r6[7] 1 1 -.names KEYBOARD.report[5][6] KEYBOARD.kbd_r6[6] +.names kbd_report[6][0] KEYBOARD.kbd_r7[0] 1 1 -.names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7] +.names kbd_report[6][1] KEYBOARD.kbd_r7[1] 1 1 -.names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0] +.names kbd_report[6][2] KEYBOARD.kbd_r7[2] 1 1 -.names KEYBOARD.report[6][1] KEYBOARD.kbd_r7[1] +.names kbd_report[6][3] KEYBOARD.kbd_r7[3] 1 1 -.names KEYBOARD.report[6][2] KEYBOARD.kbd_r7[2] +.names kbd_report[6][4] KEYBOARD.kbd_r7[4] 1 1 -.names KEYBOARD.report[6][3] KEYBOARD.kbd_r7[3] +.names kbd_report[6][5] KEYBOARD.kbd_r7[5] 1 1 -.names KEYBOARD.report[6][4] KEYBOARD.kbd_r7[4] +.names kbd_report[6][6] KEYBOARD.kbd_r7[6] 1 1 -.names KEYBOARD.report[6][5] KEYBOARD.kbd_r7[5] -1 1 -.names KEYBOARD.report[6][6] KEYBOARD.kbd_r7[6] -1 1 -.names KEYBOARD.report[6][7] KEYBOARD.kbd_r7[7] +.names kbd_report[6][7] KEYBOARD.kbd_r7[7] 1 1 .names $undef KEYBOARD.ram_adr[8] 1 1 @@ -4297,79 +3185,55 @@ 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 -.names I2C_TRANS LED5 -1 1 -.names CLK REPORT_DATA.clk -1 1 -.names report_data_radr[0] REPORT_DATA.raddr[0] -1 1 -.names report_data_radr[1] REPORT_DATA.raddr[1] -1 1 -.names report_data_radr[2] REPORT_DATA.raddr[2] -1 1 -.names report_data_radr[3] REPORT_DATA.raddr[3] +.names kbd_report[3][0] KEYBOARD.report[3][0] 1 1 -.names report_data_radr[4] REPORT_DATA.raddr[4] +.names kbd_report[3][1] KEYBOARD.report[3][1] 1 1 -.names report_data_radr[5] REPORT_DATA.raddr[5] +.names kbd_report[3][2] KEYBOARD.report[3][2] 1 1 -.names report_data_radr[6] REPORT_DATA.raddr[6] +.names kbd_report[3][3] KEYBOARD.report[3][3] 1 1 -.names report_data_radr[7] REPORT_DATA.raddr[7] +.names kbd_report[3][4] KEYBOARD.report[3][4] 1 1 -.names $false REPORT_DATA.raddr[8] +.names kbd_report[3][5] KEYBOARD.report[3][5] 1 1 -.names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] +.names kbd_report[4][0] KEYBOARD.report[4][0] 1 1 -.names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] +.names kbd_report[4][1] KEYBOARD.report[4][1] 1 1 -.names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] +.names kbd_report[4][2] KEYBOARD.report[4][2] 1 1 -.names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] +.names kbd_report[4][3] KEYBOARD.report[4][3] 1 1 -.names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] +.names kbd_report[4][4] KEYBOARD.report[4][4] 1 1 -.names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] +.names kbd_report[4][5] KEYBOARD.report[4][5] 1 1 -.names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] +.names kbd_report[5][0] KEYBOARD.report[5][0] 1 1 -.names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] +.names kbd_report[5][1] KEYBOARD.report[5][1] 1 1 -.names report_data_wadr[0] REPORT_DATA.waddr[0] +.names kbd_report[5][2] KEYBOARD.report[5][2] 1 1 -.names report_data_wadr[1] REPORT_DATA.waddr[1] +.names kbd_report[5][3] KEYBOARD.report[5][3] 1 1 -.names report_data_wadr[2] REPORT_DATA.waddr[2] +.names kbd_report[5][4] KEYBOARD.report[5][4] 1 1 -.names report_data_wadr[3] REPORT_DATA.waddr[3] +.names kbd_report[5][5] KEYBOARD.report[5][5] 1 1 -.names report_data_wadr[4] REPORT_DATA.waddr[4] +.names kbd_report[6][0] KEYBOARD.report[6][0] 1 1 -.names report_data_wadr[5] REPORT_DATA.waddr[5] +.names kbd_report[6][1] KEYBOARD.report[6][1] 1 1 -.names report_data_wadr[6] REPORT_DATA.waddr[6] +.names kbd_report[6][2] KEYBOARD.report[6][2] 1 1 -.names report_data_wadr[7] REPORT_DATA.waddr[7] +.names kbd_report[6][3] KEYBOARD.report[6][3] 1 1 -.names $false REPORT_DATA.waddr[8] +.names kbd_report[6][4] KEYBOARD.report[6][4] 1 1 -.names report_data_wr[0] REPORT_DATA.wdata[0] +.names kbd_report[6][5] KEYBOARD.report[6][5] 1 1 -.names report_data_wr[1] REPORT_DATA.wdata[1] -1 1 -.names report_data_wr[2] REPORT_DATA.wdata[2] -1 1 -.names report_data_wr[3] REPORT_DATA.wdata[3] -1 1 -.names report_data_wr[4] REPORT_DATA.wdata[4] -1 1 -.names report_data_wr[5] REPORT_DATA.wdata[5] -1 1 -.names report_data_wr[6] REPORT_DATA.wdata[6] -1 1 -.names report_data_wr[7] REPORT_DATA.wdata[7] -1 1 -.names report_wr_en REPORT_DATA.wen +.names I2C_TRANS LED5 1 1 .names CLK UART.CLK 1 1 @@ -4449,86 +3313,6 @@ 1 1 .names KEYBOARD.report[2][7] kbd_report[2][7] 1 1 -.names KEYBOARD.report[3][0] kbd_report[3][0] -1 1 -.names KEYBOARD.report[3][1] kbd_report[3][1] -1 1 -.names KEYBOARD.report[3][2] kbd_report[3][2] -1 1 -.names KEYBOARD.report[3][3] kbd_report[3][3] -1 1 -.names KEYBOARD.report[3][4] kbd_report[3][4] -1 1 -.names KEYBOARD.report[3][5] kbd_report[3][5] -1 1 -.names KEYBOARD.report[3][6] kbd_report[3][6] -1 1 -.names KEYBOARD.report[3][7] kbd_report[3][7] -1 1 -.names KEYBOARD.report[4][0] kbd_report[4][0] -1 1 -.names KEYBOARD.report[4][1] kbd_report[4][1] -1 1 -.names KEYBOARD.report[4][2] kbd_report[4][2] -1 1 -.names KEYBOARD.report[4][3] kbd_report[4][3] -1 1 -.names KEYBOARD.report[4][4] kbd_report[4][4] -1 1 -.names KEYBOARD.report[4][5] kbd_report[4][5] -1 1 -.names KEYBOARD.report[4][6] kbd_report[4][6] -1 1 -.names KEYBOARD.report[4][7] kbd_report[4][7] -1 1 -.names KEYBOARD.report[5][0] kbd_report[5][0] -1 1 -.names KEYBOARD.report[5][1] kbd_report[5][1] -1 1 -.names KEYBOARD.report[5][2] kbd_report[5][2] -1 1 -.names KEYBOARD.report[5][3] kbd_report[5][3] -1 1 -.names KEYBOARD.report[5][4] kbd_report[5][4] -1 1 -.names KEYBOARD.report[5][5] kbd_report[5][5] -1 1 -.names KEYBOARD.report[5][6] kbd_report[5][6] -1 1 -.names KEYBOARD.report[5][7] kbd_report[5][7] -1 1 -.names KEYBOARD.report[6][0] kbd_report[6][0] -1 1 -.names KEYBOARD.report[6][1] kbd_report[6][1] -1 1 -.names KEYBOARD.report[6][2] kbd_report[6][2] -1 1 -.names KEYBOARD.report[6][3] kbd_report[6][3] -1 1 -.names KEYBOARD.report[6][4] kbd_report[6][4] -1 1 -.names KEYBOARD.report[6][5] kbd_report[6][5] -1 1 -.names KEYBOARD.report[6][6] kbd_report[6][6] -1 1 -.names KEYBOARD.report[6][7] kbd_report[6][7] -1 1 -.names REPORT_DATA.r_data[0] report_data_rd[0] -1 1 -.names REPORT_DATA.r_data[1] report_data_rd[1] -1 1 -.names REPORT_DATA.r_data[2] report_data_rd[2] -1 1 -.names REPORT_DATA.r_data[3] report_data_rd[3] -1 1 -.names REPORT_DATA.r_data[4] report_data_rd[4] -1 1 -.names REPORT_DATA.r_data[5] report_data_rd[5] -1 1 -.names REPORT_DATA.r_data[6] report_data_rd[6] -1 1 -.names REPORT_DATA.r_data[7] report_data_rd[7] -1 1 .names $undef temp_output_report[3] 1 1 .names $undef temp_output_report[4] diff --git a/i2c_keyboard/i2c_kbd_alt.ex b/i2c_keyboard/i2c_kbd_alt.ex index 7094b84..896ee66 100644 --- a/i2c_keyboard/i2c_kbd_alt.ex +++ b/i2c_keyboard/i2c_kbd_alt.ex @@ -8,23 +8,18 @@ IOB_0 PINTYPE_5 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 -buffer local_g0_5 io_0/OUT_ENB -buffer span4_horz_r_13 local_g0_5 +buffer local_g0_3 io_0/OUT_ENB +buffer span4_horz_r_11 local_g0_3 .io_tile 9 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_0/D_IN_0 span4_horz_r_0 +buffer io_0/D_IN_0 span4_vert_16 buffer io_1/D_IN_0 span4_vert_12 -routing span4_vert_31 span4_vert_7 - -.io_tile 13 13 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_37 span4_vert_b_2 -routing span4_horz_43 span4_vert_b_3 +routing span4_horz_l_12 span4_vert_25 +routing span4_horz_r_2 span4_vert_13 .io_tile 10 17 IOB_0 PINTYPE_0 @@ -38,14 +33,11 @@ IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 buffer local_g0_1 io_0/OUT_ENB -buffer local_g1_3 io_1/OUT_ENB -buffer span4_horz_r_3 local_g1_3 -buffer span4_vert_1 local_g0_1 - -.io_tile 3 0 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_vert_31 span4_vert_7 +buffer local_g0_4 io_1/OUT_ENB +buffer span4_vert_12 local_g0_4 +buffer span4_vert_41 local_g0_1 +routing span4_vert_19 span4_vert_43 +routing span4_vert_43 span4_horz_r_3 .io_tile 0 14 IOB_0 PINTYPE_0 @@ -58,10 +50,10 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g1_6 io_1/D_OUT_0 -buffer local_g1_7 io_0/D_OUT_0 -buffer logic_op_bnr_7 local_g1_7 -buffer span12_horz_14 local_g1_6 +buffer local_g0_1 io_1/D_OUT_0 +buffer local_g0_2 io_0/D_OUT_0 +buffer span4_horz_2 local_g0_2 +buffer span4_vert_b_9 local_g0_1 .io_tile 13 4 IOB_0 PINTYPE_0 @@ -74,26 +66,23 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 +buffer local_g0_2 io_1/OUT_ENB buffer local_g1_0 io_0/OUT_ENB -buffer local_g1_5 io_1/OUT_ENB -buffer span12_horz_0 local_g1_0 -buffer span4_vert_b_13 local_g1_5 -routing span4_horz_19 span4_horz_43 -routing span4_horz_31 span4_horz_7 -routing span4_vert_b_0 span4_horz_1 +buffer logic_op_lft_0 local_g1_0 +buffer logic_op_lft_2 local_g0_2 +routing span4_vert_t_14 span4_vert_b_2 .io_tile 8 0 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_1 -buffer io_1/D_IN_0 span4_horz_r_2 -routing span4_horz_l_12 span4_horz_r_0 +routing span4_horz_l_12 span4_vert_1 +routing span4_vert_19 span4_horz_l_15 .io_tile 0 7 IoCtrl IE_0 IoCtrl IE_1 -routing span4_horz_1 span4_horz_25 -routing span4_horz_7 span4_horz_31 +routing span4_horz_43 span4_horz_19 .io_tile 13 8 IOB_0 PINTYPE_0 @@ -101,25 +90,26 @@ IOB_0 PINTYPE_3 IOB_0 PINTYPE_5 IoCtrl IE_1 IoCtrl REN_0 -buffer io_0/D_IN_0 span4_vert_b_12 -buffer local_g0_3 fabout -buffer local_g0_5 io_0/OUT_ENB -buffer logic_op_lft_3 local_g0_3 -buffer span4_horz_29 local_g0_5 +buffer io_0/D_IN_0 span4_horz_24 +buffer local_g0_1 io_0/OUT_ENB +buffer local_g0_5 fabout +buffer span4_horz_1 local_g0_1 +buffer span4_horz_37 local_g0_5 .io_tile 6 17 IoCtrl IE_0 IoCtrl IE_1 buffer local_g0_1 fabout -buffer span12_vert_9 local_g0_1 +buffer logic_op_bot_1 local_g0_1 .io_tile 4 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_0/D_IN_0 span4_horz_r_4 -buffer io_1/D_IN_0 span4_vert_4 +buffer io_0/D_IN_0 span4_horz_r_0 +buffer io_1/D_IN_0 span4_vert_12 +routing span4_horz_r_3 span4_horz_l_15 .io_tile 13 12 IOB_0 PINTYPE_0 @@ -130,15 +120,16 @@ IOB_1 PINTYPE_3 IOB_1 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 -buffer local_g0_0 io_0/D_OUT_0 -buffer local_g0_1 io_1/D_OUT_0 -buffer logic_op_tnl_1 local_g0_1 -buffer span12_horz_16 local_g0_0 +buffer local_g1_1 io_0/D_OUT_0 +buffer local_g1_2 io_1/D_OUT_0 +buffer logic_op_bnl_1 local_g1_1 +buffer logic_op_lft_2 local_g1_2 .io_tile 0 15 IoCtrl IE_0 IoCtrl IE_1 -routing span4_horz_7 span4_horz_31 +routing span4_horz_31 span4_horz_7 +routing span4_vert_b_0 span4_vert_t_12 .io_tile 13 3 IOB_1 PINTYPE_0 @@ -147,18 +138,14 @@ IOB_1 PINTYPE_5 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_0 io_1/OUT_ENB -buffer span4_horz_24 local_g0_0 -routing span4_horz_1 span4_vert_b_0 -routing span4_vert_t_12 span4_horz_25 -routing span4_vert_t_14 span4_horz_13 +buffer local_g1_5 io_1/OUT_ENB +buffer logic_op_tnl_5 local_g1_5 .io_tile 0 11 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 -routing span4_horz_1 span4_horz_25 routing span4_horz_1 span4_vert_t_12 .io_tile 13 7 @@ -169,12 +156,9 @@ IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_1/D_IN_0 span4_vert_b_2 -buffer local_g1_3 io_0/D_OUT_0 -buffer span4_vert_b_11 local_g1_3 -routing span4_horz_19 span4_vert_t_15 -routing span4_horz_7 span4_vert_b_1 -routing span4_vert_t_12 span4_vert_b_0 +buffer io_1/D_IN_0 span4_vert_b_6 +buffer local_g0_2 io_0/D_OUT_0 +buffer span4_horz_42 local_g0_2 .io_tile 12 17 IOB_0 PINTYPE_0 @@ -188,29 +172,23 @@ IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 buffer local_g0_2 io_1/OUT_ENB -buffer local_g1_0 io_0/OUT_ENB -buffer span4_vert_0 local_g1_0 -buffer span4_vert_2 local_g0_2 - -.io_tile 4 17 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_vert_13 span4_horz_r_2 +buffer local_g0_7 io_0/OUT_ENB +buffer logic_op_bot_7 local_g0_7 +buffer span12_vert_10 local_g0_2 .io_tile 5 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_0/D_IN_0 span4_horz_r_4 -buffer io_1/D_IN_0 span4_vert_4 +buffer io_0/D_IN_0 span4_horz_r_0 +buffer io_1/D_IN_0 span4_vert_12 routing span4_vert_25 span4_horz_l_12 -routing span4_vert_37 span4_vert_13 -.io_tile 10 0 +.io_tile 0 4 IoCtrl IE_0 IoCtrl IE_1 -routing span4_horz_r_0 span4_horz_l_12 +routing span4_horz_19 span4_vert_b_3 .io_tile 9 17 IOB_0 PINTYPE_0 @@ -223,10 +201,10 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_3 io_0/OUT_ENB -buffer local_g1_3 io_1/OUT_ENB -buffer span4_horz_r_11 local_g0_3 -buffer span4_vert_35 local_g1_3 +buffer local_g0_2 io_1/OUT_ENB +buffer local_g1_0 io_0/OUT_ENB +buffer span12_vert_0 local_g1_0 +buffer span4_vert_26 local_g0_2 .io_tile 13 11 IOB_0 PINTYPE_0 @@ -239,23 +217,21 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_2 io_0/D_OUT_0 -buffer local_g1_2 io_1/D_OUT_0 -buffer span12_horz_18 local_g1_2 -buffer span4_horz_26 local_g0_2 +buffer local_g0_3 io_1/D_OUT_0 +buffer local_g0_6 io_0/D_OUT_0 +buffer logic_op_lft_3 local_g0_3 +buffer logic_op_lft_6 local_g0_6 .io_tile 13 15 IoCtrl IE_0 IoCtrl IE_1 -routing span4_horz_19 span4_vert_t_15 +routing span4_vert_t_15 span4_horz_43 .io_tile 6 0 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_1 fabout -buffer span4_vert_25 local_g0_1 -routing span4_horz_r_0 span4_vert_1 -routing span4_vert_31 span4_vert_7 +buffer local_g1_0 fabout +buffer span4_vert_8 local_g1_0 .io_tile 0 12 IOB_0 PINTYPE_0 @@ -264,24 +240,9 @@ IOB_0 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_2 io_0/D_OUT_0 -buffer span4_horz_2 local_g0_2 - -.io_tile 11 0 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_l_12 span4_vert_25 -routing span4_horz_l_14 span4_vert_13 - -.io_tile 0 5 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_19 span4_horz_43 - -.io_tile 8 17 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_vert_7 span4_vert_31 +buffer local_g0_6 io_0/D_OUT_0 +buffer span12_horz_14 local_g0_6 +routing span4_horz_7 span4_vert_t_13 .io_tile 13 6 IOB_0 PINTYPE_0 @@ -294,10 +255,10 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_3 io_0/OUT_ENB -buffer local_g0_4 io_1/OUT_ENB -buffer logic_op_lft_3 local_g0_3 -buffer span4_horz_44 local_g0_4 +buffer local_g0_5 io_0/OUT_ENB +buffer local_g1_7 io_1/OUT_ENB +buffer logic_op_lft_5 local_g0_5 +buffer logic_op_lft_7 local_g1_7 .io_tile 1 0 IOB_0 PINTYPE_0 @@ -311,23 +272,30 @@ IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 buffer local_g0_0 io_1/OUT_ENB -buffer local_g1_4 io_0/OUT_ENB +buffer local_g0_5 io_0/OUT_ENB buffer span4_horz_r_0 local_g0_0 -buffer span4_vert_20 local_g1_4 +buffer span4_vert_13 local_g0_5 +routing span4_horz_l_15 span4_vert_43 .io_tile 0 8 IOB_1 PINTYPE_0 IoCtrl IE_1 IoCtrl REN_0 buffer io_1/D_IN_0 span4_vert_b_6 -buffer local_g0_5 fabout -buffer span4_vert_b_5 local_g0_5 +buffer local_g0_3 fabout +buffer span4_vert_b_11 local_g0_3 .io_tile 13 10 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 +routing span4_horz_13 span4_horz_37 + +.io_tile 2 17 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_l_12 span4_vert_1 .io_tile 11 17 IOB_0 PINTYPE_0 @@ -340,26 +308,17 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_1 io_0/OUT_ENB -buffer local_g0_6 io_1/OUT_ENB -buffer span4_vert_25 local_g0_1 -buffer span4_vert_46 local_g0_6 -routing span4_vert_19 span4_horz_l_15 +buffer local_g0_5 io_0/OUT_ENB +buffer local_g1_1 io_1/OUT_ENB +buffer span12_vert_9 local_g1_1 +buffer span4_vert_37 local_g0_5 .io_tile 7 0 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_1 -buffer io_1/D_IN_0 span4_horz_r_2 -buffer local_g1_4 fabout -buffer span4_vert_20 local_g1_4 -routing span4_horz_l_12 span4_horz_r_0 - -.io_tile 12 0 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_l_12 span4_vert_25 -routing span4_horz_l_14 span4_vert_13 +buffer local_g1_0 fabout +buffer span4_vert_32 local_g1_0 .io_tile 0 13 IOB_0 PINTYPE_0 @@ -370,21 +329,23 @@ IoCtrl IE_1 IoCtrl REN_1 buffer local_g0_0 io_0/D_OUT_0 buffer span4_vert_b_8 local_g0_0 -routing span4_horz_7 span4_vert_b_1 + +.io_tile 0 6 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_19 span4_vert_t_15 .io_tile 7 17 IoCtrl IE_0 IoCtrl IE_1 -buffer local_g1_6 fabout -buffer span4_horz_r_14 local_g1_6 +buffer local_g0_1 fabout +buffer span12_vert_17 local_g0_1 .io_tile 0 9 IoCtrl IE_0 IoCtrl IE_1 buffer local_g1_2 fabout buffer span4_vert_b_2 local_g1_2 -routing span4_horz_1 span4_horz_25 -routing span4_vert_t_13 span4_vert_b_1 .io_tile 13 9 IOB_1 PINTYPE_0 @@ -393,2352 +354,2092 @@ IOB_1 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_1 fabout -buffer local_g0_3 io_1/D_OUT_0 -buffer logic_op_lft_1 local_g0_1 -buffer span4_vert_b_11 local_g0_3 -routing span4_horz_19 span4_horz_43 -routing span4_vert_t_14 span4_horz_13 -routing span4_vert_t_15 span4_vert_b_3 - -.io_tile 0 2 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_7 span4_vert_b_1 +buffer local_g1_4 io_1/D_OUT_0 +buffer local_g1_6 fabout +buffer logic_op_lft_6 local_g1_6 +buffer span4_horz_28 local_g1_4 .logic_tile 7 3 -LC_0 1111001101010101 0000 -LC_1 1101000000000000 0000 -LC_2 1000001001000001 0000 -LC_3 0011010100000000 0000 -LC_4 0110100110010110 0000 -LC_5 0100000000000000 0000 -LC_6 0000011100000000 0000 -LC_7 0000000000000001 0000 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_7/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_5 lutff_2/in_3 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_5 lutff_0/in_2 -buffer lutff_0/out local_g1_0 -buffer lutff_2/out local_g0_2 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnr_6 local_g1_6 -buffer neigh_op_rgt_0 local_g2_0 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_v_b_9 local_g3_1 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_12 local_g1_4 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_6 local_g0_6 -routing sp4_h_l_36 sp4_h_r_1 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_l_45 sp4_h_r_11 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_h_l_46 sp4_v_b_11 -routing sp4_h_r_0 sp4_h_l_38 -routing sp4_h_r_2 sp4_h_l_47 -routing sp4_h_r_5 sp4_h_l_36 -routing sp4_v_b_0 sp4_v_t_37 -routing sp4_v_b_11 sp4_h_l_41 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_b_9 sp4_h_r_9 -routing sp4_v_t_38 sp4_v_b_6 -routing sp4_v_t_43 sp4_h_l_43 - -.logic_tile 6 9 -LC_0 1010001100000000 0000 -LC_1 0000100000000000 0000 -LC_2 1010110000000000 0000 -LC_3 1011000000000000 0000 -LC_4 0000010000000000 0000 -LC_5 1010110000000000 0000 -LC_6 0101110000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_1 lutff_global/cen +LC_0 1101000000000000 0000 +LC_1 0000000010111010 0000 +LC_2 0001000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1011101000000000 0000 +LC_5 1000000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 0001000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_5/in_2 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_2/in_1 buffer local_g1_5 lutff_5/in_1 buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_4/in_2 -buffer local_g2_5 lutff_1/in_0 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_3 lutff_2/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out local_g1_2 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_4 lutff_0/in_2 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_7 lutff_0/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_2/out sp4_v_b_20 buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_tnl_5 local_g2_5 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_17 local_g3_1 -buffer sp4_h_r_35 local_g2_3 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_h_r_8 local_g0_0 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_6 local_g1_6 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_12 local_g1_4 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_32 local_g2_0 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_r_0 sp4_v_t_37 -routing sp4_v_b_8 sp4_v_t_45 - -.logic_tile 1 3 -LC_1 0110100110010110 0000 -LC_2 0011110010101010 0100 DffEnable -LC_3 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_6 lutff_2/in_0 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_2 lutff_2/in_3 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_5 lutff_1/in_1 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_tnr_6 local_g2_6 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g3_7 buffer neigh_op_top_4 local_g1_4 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_41 local_g3_1 -buffer sp4_v_b_45 local_g3_5 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_6 sp4_h_r_6 - -.logic_tile 12 1 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000011111111 0000 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_7 lutff_4/in_1 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_4 lutff_2/in_1 -buffer lutff_4/cout lutff_5/in_3 -buffer sp12_v_b_12 local_g2_4 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_h_r_18 local_g0_2 +buffer sp12_h_r_10 local_g0_2 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_20 local_g0_4 buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_24 local_g2_0 buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_36 local_g3_4 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_v_t_37 sp4_h_l_37 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_h_l_43 sp4_v_t_46 -.logic_tile 11 11 -LC_0 1000000000000000 0000 -LC_1 0000000100000000 0000 -LC_2 0110100110010110 0000 -LC_3 0000101100000000 0000 +.logic_tile 6 9 +LC_0 0000101100000000 0000 +LC_1 0000101100000000 0000 +LC_2 0000101100000000 0000 +LC_3 0000001000000000 0000 LC_4 0110100110010110 0000 +LC_5 0001000000000000 0000 +LC_6 0000000011010101 0100 DffEnable +LC_7 0000000011010101 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_4 lutff_global/s_r +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_7 lutff_7/in_3 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_v_b_28 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_1 local_g1_1 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_2 local_g1_2 +buffer sp12_h_r_3 local_g1_3 +buffer sp12_v_b_11 local_g3_3 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_31 local_g3_7 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_6 local_g0_6 +buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_v_b_10 sp4_v_t_47 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_7 sp4_h_l_37 +routing sp4_v_t_37 sp4_h_l_43 +routing sp4_v_t_42 sp4_h_l_36 + +.logic_tile 1 3 LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 LC_7 0100000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_4 lutff_2/in_3 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_7/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_5/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer lutff_7/out sp4_r_v_b_31 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_19 local_g0_3 + +.logic_tile 11 11 +LC_3 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk buffer local_g2_2 lutff_global/cen -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_2 lutff_4/in_3 -buffer local_g3_5 lutff_0/in_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_tnr_7 local_g2_7 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_4 local_g1_4 -buffer sp12_v_b_21 local_g2_5 -buffer sp12_v_b_21 local_g3_5 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_v_b_0 local_g0_0 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_47 sp4_h_r_1 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_v_b_3 sp4_h_l_45 +buffer local_g2_5 lutff_3/in_0 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_3/out sp4_v_b_38 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_r_v_b_37 local_g2_5 +routing sp4_v_t_41 sp4_h_l_41 .logic_tile 4 8 -LC_0 1111001011111111 0000 -LC_1 1010110000000000 0000 -LC_2 0000000010111111 0000 -LC_3 0001000000000000 0000 -LC_4 0101110000000000 0000 -LC_5 1010001100000000 0000 -LC_6 0000000000001011 0000 -LC_7 0100010011110000 0100 DffEnable +LC_0 0000000011010101 0000 +LC_1 0000101100000000 0000 +LC_2 1100101000000000 0000 +LC_3 0000001000000000 0000 +LC_4 0000101100000000 0000 +LC_5 0000000011010101 0000 +LC_6 0101110000000000 0100 DffEnable +LC_7 0101110000000000 0100 DffEnable buffer glb2local_0 local_g0_4 -buffer glb_netwk_1 glb2local_0 -buffer glb_netwk_1 lutff_global/cen +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_1 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_4/in_0 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_2 lutff_1/in_1 buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_0 lutff_2/in_3 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_3/in_3 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_6/in_0 -buffer local_g1_7 lutff_7/in_3 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_0 lutff_6/in_3 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_6 lutff_7/in_0 -buffer lutff_0/out local_g3_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out local_g3_1 -buffer lutff_2/out local_g3_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_r_v_b_31 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_10 local_g1_2 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_5/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_0/in_3 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_6 lutff_7/in_0 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_tnr_1 local_g2_1 +buffer neigh_op_tnr_1 local_g3_1 +buffer sp12_v_b_11 sp4_v_b_17 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_22 local_g0_6 buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_38 local_g3_6 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_h_r_1 sp4_v_b_6 -routing sp4_h_r_6 sp4_v_t_43 -routing sp4_v_b_6 sp4_v_t_39 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_0 local_g1_0 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_5 sp4_v_b_5 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_t_41 sp4_v_b_4 .logic_tile 7 12 -CarryInSet -ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_6 0100000000000000 0100 DffEnable +ColBufCtrl glb_netwk_5 +LC_0 1111111101000101 0000 +LC_1 0001000000000000 0000 +LC_4 0000101100000000 0000 +LC_5 1110000000000000 0000 LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_0 glb2local_1 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_7/in_0 -buffer local_g1_1 lutff_2/in_2 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_4 lutff_1/in_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_r_v_b_25 -buffer neigh_op_bot_1 local_g1_1 -buffer sp12_v_b_10 local_g3_2 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_2 local_g0_2 -buffer sp4_h_r_3 local_g0_3 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_35 local_g0_0 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_3 sp4_h_l_38 -routing sp4_v_b_8 sp4_h_r_2 +buffer glb_netwk_5 glb2local_3 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_1/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_6 lutff_0/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_6 lutff_5/in_2 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_tnl_3 local_g2_3 +buffer neigh_op_tnr_6 local_g3_6 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_18 local_g0_2 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_t_42 sp4_v_b_3 .logic_tile 2 8 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_5 lutff_2/in_2 +LC_2 0110100110010110 1100 CarryEnable DffEnable +LC_3 0110100110010110 1100 CarryEnable DffEnable +LC_4 0110100110010110 1100 CarryEnable DffEnable +LC_5 0110100110010110 1100 CarryEnable DffEnable +LC_6 0110100110010110 1100 CarryEnable DffEnable +LC_7 0110100110010110 1100 CarryEnable DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/s_r +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_5 lutff_0/in_1 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_h_r_4 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp12_v_b_6 buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_h_r_24 buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_v_b_26 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_v_b_10 buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_v_b_14 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_7 local_g0_7 -routing sp12_h_r_1 sp12_h_l_22 -routing sp4_h_r_0 sp4_v_b_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_v_b_46 +buffer sp4_v_b_45 local_g2_5 +buffer sp4_v_b_6 local_g1_6 routing sp4_h_r_3 sp4_v_b_3 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_h_r_7 sp4_v_b_0 -routing sp4_v_t_47 sp4_v_b_1 -routing sp4_v_t_47 sp4_v_b_6 .logic_tile 9 8 -LC_0 0001111000000000 0000 -LC_2 0000001000000000 0000 -LC_3 0010000000000000 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable +LC_0 0001000000000000 0000 +LC_1 0000000011111000 0000 +LC_2 1110000000000000 0000 +LC_3 0001000011111100 0000 +LC_4 0000000001100000 0000 +LC_5 0000010011111100 0000 +LC_6 1110000000000000 0000 +LC_7 0000111000000000 0000 buffer glb2local_0 local_g0_4 -buffer glb_netwk_0 glb2local_0 -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_3/in_0 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_5 glb2local_3 +buffer glb_netwk_6 glb2local_0 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_3 lutff_6/in_1 buffer local_g0_4 lutff_0/in_0 -buffer local_g0_6 lutff_0/in_2 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_3/in_1 -buffer local_g3_3 lutff_2/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out sp4_h_r_42 -buffer neigh_op_bnr_3 local_g1_3 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_18 local_g1_2 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_27 local_g0_3 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_6 lutff_3/in_0 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g2_2 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_v_b_14 local_g2_6 +buffer sp12_v_b_6 local_g3_6 +buffer sp4_h_r_9 local_g0_1 buffer sp4_v_b_18 local_g0_2 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_v_b_3 sp4_v_t_46 -routing sp4_v_t_47 sp4_h_l_47 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_36 local_g2_4 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_43 sp4_h_r_9 +routing sp4_v_t_41 sp4_h_l_47 .logic_tile 12 12 -CarryInSet -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_7 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_3 lutff_0/in_1 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_5 lutff_1/in_2 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0110100110010110 0000 +LC_1 0110100110010110 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_5 1101111100000000 0100 DffEnable +LC_7 1101111100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_0/in_3 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_2/in_0 buffer local_g3_5 lutff_1/in_1 -buffer local_g3_6 lutff_3/in_2 -buffer lutff_6/cout lutff_7/in_3 -buffer neigh_op_bnl_0 local_g2_0 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_tnl_5 local_g2_5 -buffer sp12_h_r_23 local_g1_7 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_5 local_g3_5 -buffer sp4_v_b_14 local_g1_6 -routing sp4_h_l_37 sp4_v_b_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g0_1 +buffer lutff_5/out local_g3_5 +buffer lutff_7/out local_g0_7 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_4 local_g1_4 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_26 local_g2_2 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_v_t_37 sp4_h_l_37 .logic_tile 5 16 -LC_1 0110100110010110 0000 -LC_2 0001000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0101110100000000 0100 DffEnable -LC_7 0010000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_2 glb2local_0 +LC_0 1000001001000001 0000 +LC_1 1000001001000001 0000 +LC_2 1000000000000000 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_3 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_4 lutff_2/in_3 buffer local_g1_5 lutff_2/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_7 lutff_1/in_2 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_h_r_12 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_5 lutff_6/in_0 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp4_v_b_40 buffer lutff_5/out local_g0_5 -buffer lutff_7/out local_g2_7 -buffer neigh_op_bnr_3 local_g1_3 -buffer neigh_op_rgt_3 local_g2_3 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_v_b_7 sp4_h_l_37 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_v_b_14 +buffer sp12_v_b_6 local_g3_6 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_v_b_45 local_g2_5 +buffer sp4_v_b_45 local_g3_5 +routing sp4_h_r_2 sp4_v_b_2 .logic_tile 5 13 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 -LC_0 0001000000000000 0000 -LC_1 0000000100000000 0000 -LC_2 0100000000000000 0000 -LC_3 0001000000000000 0000 -LC_5 0000000100000000 0000 -LC_6 0101001100000000 0110 DffEnable Set_NoReset +LC_0 1110111011100000 0000 +LC_1 0000010000000000 0000 +LC_2 0000000100000000 0000 +LC_3 1110111011100000 0000 +LC_4 1110111011100000 0000 +LC_5 1110111011100000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_3/in_0 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_5/in_1 buffer local_g0_7 lutff_1/in_0 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_5 lutff_0/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_5 lutff_2/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_5 lutff_5/in_1 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_h_r_28 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnr_4 local_g0_4 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_29 local_g2_5 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_13 local_g1_5 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_4 lutff_4/in_2 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_7 lutff_4/in_1 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_4/out local_g1_4 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_rgt_7 local_g2_7 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_v_b_13 local_g3_5 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_6 local_g1_6 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_36 local_g2_4 buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_43 local_g3_3 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_l_37 sp4_h_r_3 -routing sp4_h_r_0 sp4_h_l_37 -routing sp4_h_r_7 sp4_h_l_47 -routing sp4_v_t_47 sp4_h_r_10 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_32 local_g2_0 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_r_0 sp4_v_b_5 +routing sp4_h_r_2 sp4_h_l_39 +routing sp4_v_b_9 sp4_h_l_44 +routing sp4_v_t_36 sp4_h_r_6 +routing sp4_v_t_38 sp4_v_b_3 .logic_tile 8 10 LC_0 0100000000000000 0000 -LC_1 1000000000000000 0000 -LC_3 1001000000000000 0000 -LC_4 0110100110010110 0000 -LC_5 1000000000000000 0000 -LC_6 0001000000000000 0000 -LC_7 0101111100111111 0100 DffEnable +LC_1 0000000011110001 0000 +LC_2 0000001000000000 0000 +LC_3 0000000100000000 0000 +LC_4 0010000000000010 0000 +LC_5 0000111000000000 0000 +LC_6 0000000011110010 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_6 lutff_6/in_1 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_r_v_b_11 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 glb2local_0 +buffer glb_netwk_5 glb2local_1 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_4 lutff_4/in_2 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_5 lutff_6/in_3 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_3 lutff_global/cen +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out local_g2_5 buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_r_v_b_31 buffer lutff_7/out sp4_v_b_30 -buffer sp12_v_b_14 local_g3_6 -buffer sp12_v_b_23 sp4_v_b_23 -buffer sp4_h_r_39 local_g2_7 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_41 local_g2_1 +buffer neigh_op_bnr_2 local_g1_2 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_rgt_0 local_g3_0 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_33 local_g2_1 buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_43 local_g3_3 -buffer sp4_v_b_5 local_g0_5 -routing sp12_h_r_1 sp12_v_t_22 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_v_t_42 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_38 sp4_h_r_6 routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_h_r_3 sp4_h_l_38 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_h_r_9 sp4_h_l_44 -routing sp4_h_r_9 sp4_v_b_9 -routing sp4_v_b_2 sp4_v_t_47 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_t_36 sp4_v_b_1 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_46 sp4_h_l_46 +routing sp4_h_r_1 sp4_h_l_36 +routing sp4_h_r_8 sp4_h_l_45 +routing sp4_v_t_39 sp4_v_b_2 .logic_tile 6 2 -LC_0 0000000000000001 0000 -LC_1 0000010000000000 0000 -LC_2 0000000000000001 0000 -LC_3 0100000000000000 0100 DffEnable +LC_0 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g3_4 lutff_3/in_0 +buffer sp12_h_r_8 local_g0_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_v_b_15 local_g0_7 + +.logic_tile 1 6 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 0000 LC_4 0100000000000000 0100 DffEnable LC_5 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_1 lutff_global/cen buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_global/s_r -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_0/in_2 -buffer local_g1_0 lutff_0/in_3 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_6 lutff_2/in_1 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_tnr_3 local_g3_3 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_v_b_11 local_g2_3 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_4 local_g0_4 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_42 local_g3_2 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -routing sp4_h_l_45 sp4_h_r_8 -routing sp4_h_l_47 sp4_h_r_1 -routing sp4_h_r_4 sp4_h_l_37 -routing sp4_h_r_4 sp4_v_t_41 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_b_6 sp4_v_t_39 -routing sp4_v_t_41 sp4_h_r_9 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g1_4 lutff_0/in_1 +buffer local_g2_4 lutff_4/in_0 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_4 lutff_7/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_5/out sp12_h_r_2 +buffer sp12_v_b_4 local_g2_4 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_4 local_g1_4 +buffer sp4_r_v_b_16 local_g3_0 -.logic_tile 1 6 -LC_0 1000001000000000 0000 -LC_1 0010000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0101001100000000 0100 DffEnable -LC_4 0101001100000000 0100 DffEnable -LC_5 0101001100000000 0100 DffEnable -LC_6 0101001100000000 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable +.logic_tile 11 14 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 0000 +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_3 lutff_global/cen buffer local_g1_5 lutff_1/in_1 -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_3/in_0 +buffer local_g2_1 lutff_1/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp4_h_r_44 +buffer neigh_op_bnr_5 local_g1_5 +buffer neigh_op_bnr_7 local_g0_7 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_v_b_41 local_g2_1 + +.logic_tile 7 11 +LC_0 1000000000000000 0000 +LC_1 0000000000000111 0000 +LC_2 0000010000000000 0000 +LC_3 0001000000000000 0000 +LC_4 1111111011101111 0000 +LC_5 0100000000000000 0000 +LC_6 1010101010101000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_4/in_2 buffer local_g2_2 lutff_global/cen -buffer local_g2_5 lutff_3/in_2 +buffer local_g2_3 lutff_0/in_1 buffer local_g2_5 lutff_5/in_2 -buffer local_g2_5 lutff_7/in_2 buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_5 lutff_4/in_2 -buffer local_g3_5 lutff_6/in_2 -buffer local_g3_6 lutff_1/in_0 -buffer lutff_0/out sp4_r_v_b_1 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_2 lutff_1/in_0 +buffer local_g3_7 lutff_2/in_2 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out local_g3_2 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_h_r_6 local_g0_6 +buffer sp12_h_r_6 local_g1_6 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_4 local_g1_4 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_46 local_g2_6 +routing sp12_v_b_0 sp12_h_r_0 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_h_r_4 sp4_v_t_41 +routing sp4_v_t_47 sp4_h_l_47 + +.logic_tile 2 5 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0110100110010110 0000 +LC_1 0110100110010110 0000 +LC_2 0110100110010110 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0000010011110111 0100 DffEnable +LC_5 0000010011110111 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_6 lutff_3/in_0 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_4/in_3 +buffer local_g2_2 lutff_1/in_3 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_6 lutff_5/in_2 +buffer lutff_0/out local_g2_0 buffer lutff_1/out local_g2_1 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_4 local_g1_4 -buffer sp12_v_b_14 local_g2_6 -buffer sp12_v_b_14 local_g3_6 -buffer sp12_v_b_16 local_g2_0 -buffer sp12_v_b_21 local_g2_5 -buffer sp12_v_b_21 local_g3_5 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_h_r_3 local_g0_3 -buffer sp4_r_v_b_10 local_g2_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_tnl_2 local_g2_2 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_v_b_18 local_g3_2 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_12 local_g1_4 buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_21 local_g1_5 -routing sp4_v_b_11 sp4_h_r_5 -routing sp4_v_t_45 sp4_h_r_8 -routing sp4_v_t_45 sp4_v_b_11 -routing sp4_v_t_45 sp4_v_b_8 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_38 local_g3_6 +routing sp4_v_b_7 sp4_h_r_7 -.logic_tile 11 14 +.logic_tile 1 11 LC_0 1000000000000000 0000 LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0001000000000000 0000 -LC_6 0100000000000000 0000 -LC_7 0100000000000000 0100 DffEnable +LC_3 1000000000000000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_3/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_5/in_1 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_1/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_7 lutff_0/in_0 buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_0/in_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp12_v_b_14 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_h_r_4 local_g1_4 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_r_v_b_12 local_g2_4 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp4_h_r_42 +buffer neigh_op_bnr_2 local_g1_2 +buffer neigh_op_bot_7 local_g1_7 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_0 local_g1_0 buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_43 local_g2_3 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_b_5 sp4_h_l_40 +buffer sp4_v_b_9 local_g0_1 +routing sp4_v_b_9 sp4_h_r_9 -.logic_tile 7 11 -LC_0 1101000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0000000000010000 0000 -LC_3 0001000000000000 0000 -LC_4 0011000001010101 0100 DffEnable -LC_5 0011000010101010 0100 DffEnable -LC_6 0011000010101010 0100 DffEnable -LC_7 0011000010101010 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_5 lutff_7/in_3 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_2/in_0 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_6 lutff_7/in_1 -buffer local_g2_7 lutff_5/in_2 -buffer local_g2_7 lutff_7/in_2 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_7 lutff_4/in_2 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnr_5 local_g0_5 -buffer neigh_op_lft_5 local_g1_5 -buffer sp12_h_r_2 local_g0_2 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_34 local_g3_2 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -routing sp4_h_l_47 sp4_h_r_1 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_1 sp4_h_l_43 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_t_47 sp4_h_r_10 - -.logic_tile 2 5 -ColBufCtrl glb_netwk_2 +.logic_tile 8 5 +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 -LC_0 1010101000111111 0000 -LC_1 0110100110010110 0000 -LC_3 1010101000111111 0000 -LC_4 0010000000000000 0000 -LC_5 0101110000000000 0100 DffEnable -LC_6 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable +ColBufCtrl glb_netwk_5 +LC_0 0110100110010110 0000 +LC_1 0101001100000000 0000 +LC_2 0101001100000000 0000 +LC_3 1110111011100000 0000 +LC_4 0110100110010110 0000 +LC_5 1100000010100000 0000 +LC_7 0011010100000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_7 lutff_5/in_2 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_0/in_3 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_7/in_0 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_4 lutff_0/in_1 +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_2/in_1 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_3 lutff_1/in_3 +buffer local_g3_4 lutff_7/in_0 buffer local_g3_5 lutff_1/in_1 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_6/out sp4_r_v_b_13 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnl_6 local_g3_6 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_13 local_g0_5 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_29 local_g3_5 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_33 local_g3_1 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_44 local_g3_4 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_v_b_7 sp4_h_r_7 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_t_44 sp4_v_b_5 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_rgt_0 local_g3_0 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_17 local_g1_1 +buffer sp12_h_r_3 local_g1_3 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_37 local_g3_5 +routing sp12_h_l_23 sp12_h_r_0 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_v_b_7 sp4_v_t_42 +routing sp4_v_t_43 sp4_v_b_9 +routing sp4_v_t_46 sp4_v_b_7 -.logic_tile 1 11 +.logic_tile 5 8 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_5 lutff_5/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g2_2 lutff_4/in_2 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_4 lutff_3/in_2 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_top_4 local_g1_4 -buffer sp4_v_b_13 local_g0_5 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_v_b_2 sp4_v_t_39 - -.logic_tile 8 5 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0001000000000000 0000 -LC_1 1110111011100000 0000 -LC_2 0101001100000000 0000 -LC_3 1110111011100000 0000 -LC_4 1110111011100000 0000 -LC_5 1000001001000001 0000 -LC_6 0000000000001110 0000 +LC_2 0000000011111111 0000 +LC_3 0110100110010110 0000 +LC_4 1000000000000000 0000 +LC_5 1000000000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_1 lutff_global/cen buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_1/in_2 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_1/in_3 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_5 lutff_6/in_1 -buffer local_g3_0 lutff_4/in_3 -buffer local_g3_0 lutff_5/in_2 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_1/in_2 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_3 lutff_5/in_1 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_5/in_0 buffer local_g3_2 lutff_0/in_1 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_6/in_0 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_lft_6 local_g1_6 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_v_b_5 local_g2_5 -buffer sp12_v_b_7 local_g3_7 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_3 local_g0_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_28 local_g3_4 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_35 local_g3_3 -buffer sp4_v_b_42 local_g3_2 -buffer sp4_v_b_44 local_g2_4 -routing sp4_h_l_42 sp4_h_r_10 -routing sp4_h_l_45 sp4_h_r_4 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_h_r_3 sp4_v_t_44 -routing sp4_h_r_5 sp4_v_b_5 -routing sp4_h_r_6 sp4_v_b_6 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_t_37 sp4_h_l_43 -routing sp4_v_t_41 sp4_v_b_0 - -.logic_tile 5 8 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_global/s_r -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_3 lutff_global/cen buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_tnr_3 local_g2_3 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_v_b_3 local_g3_3 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_26 local_g3_2 -routing sp4_h_l_36 sp4_h_r_9 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_46 sp4_h_r_7 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_v_t_36 sp4_h_r_6 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_7/out sp4_r_v_b_15 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_v_b_0 local_g2_0 +buffer sp12_v_b_2 local_g3_2 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_34 local_g2_2 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_t_37 sp4_v_b_8 +routing sp4_v_t_41 sp4_v_b_4 +routing sp4_v_t_46 sp4_v_b_7 .logic_tile 6 7 -LC_0 0000000010010000 0000 -LC_2 0100000000000000 0000 +LC_0 0000100000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0001000000000000 0000 LC_3 0001000000000000 0000 -LC_4 0000000001100000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +LC_4 1110011100000000 0000 +LC_5 0000100000000000 0000 +LC_6 0000001000000000 0000 +LC_7 0000111101011101 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_2/in_2 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_2/in_3 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_3/in_1 -buffer local_g3_6 lutff_0/in_3 -buffer local_g3_6 lutff_4/in_3 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_4/out local_g1_4 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_4 lutff_7/in_3 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_0/in_3 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_6/in_0 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out local_g3_4 buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_rgt_6 local_g3_6 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp12_v_b_4 local_g2_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out local_g3_7 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_3 local_g3_3 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_h_r_19 local_g0_3 +buffer sp12_v_b_17 local_g3_1 +buffer sp12_v_b_17 sp4_v_b_20 buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_41 local_g2_1 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_h_r_10 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_v_b_1 sp4_h_r_1 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_42 local_g3_2 +buffer sp4_v_b_8 local_g1_0 +routing sp12_h_l_23 sp12_v_t_23 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_b_5 sp4_v_t_45 +routing sp4_v_t_37 sp4_v_b_0 +routing sp4_v_t_41 sp4_h_l_41 +routing sp4_v_t_42 sp4_v_b_3 .logic_tile 5 5 -ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_1 ColBufCtrl glb_netwk_3 -LC_0 1110111011100000 0000 -LC_1 0000000011100000 0000 +ColBufCtrl glb_netwk_4 +LC_1 0000000000000001 0000 LC_2 1000000000000000 0000 -LC_3 0000000000001011 0000 -LC_4 1000010000100001 0000 -LC_5 0000000000001011 0000 -LC_6 1000001001000001 0000 -LC_7 0101110000000000 0100 DffEnable +LC_3 0001000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0000000000000001 0000 +LC_6 0110000000000000 0000 +LC_7 1101001000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_3 lutff_1/in_2 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_4/in_1 buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_2 lutff_4/in_0 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_3 lutff_4/in_3 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_7 lutff_2/in_0 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_5/out sp12_h_r_18 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_6 lutff_5/in_3 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_7/in_0 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_3 lutff_7/in_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out sp12_h_r_20 buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_23 local_g1_7 -buffer sp12_h_r_3 local_g0_3 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_28 local_g2_4 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_45 local_g2_5 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_28 local_g3_4 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_l_39 sp4_v_b_8 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_v_b_2 sp4_v_t_39 -routing sp4_v_b_5 sp4_h_l_47 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_39 sp4_h_r_7 -routing sp4_v_t_41 sp4_v_b_7 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_tnr_2 local_g2_2 +buffer sp12_v_b_9 local_g3_1 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_36 sp4_v_b_7 +routing sp4_h_l_40 sp4_h_r_1 +routing sp4_h_l_43 sp4_h_r_2 +routing sp4_h_l_44 sp4_h_r_0 +routing sp4_h_l_44 sp4_h_r_9 +routing sp4_h_r_2 sp4_v_b_2 +routing sp4_v_b_8 sp4_h_l_36 +routing sp4_v_t_43 sp4_v_b_6 .logic_tile 11 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_7 -LC_0 1010101100000000 0000 -LC_1 0000110100000000 0000 -LC_2 0101001100000000 0000 -LC_3 0101001100000000 0000 -LC_4 0101001100000000 0000 -LC_5 0101001100000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0011010100000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb2local_2 local_g0_6 -buffer glb2local_3 local_g0_7 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_1 glb2local_2 -buffer glb_netwk_1 glb2local_3 -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 glb2local_0 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_2/in_1 +LC_0 0101001100000000 0000 +LC_1 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_1 lutff_1/in_0 buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_5/in_0 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_6 lutff_3/in_0 buffer local_g2_0 lutff_0/in_2 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_2 lutff_3/in_1 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_2 lutff_1/in_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_tnl_0 local_g3_0 -buffer neigh_op_tnl_2 local_g2_2 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_v_b_10 local_g3_2 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_17 local_g0_1 +buffer local_g2_4 lutff_0/in_0 +buffer local_g3_6 lutff_0/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer neigh_op_bnl_6 local_g3_6 +buffer sp12_h_r_1 local_g0_1 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_21 local_g0_5 +buffer sp4_h_r_18 local_g0_2 buffer sp4_h_r_19 local_g0_3 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_25 local_g3_1 buffer sp4_v_b_40 local_g2_0 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_l_39 sp4_v_b_8 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_45 sp4_h_r_11 -routing sp4_v_t_36 sp4_h_l_42 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_44 sp4_h_r_9 +buffer sp4_v_b_44 local_g2_4 +routing sp12_h_l_22 sp12_h_r_1 +routing sp4_v_t_37 sp4_h_l_37 +routing sp4_v_t_41 sp4_v_b_0 +routing sp4_v_t_41 sp4_v_b_4 .logic_tile 7 6 -LC_0 0000100100000000 0000 -LC_1 1110111011100000 0000 -LC_2 1001111111110110 0000 -LC_3 1000000000000000 0000 -LC_4 1110111011100000 0000 -LC_5 1000001001000001 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +LC_0 0000000011001010 0000 +LC_1 0000000010111111 0000 +LC_2 1000000000000000 0000 +LC_3 0000000011101011 0000 +LC_4 0001000000000000 0000 +LC_5 0000000011111011 0000 +LC_6 0000111000000000 0000 +LC_7 0100111100000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_3 lutff_4/in_3 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_7 lutff_0/in_1 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_2 lutff_7/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_6 lutff_3/in_2 -buffer local_g3_6 lutff_5/in_2 -buffer local_g3_7 lutff_0/in_0 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out local_g2_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_6/out local_g3_6 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_1/in_2 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_6 lutff_5/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_1 lutff_1/in_3 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_5 lutff_4/in_2 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g0_1 +buffer lutff_3/out local_g2_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_tnr_2 local_g3_2 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_5 local_g1_5 -buffer neigh_op_top_6 local_g1_6 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_2 local_g0_2 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_15 local_g3_7 -buffer sp12_v_b_21 sp4_v_b_22 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_27 local_g3_3 -buffer sp4_h_r_4 local_g1_4 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_27 local_g2_3 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_3 sp4_h_r_3 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_5 sp4_h_l_47 -routing sp4_v_t_41 sp4_v_b_4 -routing sp4_v_t_47 sp4_h_l_41 -routing sp4_v_t_47 sp4_v_b_1 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_bnl_7 local_g3_7 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_tnr_0 local_g2_0 +buffer neigh_op_tnr_1 local_g3_1 +buffer neigh_op_tnr_2 local_g2_2 +buffer sp12_h_r_11 local_g1_3 +buffer sp12_h_r_19 local_g0_3 +buffer sp12_v_b_15 local_g2_7 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_37 local_g3_5 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_r_10 sp4_h_l_43 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_t_44 sp4_v_b_0 +routing sp4_v_t_46 sp4_h_l_46 .logic_tile 6 10 -LC_0 1110000000000000 0000 -LC_1 0100000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0000011100000000 0000 -LC_4 0000000000000100 0000 -LC_5 0000000100000000 0000 -LC_6 1001000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +LC_0 0101001100000000 0000 +LC_1 0010000000000010 0000 +LC_2 0100000000000000 0000 +LC_3 1101000000000000 0000 +LC_4 0100000000000000 0000 +LC_5 1110000000000000 0000 +LC_6 0000000000001101 0000 +LC_7 1101111100000000 0100 DffEnable +buffer glb2local_0 local_g0_4 buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 glb2local_0 buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_1/in_2 +buffer local_g0_1 lutff_2/in_1 buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_7 lutff_0/in_3 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_6/in_3 buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_4/in_2 -buffer local_g3_6 lutff_6/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out local_g3_1 -buffer lutff_2/out local_g0_2 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnr_2 local_g1_2 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_tnr_2 local_g2_2 -buffer neigh_op_tnr_3 local_g2_3 -buffer sp12_h_r_4 local_g1_4 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_14 local_g3_6 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_40 local_g2_0 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_t_36 sp4_h_r_6 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_41 sp4_h_l_41 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_45 sp4_h_r_1 - -.logic_tile 1 14 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000000000000 1000 CarryEnable -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_0/in_1 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_1/in_1 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_2 lutff_6/in_2 -buffer local_g3_0 lutff_3/in_2 -buffer neigh_op_bot_6 local_g1_6 -buffer sp12_v_b_10 local_g2_2 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_0 local_g1_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_7/in_2 +buffer local_g2_5 lutff_0/in_1 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_3 lutff_7/in_1 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_rgt_2 local_g3_2 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_v_b_2 local_g2_2 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_0 local_g0_0 buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_7 local_g1_7 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_3 local_g0_3 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_r_5 sp4_v_t_46 -routing sp4_v_b_6 sp4_h_r_0 -routing sp4_v_t_41 sp4_h_r_4 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_27 local_g2_3 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_r_6 sp4_h_l_39 +routing sp4_h_r_7 sp4_v_t_42 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_4 sp4_h_r_10 .logic_tile 12 6 -LC_0 1001000000000000 0000 -LC_1 0110100110010110 0000 -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_4 lutff_2/in_0 -buffer local_g1_5 lutff_global/s_r -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_6 lutff_3/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_6/out sp4_v_b_12 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_bot_7 local_g1_7 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_22 local_g3_6 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_4 local_g0_4 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_5 local_g1_5 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_40 sp4_h_r_10 -routing sp4_v_t_45 sp4_v_b_11 -routing sp4_v_t_46 sp4_h_r_4 +LC_0 0101001100000000 0000 +LC_1 0000100000000000 0000 +LC_3 0000010000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_6 glb2local_0 +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_5 lutff_3/in_1 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_4 lutff_4/in_0 +buffer local_g3_3 lutff_4/in_2 +buffer local_g3_4 lutff_1/in_0 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_5 lutff_global/s_r +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_4/out sp4_v_b_8 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_6 local_g0_6 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_11 local_g3_3 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_28 local_g3_4 +routing sp12_v_t_23 sp12_h_l_23 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_t_44 sp4_h_l_38 .logic_tile 4 13 -ColBufCtrl glb_netwk_2 +CarryInSet +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_0 0111000000000000 0000 -LC_1 1100000010101010 0000 -LC_2 1000000000000000 0000 -LC_3 0000010000000000 0100 DffEnable -LC_4 0000010000000000 0100 DffEnable -LC_5 0000010000000000 0100 DffEnable -LC_6 0000010000000000 0100 DffEnable -LC_7 0000010000000000 0100 DffEnable +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_6 lutff_global/s_r -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_0/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_6 lutff_2/in_2 +buffer local_g3_2 lutff_3/in_2 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_7/out sp4_h_r_30 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_22 local_g1_6 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_v_b_25 local_g2_1 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_5 sp4_h_l_47 + +.logic_tile 2 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 0110100110010110 0000 +LC_3 1000000000000000 0000 +LC_4 1001000000000000 0000 +LC_5 0110000000000000 0000 +LC_6 0100000000000000 0110 DffEnable Set_NoReset +LC_7 1000000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_2/in_3 buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_6 lutff_7/in_1 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_7 lutff_1/in_2 -buffer local_g3_0 lutff_0/in_3 -buffer local_g3_3 lutff_0/in_0 -buffer lutff_0/out local_g2_0 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_5 lutff_global/s_r +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_4/in_0 +buffer lutff_0/out sp12_h_r_8 buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_6/out sp4_v_b_12 -buffer neigh_op_bot_4 local_g0_4 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_3 local_g3_3 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_9 local_g0_1 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_3 sp4_v_b_8 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_6 sp4_h_r_0 +buffer sp12_h_r_21 local_g1_5 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_v_b_43 local_g3_3 +routing sp4_h_r_3 sp4_v_b_3 +routing sp4_v_t_36 sp4_v_b_4 -.logic_tile 2 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_5 -LC_0 1100000010101010 0000 -LC_1 0000100000000000 0000 -LC_2 0011001100110101 0000 -LC_3 0100000000000000 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 1101001000000000 0100 DffEnable +.logic_tile 9 11 +LC_0 0001000000000000 0000 +LC_1 0000000100000000 0000 +LC_2 0110100110010110 0000 +LC_3 0010000000000000 0000 +LC_5 0111000000000000 0000 +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_0/in_3 +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_2/in_1 buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_5/in_0 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_3 lutff_0/in_1 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_6 lutff_0/in_2 buffer local_g2_7 lutff_7/in_0 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_1 lutff_6/in_0 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_6 lutff_2/in_3 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp12_v_b_2 +buffer local_g3_0 lutff_2/in_3 +buffer lutff_0/out local_g1_0 buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_v_b_22 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_top_5 local_g0_5 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_top_1 local_g1_1 buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_2 local_g1_2 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_35 local_g0_0 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_38 local_g2_6 buffer sp4_r_v_b_6 local_g1_6 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_43 local_g3_3 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_r_0 sp4_v_t_37 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_t_42 sp4_h_r_7 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_24 local_g3_0 +buffer sp4_v_b_40 local_g2_0 +routing sp4_h_l_38 sp4_v_t_38 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_h_l_46 sp4_h_r_2 +routing sp4_v_b_11 sp4_v_t_46 +routing sp4_v_b_9 sp4_h_l_39 -.logic_tile 9 11 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000010000100001 0000 -LC_6 0100000100010100 0000 -LC_7 0100000000000100 0000 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_3 lutff_6/in_3 -buffer local_g2_6 lutff_6/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp12_h_r_0 -buffer lutff_5/out local_g0_5 +.logic_tile 8 16 +LC_1 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g2_1 lutff_1/in_0 +buffer local_g3_6 lutff_3/in_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp12_v_b_6 buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out local_g1_7 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_lft_6 local_g0_6 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_30 local_g2_6 +buffer lutff_6/out sp4_r_v_b_13 +buffer neigh_op_bot_2 local_g0_2 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_r_v_b_24 local_g0_0 buffer sp4_v_b_30 local_g3_6 buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_40 sp4_h_r_10 -routing sp4_v_t_40 sp4_v_b_5 -routing sp4_v_t_44 sp4_h_r_9 +routing sp4_v_b_6 sp4_h_l_43 +routing sp4_v_b_6 sp4_h_l_46 -.logic_tile 8 16 -LC_0 0010000000000000 0000 -LC_1 1110011100000000 0000 -LC_2 0000100000000000 0000 -LC_3 0100000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0110100110010110 0000 -LC_6 1011000000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable +.logic_tile 6 15 +LC_0 0001000000000000 0000 +LC_1 1000001001000001 0000 +LC_2 1111111101000101 0000 +LC_3 1000000000000000 0000 +LC_4 1111111101000101 0000 +LC_5 1000010000100001 0000 +LC_6 0000000000000001 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 +buffer glb_netwk_5 glb2local_3 buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_0/in_3 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_7/in_0 buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_4/in_1 -buffer local_g2_7 lutff_7/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_2/in_3 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_6 lutff_5/in_1 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_1 lutff_5/in_3 buffer local_g3_2 lutff_1/in_0 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_6 lutff_7/in_2 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_18 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out local_g0_1 buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out local_g0_5 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_5/out local_g3_5 buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g2_7 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_2 local_g0_2 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_tnr_1 local_g3_1 +buffer neigh_op_tnr_5 local_g2_5 +buffer neigh_op_top_5 local_g1_5 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_18 local_g1_2 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_27 local_g3_3 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_v_b_10 local_g0_2 buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_8 local_g1_0 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_v_b_8 sp4_h_l_45 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_38 local_g2_6 +routing sp4_h_l_44 sp4_h_r_9 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_h_r_3 sp4_h_l_46 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_h_r_7 sp4_v_t_42 +routing sp4_v_b_0 sp4_h_l_37 +routing sp4_v_t_38 sp4_v_b_6 +routing sp4_v_t_42 sp4_v_b_3 -.logic_tile 6 15 -LC_0 0000100000000000 0000 -LC_1 1111000100000000 0000 -LC_2 0100000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0101110100000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable +.logic_tile 12 3 +LC_1 1000000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 glb2local_1 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_1 lutff_4/in_0 +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_5 lutff_1/in_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_h_r_18 + +.logic_tile 11 13 +CarryInSet +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 0000 +LC_6 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_0/in_1 buffer local_g1_4 lutff_1/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_2 lutff_1/in_3 -buffer local_g2_4 lutff_6/in_2 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out local_g3_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_6/out local_g3_6 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_v_b_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnr_2 local_g0_2 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_tnr_2 local_g2_2 -buffer neigh_op_top_6 local_g1_6 -buffer neigh_op_top_7 local_g0_7 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_16 sp4_h_r_20 +buffer local_g2_2 lutff_1/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_6/out sp4_v_b_28 +buffer sp12_h_r_12 local_g1_4 buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_37 local_g3_5 -routing sp12_h_r_0 sp12_h_l_23 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_r_0 sp4_h_l_37 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_v_b_42 local_g2_2 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_b_8 sp4_v_t_41 -.logic_tile 1 1 -LC_0 0100000000000000 0100 DffEnable +.logic_tile 4 10 +LC_1 1100101000000000 0000 +LC_2 0000101100000000 0000 +LC_3 0100000000000000 0000 +LC_4 0101001100000000 0110 DffEnable Set_NoReset +LC_5 0101001100000000 0110 DffEnable Set_NoReset +LC_6 0101001100000000 0110 DffEnable Set_NoReset +LC_7 0101001100000000 0110 DffEnable Set_NoReset +buffer glb2local_0 local_g0_4 +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 glb2local_0 +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_5/in_2 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_5 lutff_global/s_r +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_3/in_2 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_2 lutff_1/in_0 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out sp4_v_b_12 +buffer neigh_op_bnr_3 local_g0_3 +buffer neigh_op_bot_6 local_g0_6 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_26 local_g3_2 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_7 local_g1_7 +buffer sp4_v_b_8 local_g0_0 +routing sp12_h_r_1 sp12_v_t_22 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_11 sp4_h_r_11 +routing sp4_v_b_3 sp4_h_r_3 + +.logic_tile 7 14 +LC_0 0000000010000000 0000 +LC_1 0000010000000000 0000 +LC_2 0000010000000000 0000 +LC_3 1110000000000000 0000 +LC_4 0001000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 1110000000000000 0000 LC_7 0100000000000000 0100 DffEnable -NegClk +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_5/in_1 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_7 lutff_0/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_7 lutff_0/in_0 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_tnr_7 local_g2_7 +buffer neigh_op_top_3 local_g0_3 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_v_b_14 local_g2_6 +buffer sp12_v_b_7 local_g3_7 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_24 local_g3_0 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_b_5 sp4_v_t_40 + +.logic_tile 2 6 +LC_0 0101001100000000 0000 +LC_1 0101001100000000 0000 +LC_4 1000000000000000 0000 +LC_5 0100000000000000 0000 +LC_7 0110000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/s_r +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_4/in_0 buffer local_g0_4 lutff_0/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer lutff_0/out sp12_h_r_8 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_2 lutff_5/in_1 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_0/out sp4_h_r_32 buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp12_h_r_6 buffer lutff_7/out sp4_v_b_30 -buffer sp12_v_b_19 sp4_v_b_21 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_4 local_g1_4 +buffer neigh_op_bnr_1 local_g0_1 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_7 local_g1_7 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_v_b_10 local_g2_2 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_18 local_g0_2 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_7 sp4_v_t_42 +routing sp4_v_t_43 sp4_h_r_11 +routing sp4_v_t_46 sp4_h_l_46 -.logic_tile 12 3 -LC_0 0110100110010110 0000 -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable +.logic_tile 9 14 +LC_0 1000000000000000 0000 +LC_2 1000001001000001 0000 +LC_3 0100000000000000 0100 DffEnable LC_4 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_4 lutff_1/in_0 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_0/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_2/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_3/in_0 buffer local_g3_1 lutff_4/in_0 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_4/out sp4_v_b_8 -buffer neigh_op_tnl_1 local_g3_1 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_2 local_g0_2 -buffer sp4_v_b_17 local_g1_1 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_h_l_41 sp4_v_t_41 -routing sp4_h_r_0 sp4_h_l_45 -routing sp4_v_b_2 sp4_h_l_39 -routing sp4_v_b_7 sp4_h_l_42 -routing sp4_v_b_8 sp4_v_t_45 -routing sp4_v_t_44 sp4_h_r_2 -routing sp4_v_t_45 sp4_h_r_1 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp12_h_r_20 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_5 local_g0_5 +buffer sp12_h_r_0 local_g1_0 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_23 local_g3_7 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_42 local_g2_2 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_6 sp4_v_t_39 -.logic_tile 11 13 -CarryInSet -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_7 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_5 1000000000000000 0000 +.logic_tile 8 2 +LC_0 0110100110010110 0000 +LC_2 0110100110010110 0000 +LC_3 0100000000000000 0100 DffEnable LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_7 lutff_global/cen -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_4 lutff_1/in_2 +buffer local_g0_3 lutff_3/in_0 +buffer local_g2_4 lutff_global/s_r +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_3 lutff_6/in_0 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_6/out sp4_h_r_44 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_43 local_g3_3 +routing sp4_h_l_36 sp4_h_r_4 + +.logic_tile 12 14 +LC_2 0111000000000000 0000 +LC_5 0110100110010110 0000 +LC_6 1000000000000000 0000 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_2/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_1 lutff_6/in_2 buffer local_g1_7 lutff_6/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g3_4 lutff_7/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_rgt_3 local_g2_3 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_12 local_g3_4 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_r_v_b_7 local_g1_7 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_v_b_0 sp4_h_l_37 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_6 sp4_v_t_43 -routing sp4_v_t_41 sp4_h_l_47 -routing sp4_v_t_43 sp4_h_l_43 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_7 lutff_5/in_2 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_lft_2 local_g0_2 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp12_v_b_9 local_g2_1 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_39 local_g2_7 -.logic_tile 4 10 -LC_0 0000000001110000 0000 -LC_1 1101000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0000000000001101 0000 -LC_5 0000000100000000 0000 -LC_6 0000000011101111 0000 -LC_7 1111111101001111 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_0 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r +.logic_tile 5 11 +LC_0 0000010000000000 0000 +LC_1 1000001001000001 0000 +LC_2 0000000100000000 0000 +LC_3 0000000001000000 0000 +LC_4 0000010000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_6/in_2 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_4/in_2 buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_0/in_1 buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_5 lutff_7/in_3 -buffer local_g3_6 lutff_6/in_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_6 lutff_1/in_3 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_5 lutff_4/in_0 buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out local_g2_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_rgt_2 local_g3_2 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_h_r_16 local_g1_0 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_lft_7 local_g0_7 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_18 sp4_h_r_21 buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_32 local_g2_0 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_2 local_g1_2 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_45 local_g3_5 buffer sp4_h_r_8 local_g0_0 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_4 local_g1_4 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_46 local_g3_6 -buffer sp4_v_b_7 local_g0_7 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_2 sp4_v_t_47 -routing sp4_v_b_6 sp4_v_t_39 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_44 local_g3_4 +buffer sp4_v_b_5 local_g0_5 +routing sp12_h_l_23 sp12_v_t_23 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_h_r_2 sp4_h_l_47 +routing sp4_h_r_2 sp4_v_b_2 +routing sp4_v_b_11 sp4_h_r_11 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_b_3 sp4_h_r_3 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_b_5 sp4_h_l_40 +routing sp4_v_b_7 sp4_h_r_7 +routing sp4_v_t_40 sp4_h_l_46 +routing sp4_v_t_42 sp4_h_l_42 -.logic_tile 7 14 -LC_0 0000001100000101 0000 -LC_1 1000000000000000 0000 -LC_2 0000010011101111 0000 -LC_3 0010000000000000 0000 -LC_4 0000000011101011 0000 -LC_5 0000000000000010 0000 -LC_6 0100111100000000 0100 DffEnable -LC_7 0101011100000000 0100 DffEnable +.logic_tile 4 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +LC_1 0001000000000000 0100 DffEnable +LC_4 0001000000000000 0100 DffEnable +LC_6 0001000000000000 0100 DffEnable +LC_7 0001000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_6 lutff_5/in_3 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_4/in_3 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_5 lutff_4/in_1 -buffer local_g3_0 lutff_7/in_2 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_7/in_1 -buffer local_g3_6 lutff_2/in_3 -buffer local_g3_6 lutff_6/in_1 -buffer local_g3_7 lutff_2/in_2 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g3_2 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out local_g2_3 -buffer lutff_4/out local_g0_4 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_6 lutff_7/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_4 lutff_4/in_1 +buffer local_g3_0 lutff_6/in_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_4/out sp4_h_r_40 buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp12_h_r_22 +buffer lutff_6/out sp4_h_r_44 buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_tnr_7 local_g3_7 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_20 local_g1_4 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_29 local_g1_5 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_12 local_g1_4 buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_33 local_g3_1 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_38 local_g3_6 -routing sp12_h_l_22 sp12_v_b_1 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_v_b_1 sp4_h_l_43 -routing sp4_v_t_36 sp4_v_b_9 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_v_b_1 sp4_v_t_44 -.logic_tile 2 6 -LC_0 0110100110010110 0000 -LC_1 1010101010001010 0000 -LC_2 0010100000000000 0000 -LC_3 0110100110010110 0000 -LC_4 1010101011110011 0000 -LC_5 0101010100001100 0100 DffEnable -LC_6 0101010100001100 0100 DffEnable -LC_7 0101010100001100 0100 DffEnable +.logic_tile 9 3 +LC_0 1011111000000000 0000 +LC_1 1110000000000000 0000 +LC_2 0110100110010110 0000 +LC_3 1101000000000000 0000 +LC_4 1011101000000000 0000 +LC_5 1101010100000000 0000 +LC_6 1101110100001101 0000 +LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_5/in_1 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_6/in_0 buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_2/in_2 buffer local_g0_7 lutff_4/in_3 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_3 lutff_7/in_2 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_6 lutff_5/in_3 -buffer local_g2_6 lutff_7/in_3 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_7/in_0 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_3/out lutff_4/in_2 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g2_5 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_6 local_g0_6 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_4 lutff_6/in_1 +buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out lutff_6/in_2 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bnl_2 local_g3_2 +buffer neigh_op_lft_5 local_g1_5 buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp12_v_b_18 local_g2_2 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_v_b_5 local_g2_5 buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_43 local_g3_3 -buffer sp4_v_b_45 local_g3_5 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_44 sp4_v_t_44 -routing sp4_h_r_10 sp4_v_b_10 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_v_b_2 sp4_h_r_2 -routing sp4_v_t_38 sp4_h_l_44 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_4 local_g1_4 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_41 local_g3_1 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_42 sp4_h_r_10 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_r_10 sp4_v_t_41 routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_43 sp4_h_l_37 -.logic_tile 9 14 -LC_0 0000000000000001 0000 -LC_1 0000000000000001 0000 -LC_2 0001000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0001000000000000 0000 -LC_6 1000000000000000 0000 +.logic_tile 12 15 LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_7 lutff_0/in_3 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_6 lutff_2/in_1 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_6/out sp12_h_r_4 +buffer glb_netwk_7 lutff_global/cen +buffer local_g3_2 lutff_7/in_0 buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_tnl_3 local_g2_3 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp12_v_b_6 local_g3_6 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_43 local_g3_3 -routing sp12_h_l_23 sp12_h_r_0 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_b_8 sp4_h_r_2 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_37 sp4_h_l_43 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp12_v_b_2 local_g3_2 -.logic_tile 8 2 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_3 0000000001110001 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 1011101000000000 0000 -LC_7 0000000001110001 0000 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_5/in_1 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_3 lutff_3/in_1 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bnl_6 local_g2_6 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_bot_3 local_g1_3 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_21 local_g1_5 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_43 local_g3_3 -routing sp4_h_r_4 sp4_h_l_41 - -.logic_tile 12 14 +.logic_tile 1 4 CarryInSet +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable LC_2 0000000000000000 1000 CarryEnable @@ -2747,4310 +2448,2611 @@ LC_4 0000000000000000 1000 CarryEnable LC_5 0000000000000000 1000 CarryEnable LC_6 0000000000000000 1000 CarryEnable LC_7 0000000011111111 0000 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_4/in_2 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_2 lutff_1/in_2 -buffer local_g2_1 lutff_3/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_5 lutff_6/in_2 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_2 lutff_4/in_2 +buffer local_g3_0 lutff_0/in_1 buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_lft_1 local_g0_1 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_tnl_1 local_g2_1 -buffer neigh_op_top_0 local_g0_0 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_2 local_g2_2 buffer sp12_h_r_11 local_g0_3 -buffer sp4_v_b_1 local_g1_1 -routing sp12_h_l_22 sp12_v_b_1 -routing sp4_h_l_47 sp4_v_t_47 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_t_39 sp4_h_l_39 +buffer sp12_h_r_5 local_g1_5 +buffer sp12_h_r_9 local_g1_1 -.logic_tile 5 11 -LC_0 0000010000000000 0000 -LC_1 0000110100000000 0000 -LC_2 0001000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0101010101010100 0000 -LC_6 1110000000000000 0000 +.logic_tile 9 16 +LC_0 0000000011111111 0000 +LC_4 0100000000000000 0100 DffEnable +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_4 lutff_4/in_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_4/out sp4_h_r_24 +buffer sp4_h_r_12 local_g0_4 + +.logic_tile 7 5 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +LC_0 1111000100000000 0000 +LC_1 1000000000000000 0000 +LC_2 1100000001010101 0000 +LC_3 0000111000000000 0000 +LC_5 1100101000000000 0000 +LC_6 1000000000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb2local_3 local_g0_7 -buffer glb_netwk_1 glb2local_3 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_7 lutff_2/in_0 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_1/in_2 buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_3 lutff_5/in_1 -buffer local_g3_4 lutff_3/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_v_b_12 +buffer local_g2_3 lutff_2/in_1 +buffer local_g3_5 lutff_2/in_0 +buffer local_g3_6 lutff_2/in_3 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_3/out local_g2_3 +buffer lutff_5/out local_g3_5 buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bnr_3 local_g0_3 -buffer neigh_op_bnr_3 local_g1_3 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_7 local_g1_7 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_top_3 local_g1_3 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_8 local_g0_0 +buffer sp4_h_r_0 local_g1_0 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_30 local_g3_6 +routing sp12_h_l_23 sp12_v_t_23 routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_36 sp4_h_r_9 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_h_r_2 sp4_v_t_39 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_b_10 sp4_h_r_10 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_b_9 sp4_h_r_3 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_43 sp4_h_r_6 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_h_r_6 sp4_v_t_37 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_t_41 sp4_h_l_41 -.logic_tile 4 5 +.logic_tile 2 3 CarryInSet -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_4 1000000000000000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_7 lutff_1/in_2 +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_7 lutff_4/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_top_5 local_g1_5 -buffer neigh_op_top_6 local_g1_6 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_16 local_g0_0 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp4_r_v_b_47 +buffer sp12_h_r_7 local_g0_7 +buffer sp12_h_r_9 local_g1_1 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_21 local_g0_5 buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_34 local_g0_1 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_37 sp4_h_r_0 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_9 sp4_v_t_44 -routing sp4_v_t_39 sp4_h_l_39 -routing sp4_v_t_40 sp4_h_l_40 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_45 sp4_h_r_8 +buffer sp4_r_v_b_23 local_g3_7 +buffer sp4_v_b_17 local_g0_1 +routing sp4_v_b_4 sp4_h_r_4 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_t_40 sp4_h_r_5 -.logic_tile 9 3 -LC_0 0111000000000000 0000 -LC_1 0100000100000000 0000 -LC_2 0000000010101110 0000 -LC_3 1101110100001101 0000 -LC_4 1011111000000000 0000 -LC_5 0000001000000000 0000 -LC_6 0101001100000000 0000 -LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset -buffer glb_netwk_2 lutff_global/s_r +.logic_tile 1 9 +LC_1 0000000100000000 0000 +LC_3 0000100000000000 0000 +LC_4 0110100110010110 0000 +LC_5 0000000010000000 0000 +LC_6 0110100110010110 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/s_r buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_global/cen buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_2/in_2 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_5/in_2 +buffer local_g2_6 lutff_5/in_1 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_5 lutff_5/in_3 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_r_v_b_29 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer sp12_h_r_0 local_g1_0 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_9 local_g0_1 +buffer sp4_h_r_6 local_g0_6 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_v_b_10 local_g1_2 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_h_r_6 sp4_v_t_37 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_10 sp4_v_t_36 + +.logic_tile 8 7 +LC_0 0001000000000000 0000 +LC_1 0000010000000000 0000 +LC_2 0100000000000000 0000 +LC_3 0010000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0101110000000000 0100 DffEnable +LC_7 0101110000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_7/in_1 buffer local_g0_5 lutff_6/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_4/in_2 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_0/in_2 +buffer local_g1_7 lutff_2/in_2 buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_4/in_3 +buffer local_g2_1 lutff_1/in_2 buffer local_g2_2 lutff_3/in_1 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_5 lutff_6/in_3 buffer local_g2_6 lutff_6/in_0 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_4 lutff_1/in_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g2_1 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out lutff_5/in_2 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_v_b_12 +buffer local_g3_3 lutff_global/cen +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out local_g0_7 buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_top_4 local_g0_4 buffer neigh_op_top_4 local_g1_4 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_0 local_g3_0 -buffer sp12_v_b_11 local_g2_3 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_28 local_g3_4 -buffer sp4_h_r_29 local_g2_5 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_33 local_g3_1 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_43 local_g3_3 -routing sp12_h_r_0 sp12_v_b_0 -routing sp12_v_t_22 sp12_h_l_22 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_40 sp4_v_b_11 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_v_b_11 sp4_v_t_42 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_8 sp4_v_t_45 -routing sp4_v_t_40 sp4_v_b_8 -routing sp4_v_t_41 sp4_h_l_47 -routing sp4_v_t_44 sp4_v_b_0 -routing sp4_v_t_46 sp4_v_b_2 +buffer neigh_op_top_6 local_g1_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_v_b_16 local_g2_0 +buffer sp12_v_b_17 local_g2_1 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_17 local_g1_1 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_r_11 sp4_v_t_46 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_h_r_6 sp4_h_l_44 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_t_44 sp4_h_r_9 +routing sp4_v_t_46 sp4_h_l_46 +routing sp4_v_t_47 sp4_v_b_10 -.logic_tile 12 15 -LC_0 1000000000000000 0000 -LC_1 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable +.logic_tile 12 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_7 +LC_0 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable LC_5 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_0/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_0 lutff_7/in_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_7/out sp4_h_r_46 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_v_b_5 local_g2_5 -buffer sp12_v_b_7 local_g2_7 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_h_r_0 local_g0_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_5 lutff_global/s_r +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_2 local_g0_2 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp4_h_r_37 local_g3_5 buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_5 local_g1_5 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_40 sp4_v_t_47 -routing sp4_h_l_44 sp4_h_r_0 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_t_43 sp4_h_l_43 +routing sp4_h_l_44 sp4_v_t_44 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_10 sp4_h_l_38 +routing sp4_v_b_5 sp4_v_t_36 +routing sp4_v_t_41 sp4_v_b_0 +routing sp4_v_t_44 sp4_v_b_5 -.logic_tile 1 4 -CarryInSet -ColBufCtrl glb_netwk_3 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_6/in_2 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_4 lutff_4/in_2 -buffer local_g3_4 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_v_b_20 local_g2_4 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_28 local_g3_4 -routing sp12_v_t_22 sp12_v_b_1 - -.logic_tile 4 16 -LC_3 0110100110010110 0000 -LC_4 0000000000000001 0000 -LC_5 0010000000000000 0100 DffEnable -LC_6 0010000000000000 0100 DffEnable -LC_7 0010000000000000 0100 DffEnable +.logic_tile 4 2 +LC_0 0001000000000000 0000 +LC_1 0110100110010110 0000 +LC_2 0001000000000000 0000 +LC_5 0001010111111011 0100 DffEnable +LC_6 0101010100111111 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_7 lutff_4/in_0 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_v_b_24 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_6/in_2 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_3 lutff_2/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_r_v_b_21 buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g3_7 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_2 local_g0_2 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp4_r_v_b_45 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_0 local_g3_0 buffer neigh_op_rgt_7 local_g2_7 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_7 local_g1_7 -routing sp4_h_r_8 sp4_h_l_45 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_34 local_g2_2 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_v_b_1 sp4_h_r_1 -.logic_tile 9 16 +.logic_tile 2 14 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 lutff_global/s_r -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_3 lutff_5/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_7 lutff_1/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_7 lutff_2/in_2 +LC_2 0000000011111111 0000 +LC_6 0110100110010110 0000 +buffer local_g0_5 lutff_1/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_6/in_2 buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_5/out local_g1_5 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_lft_3 local_g0_3 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_44 local_g2_4 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_b_4 sp4_h_l_44 +buffer lutff_2/out local_g1_2 +buffer lutff_6/out sp12_h_r_20 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_5 local_g0_5 -.logic_tile 7 5 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0000000000001110 0000 -LC_1 0001000000000000 0000 -LC_2 0000111000000000 0000 -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable +.logic_tile 9 6 +LC_0 0001000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0001000000000000 0000 LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +LC_7 1000101011111111 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_1 lutff_2/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_6 lutff_6/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_r_v_b_27 +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_0/in_2 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_6 lutff_7/in_3 +buffer local_g3_5 lutff_2/in_0 +buffer local_g3_6 lutff_0/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp12_v_b_10 buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g1_7 +buffer lutff_6/out sp4_r_v_b_45 buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bnr_5 local_g1_5 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_top_0 local_g1_0 -buffer sp12_h_r_17 local_g0_1 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_v_b_17 local_g3_1 -buffer sp12_v_b_5 sp4_v_b_14 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_34 local_g3_2 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_r_1 sp4_h_l_41 -routing sp4_h_r_7 sp4_h_l_47 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_t_41 sp4_h_r_9 +buffer neigh_op_bot_2 local_g0_2 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_h_r_7 local_g1_7 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_37 local_g3_5 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_46 local_g3_6 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_39 sp4_v_b_2 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_v_t_44 sp4_h_l_44 +routing sp4_v_t_47 sp4_v_b_1 -.logic_tile 2 3 -LC_0 0000000011111111 0000 -LC_1 1000000000000000 0000 -LC_3 0001000000000000 0000 -LC_6 0110100110010110 0100 DffEnable -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen +.logic_tile 6 5 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +LC_0 0010000000000000 0000 +LC_1 1011000000000000 0000 +LC_2 0000000000010000 0000 +LC_3 0000010000000000 0000 +LC_4 0000110100000000 0000 +LC_5 0010000000000000 0000 +LC_6 0101001100000000 0000 +LC_7 0000000011101010 0101 DffEnable AsyncSetReset buffer glb_netwk_3 lutff_global/clk -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_6/in_2 -buffer local_g1_6 lutff_6/in_1 -buffer local_g3_1 lutff_3/in_1 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_6/out sp4_v_b_28 -buffer sp12_h_r_11 local_g0_3 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_33 local_g3_1 -buffer sp4_r_v_b_6 local_g1_6 -routing sp12_v_b_0 sp12_h_r_0 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_t_41 sp4_v_b_0 -routing sp4_v_t_45 sp4_h_r_1 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_7/in_3 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_1/in_2 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_4 lutff_2/in_3 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_tnr_3 local_g3_3 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp12_v_b_5 local_g2_5 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_26 local_g3_2 +buffer sp4_v_b_44 local_g3_4 +buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_t_46 sp4_v_b_7 -.logic_tile 1 9 +.logic_tile 5 3 +LC_0 0110100110010110 0000 +LC_2 0001000000000000 0000 +LC_3 0001000000000000 0000 +LC_6 0001000000000000 0100 DffEnable +LC_7 0001000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_0/in_2 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_top_6 local_g1_6 +buffer sp4_h_r_27 local_g3_3 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_32 local_g3_0 +routing sp12_h_l_22 sp12_v_t_22 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_8 sp4_h_l_45 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_41 sp4_h_l_47 +routing sp4_v_t_43 sp4_v_b_6 + +.logic_tile 11 7 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable LC_2 0000000000000000 1000 CarryEnable LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_4 lutff_2/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_r_v_b_20 local_g3_4 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_26 local_g2_2 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_v_t_37 sp4_v_b_8 - -.logic_tile 8 7 -LC_0 0001000000000000 0000 -LC_1 0000000000001110 0000 -LC_2 0010000000000000 0000 -LC_3 1110111011100000 0000 -LC_4 1000001001000001 0000 +LC_4 0000000011111111 0000 LC_5 1000000000000000 0000 LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_4 lutff_0/in_0 +buffer glb_netwk_7 lutff_global/cen buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_0/in_1 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out sp4_v_b_28 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_23 local_g0_7 +buffer sp12_h_r_4 local_g1_4 +buffer sp4_h_r_37 local_g3_5 +buffer sp4_v_b_21 local_g0_5 +routing sp12_h_r_0 sp12_h_l_23 +routing sp4_h_l_41 sp4_v_t_44 + +.logic_tile 6 8 +LC_0 0100000000000000 0000 +LC_1 1110000000000000 0000 +LC_2 0000000100000000 0000 +LC_3 0000000100000000 0000 +LC_4 0000010000000000 0000 +LC_5 0001000000000000 0000 +LC_6 1101010100000000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_7/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_3/in_1 buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_3/in_0 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_4 lutff_5/in_2 buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_6 lutff_4/in_2 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_4 lutff_1/in_0 -buffer local_g3_6 lutff_5/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out sp4_v_b_10 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_1 lutff_5/in_3 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_5 lutff_0/in_0 +buffer local_g3_6 lutff_6/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out local_g1_0 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_h_r_42 buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_v_b_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_lft_2 local_g0_2 +buffer lutff_7/out sp4_h_r_46 buffer neigh_op_lft_5 local_g0_5 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_3 local_g2_3 -buffer sp12_v_b_3 local_g3_3 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_2 local_g1_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_28 local_g2_4 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_38 local_g2_6 -buffer sp4_v_b_38 local_g3_6 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_h_r_0 sp4_h_l_37 -routing sp4_h_r_0 sp4_h_l_45 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_7 sp4_h_l_42 -routing sp4_v_t_44 sp4_h_l_44 +buffer neigh_op_lft_5 local_g1_5 +buffer sp12_h_r_14 local_g0_6 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_2 local_g0_2 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_h_r_3 sp4_h_l_38 +routing sp4_h_r_3 sp4_h_l_43 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_b_3 sp4_h_r_9 -.logic_tile 12 4 -ColBufCtrl glb_netwk_2 +.logic_tile 1 12 +CarryInSet +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_5 lutff_1/in_1 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_1 local_g0_1 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_3 local_g1_3 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_v_b_13 local_g1_5 +routing sp4_h_r_5 sp4_v_b_5 + +.logic_tile 8 12 +CarryInSet +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 -LC_0 0100000000000000 0100 DffEnable -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable +ColBufCtrl glb_netwk_5 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000011111111 0000 +LC_6 0100000000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_7/in_0 +buffer local_g0_0 lutff_1/in_1 buffer local_g0_2 lutff_global/cen -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_5 lutff_1/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_lft_1 local_g1_1 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_v_b_17 local_g3_1 -buffer sp12_v_b_8 local_g2_0 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_37 local_g2_5 +buffer local_g0_3 lutff_6/in_1 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_2/in_1 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_tnr_0 local_g3_0 +buffer sp12_v_b_15 local_g3_7 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_34 local_g3_2 buffer sp4_v_b_41 local_g2_1 -routing sp12_v_t_23 sp12_h_r_0 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_0 sp4_h_l_38 -routing sp4_h_r_1 sp4_v_b_6 -routing sp4_h_r_7 sp4_v_t_42 -routing sp4_v_b_7 sp4_h_l_42 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_39 sp4_h_l_45 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_t_40 sp4_h_r_10 -.logic_tile 4 2 -LC_0 0000000011111111 0000 -LC_1 0000000000100000 0000 -LC_2 0000000000000001 0000 -LC_3 0001000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0000010000000000 0000 -LC_6 0000000000010000 0000 -LC_7 0000000000000010 0000 -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_1 lutff_4/in_2 +.logic_tile 11 8 +LC_1 0111000000000000 0100 DffEnable +LC_2 0111000000000000 0100 DffEnable +LC_3 0111000000000000 0100 DffEnable +LC_5 0111000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g1_1 lutff_2/in_0 buffer local_g1_1 lutff_6/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_7/in_2 -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_7 lutff_2/in_3 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_2 lutff_6/in_3 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g2_7 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_v_b_10 local_g3_2 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_8 local_g2_0 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_26 local_g0_2 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer local_g3_5 lutff_3/in_1 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_21 local_g3_5 buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_v_b_25 local_g2_1 -routing sp4_h_r_4 sp4_h_l_42 -routing sp4_v_t_36 sp4_h_r_6 +buffer sp4_r_v_b_9 local_g2_1 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_t_46 sp4_v_b_11 -.logic_tile 2 14 -LC_0 0101001100000000 0000 -LC_2 0101001100000000 0000 +.logic_tile 4 15 +LC_0 0001000000000000 0000 +LC_1 1000001001000001 0000 +LC_2 1000000000000000 0000 +LC_3 1000001001000001 0000 LC_4 0100000000000000 0100 DffEnable -LC_5 1110000000000000 0100 DffEnable -LC_6 1110000000000000 0100 DffEnable -LC_7 0001000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_5 lutff_global/cen -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_0 lutff_7/in_1 -buffer local_g2_3 lutff_0/in_1 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_5 lutff_2/in_0 -buffer local_g3_7 lutff_0/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_6/out sp4_v_b_44 -buffer neigh_op_bnr_0 local_g1_0 -buffer neigh_op_bot_1 local_g0_1 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp12_v_b_15 local_g3_7 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_r_v_b_11 local_g2_3 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_40 local_g3_0 -buffer sp4_v_b_5 local_g1_5 -routing sp12_v_b_0 sp12_h_r_0 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_43 sp4_v_b_6 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_h_r_3 sp4_h_l_43 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_t_37 sp4_h_r_5 -routing sp4_v_t_43 sp4_h_r_6 -routing sp4_v_t_47 sp4_h_r_10 - -.logic_tile 9 6 -LC_0 0000001000000000 0000 -LC_1 0000001000000000 0000 -LC_2 0101001100000000 0000 -LC_3 0101001100000000 0000 -LC_4 0110100110010110 0000 -LC_5 0010000000000000 0000 -LC_6 0000100000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_6/in_2 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_3 lutff_global/cen buffer local_g1_4 lutff_1/in_2 buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_5 lutff_3/in_1 -buffer local_g3_6 lutff_1/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_2 local_g1_2 -buffer sp4_v_b_4 local_g0_4 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_8 local_g1_0 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_h_r_8 sp4_h_l_46 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_t_39 sp4_h_l_39 -routing sp4_v_t_41 sp4_h_r_9 -routing sp4_v_t_42 sp4_h_r_0 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_6 lutff_3/in_3 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer neigh_op_rgt_7 local_g2_7 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_h_r_3 local_g1_3 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_23 local_g3_7 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_42 local_g2_2 +routing sp4_h_l_42 sp4_h_r_10 +routing sp4_h_l_42 sp4_v_b_1 -.logic_tile 6 5 -ColBufCtrl glb_netwk_2 +.logic_tile 7 13 +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 LC_0 0001000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0000000100000000 0000 -LC_3 1000001001000001 0000 -LC_4 1110111011100000 0000 -LC_5 1000001001000001 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_4/in_1 +LC_1 0000000001000000 0000 +LC_2 0000000000100000 0000 +LC_3 0000001000000000 0000 +LC_4 0000000000001101 0000 +LC_5 0000000011111101 0000 +LC_6 0000000000000010 0000 +LC_7 0100010111111111 0000 +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_5 glb2local_3 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_3 lutff_1/in_2 buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g1_2 lutff_4/in_3 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_2 lutff_1/in_3 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_3 lutff_4/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out local_g0_1 -buffer lutff_3/out local_g1_3 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp4_v_b_12 -buffer neigh_op_lft_4 local_g0_4 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_2 local_g1_2 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_v_b_18 local_g2_2 -buffer sp12_v_b_4 local_g2_4 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_2 local_g0_2 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_12 local_g1_4 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_34 local_g3_2 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_v_b_2 -routing sp4_v_b_1 sp4_v_t_44 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_37 sp4_v_b_3 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_43 sp4_h_l_43 - -.logic_tile 5 3 -LC_0 0000000100000000 0000 -LC_1 0000000100000000 0000 -LC_2 0000000000010000 0000 -LC_3 0000000010000000 0000 -LC_4 0100000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0000000000001000 0000 -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_1/in_0 buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_5 lutff_5/in_0 buffer local_g0_5 lutff_7/in_2 -buffer local_g0_6 lutff_6/in_2 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_4/in_3 buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_2 lutff_6/in_3 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out sp12_h_r_12 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_7/in_3 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_6 lutff_6/in_1 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_6 lutff_6/in_3 +buffer local_g3_7 lutff_1/in_3 +buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_v_b_34 buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_2/out sp4_v_b_36 buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_5/out sp4_v_b_42 buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_lft_6 local_g1_6 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_2 local_g1_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_28 local_g3_4 -buffer sp4_h_r_29 local_g3_5 -buffer sp4_h_r_30 local_g3_6 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_39 local_g2_7 -buffer sp4_h_r_39 local_g3_7 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_4 local_g1_4 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_10 local_g0_2 -routing sp4_h_l_41 sp4_h_r_7 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_rgt_6 local_g3_6 +buffer sp12_v_b_15 local_g3_7 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_3 local_g1_3 +routing sp12_v_b_1 sp12_v_t_22 +routing sp4_h_l_42 sp4_v_t_42 routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_11 sp4_h_r_5 -routing sp4_v_b_6 sp4_v_t_44 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_38 sp4_h_l_44 -routing sp4_v_t_40 sp4_h_l_46 -routing sp4_v_t_41 sp4_h_l_41 -routing sp4_v_t_41 sp4_h_r_9 -routing sp4_v_t_41 sp4_v_b_0 -routing sp4_v_t_42 sp4_h_r_0 -routing sp4_v_t_42 sp4_v_b_10 -routing sp4_v_t_43 sp4_v_b_2 -routing sp4_v_t_44 sp4_h_r_2 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_10 sp4_h_l_43 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_h_r_8 sp4_h_l_41 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_t_36 sp4_h_l_42 -.logic_tile 11 7 -LC_0 1000000000000000 0000 -LC_1 0000001000000000 0000 -LC_2 1110000000000000 0000 -LC_3 0000000000000010 0000 -LC_4 0010000000000000 0000 -LC_5 1000101010101010 0000 -LC_6 0000000000001110 0000 -LC_7 1111111100011111 0000 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_5/in_3 +.logic_tile 2 11 +LC_0 0010000000000000 0000 +LC_3 0001000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 0101110000000000 0100 DffEnable +LC_7 0101110000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_3 +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_3/in_2 buffer local_g0_4 lutff_7/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_3 lutff_7/in_3 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_4/in_3 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_1 lutff_1/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_0 lutff_6/in_2 buffer local_g2_1 lutff_3/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_1 lutff_7/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_2 lutff_0/in_3 -buffer local_g3_4 lutff_6/in_1 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out local_g3_0 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out lutff_4/in_2 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_4/out sp4_v_b_24 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_4 lutff_5/in_2 +buffer lutff_0/out local_g2_0 +buffer lutff_3/out sp12_h_r_14 buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_39 local_g3_7 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_11 local_g2_3 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_13 local_g1_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g2_7 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_4 local_g1_4 buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_41 local_g3_1 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_l_36 sp4_h_r_1 -routing sp4_h_l_36 sp4_h_r_4 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_40 sp4_h_r_8 -routing sp4_h_l_40 sp4_v_b_5 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_v_b_3 sp4_h_l_38 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_t_36 sp4_v_b_4 -routing sp4_v_t_42 sp4_h_r_0 +buffer sp4_v_b_33 local_g2_1 +routing sp4_h_l_42 sp4_h_r_3 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_9 sp4_h_r_9 -.logic_tile 6 8 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 0101110000000000 0000 -LC_6 1010001100000000 0000 +.logic_tile 9 9 +LC_0 0100000000000000 0000 +LC_1 0100000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0101001100000000 0000 +LC_4 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_2 glb2local_0 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_7 lutff_1/in_2 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_2/in_2 -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/cout lutff_4/in_3 +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 glb2local_1 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_4 lutff_2/in_0 +buffer local_g3_4 lutff_1/in_0 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out local_g0_3 buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bnl_4 local_g2_4 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_tnr_7 local_g2_7 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_5 local_g0_5 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_r_v_b_45 local_g3_5 -buffer sp4_v_b_28 local_g3_4 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_r_11 sp4_h_l_42 -routing sp4_h_r_3 sp4_v_b_8 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_v_t_44 sp4_h_l_38 - -.logic_tile 1 12 -CarryInSet -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_3 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0110100110010110 0000 -LC_7 1000000000000000 0000 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_2 lutff_6/in_3 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out local_g1_2 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp4_v_b_30 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_r_v_b_23 local_g3_7 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_39 local_g2_7 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_lft_0 local_g0_0 +buffer sp12_h_r_10 local_g1_2 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_r_v_b_6 local_g1_6 +routing sp12_v_b_0 sp12_h_l_23 +routing sp4_v_t_37 sp4_h_l_43 -.logic_tile 8 12 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0110100110010110 0000 -LC_1 0101001100000000 0000 -LC_3 0101001100000000 0000 -LC_4 0101001100000000 0000 -LC_5 0101001100000000 0000 -LC_6 0110100110010110 0000 +.logic_tile 5 14 +LC_0 1110111011100000 0000 +LC_1 0000000010000000 0000 +LC_2 0001000000000000 0000 +LC_3 0000010000000000 0000 +LC_4 0000100000000000 0000 +LC_5 1110000000000000 0000 +LC_6 1110000000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_6/in_3 buffer local_g0_4 lutff_5/in_1 buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_6 lutff_4/in_2 buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_1/in_2 buffer local_g1_0 lutff_3/in_2 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_3/in_1 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_3 lutff_6/in_3 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g2_7 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_5 lutff_6/in_0 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_0/in_2 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out local_g0_7 buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_6 local_g0_6 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_top_1 local_g0_1 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_19 local_g0_3 +buffer sp12_h_r_3 local_g1_3 +buffer sp12_v_b_14 local_g2_6 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_47 local_g3_7 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_42 local_g2_2 + +.logic_tile 8 14 +LC_0 0000000010000000 0000 +LC_1 1000010000100001 0000 +LC_2 0000000010000000 0000 +LC_3 0000000010000000 0000 +LC_4 1000001001000001 0000 +LC_5 0000000010000000 0000 +LC_6 0000000010000000 0000 +LC_7 0000000000000001 0000 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_6 lutff_6/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_2 lutff_7/in_2 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_5 lutff_5/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_h_r_28 +buffer neigh_op_bnr_5 local_g0_5 +buffer neigh_op_bot_2 local_g0_2 buffer neigh_op_bot_7 local_g1_7 buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_3 local_g2_3 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_31 local_g0_7 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_41 sp4_h_r_0 -routing sp4_h_l_44 sp4_v_t_44 -routing sp4_v_b_4 sp4_h_l_44 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_h_r_21 local_g1_5 +buffer sp12_v_b_11 local_g2_3 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_36 local_g3_4 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_37 local_g3_5 +buffer sp4_v_b_4 local_g1_4 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_h_r_9 sp4_h_l_37 +routing sp4_v_b_10 sp4_v_t_43 routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_b_7 sp4_h_l_37 -routing sp4_v_t_42 sp4_v_b_7 -.logic_tile 11 8 -LC_0 0100000000000000 0100 DffEnable -LC_1 0111000000000000 0100 DffEnable -LC_2 0111000000000000 0100 DffEnable -LC_3 0111000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0111000000000000 0100 DffEnable +.logic_tile 8 15 +LC_0 0000000000000001 0000 +LC_1 1000010000100001 0000 +LC_2 0000000001000000 0000 +LC_3 1000001001000001 0000 +LC_4 1000000000000000 0000 +LC_5 1111111101000101 0000 +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_1 lutff_global/cen +buffer glb2local_0 local_g0_4 +buffer glb2local_2 local_g0_6 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_6 lutff_2/in_1 -buffer local_g2_6 lutff_1/in_1 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_2 lutff_7/in_0 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_rgt_2 local_g3_2 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_r_v_b_6 local_g1_6 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_v_t_40 sp4_h_l_46 -routing sp4_v_t_40 sp4_h_r_10 - -.logic_tile 4 15 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_2 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_5 lutff_global/cen -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_1/in_2 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_tnr_7 local_g3_7 -buffer neigh_op_top_5 local_g1_5 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_20 sp4_h_r_22 -routing sp12_h_l_22 sp12_v_b_1 -routing sp12_h_r_1 sp12_h_l_22 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_7 sp4_h_l_42 - -.logic_tile 7 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 1100101000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0100000000000000 0000 -LC_3 0100000000000000 0000 -LC_4 1100101000000000 0000 -LC_5 0101001100000000 0110 DffEnable Set_NoReset -LC_6 0101001100000000 0110 DffEnable Set_NoReset -LC_7 0101001100000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_1/in_0 +buffer glb_netwk_5 glb2local_2 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_0/in_1 buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_2/in_3 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_2/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_5/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_0/in_0 buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_3/in_3 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_0/in_3 buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_7 lutff_4/in_1 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_2/in_3 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_7 lutff_1/in_0 buffer local_g3_0 lutff_7/in_0 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_5 lutff_3/in_1 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_3/out local_g1_3 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g2_7 -buffer neigh_op_top_7 local_g0_7 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_v_b_12 local_g2_4 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_40 local_g3_0 -buffer sp4_h_r_45 local_g3_5 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_32 local_g0_3 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_4 local_g1_4 -buffer sp4_v_b_42 local_g2_2 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_r_10 sp4_h_l_43 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_t_36 sp4_h_r_1 -routing sp4_v_t_43 sp4_v_b_6 - -.logic_tile 2 11 -LC_0 0000111000000000 0000 -LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0110100110010110 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_7/in_3 -buffer local_g2_2 lutff_7/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_7 lutff_3/in_3 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g3_7 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_bot_7 local_g1_7 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_3 local_g1_3 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_34 local_g2_2 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_t_42 sp4_v_b_10 -routing sp4_v_t_43 sp4_v_b_6 -routing sp4_v_t_47 sp4_v_b_1 - -.logic_tile 9 9 -LC_0 0100000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0000000100000000 0000 -LC_3 0000111000000000 0000 -LC_4 0100000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0010110100000000 0000 -LC_7 0000000100000000 0000 -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_4/in_3 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_6 lutff_5/in_2 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_7/in_2 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_6 lutff_3/in_0 -buffer local_g3_7 lutff_3/in_1 -buffer local_g3_7 lutff_7/in_3 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_7 lutff_4/in_2 buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g2_2 +buffer lutff_2/out sp4_r_v_b_5 buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_40 buffer lutff_5/out local_g2_5 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnl_4 local_g2_4 -buffer neigh_op_bnl_4 local_g3_4 -buffer sp12_v_b_16 local_g2_0 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_39 local_g2_7 -buffer sp4_h_r_39 local_g3_7 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_35 local_g3_3 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_l_43 sp4_v_t_43 -routing sp4_h_r_10 sp4_v_t_47 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_t_46 sp4_h_l_46 - -.logic_tile 5 14 -LC_1 1000000000000000 0000 -LC_2 0101010001010101 0000 -LC_3 1111010000000000 0000 -LC_5 0001000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_1 lutff_2/in_3 -buffer local_g3_1 lutff_5/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_7/out local_g1_7 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_3 local_g0_3 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_33 local_g3_1 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_6 sp4_h_l_43 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_t_40 sp4_h_r_10 - -.logic_tile 8 14 -LC_0 1111101100000000 0000 -LC_2 0010000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 1100101000000000 0000 -LC_5 0001000000000000 0000 -LC_6 0101001100000000 0110 DffEnable Set_NoReset -LC_7 0101001100000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_7 lutff_4/in_1 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_5 lutff_0/in_0 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_5/out sp4_h_r_10 buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g2_7 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnr_1 local_g2_1 -buffer neigh_op_top_2 local_g0_2 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_6 local_g0_6 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_13 local_g3_5 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_42 local_g3_2 -routing sp12_h_r_1 sp12_v_b_1 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_11 sp4_v_b_11 -routing sp4_v_b_9 sp4_v_t_37 -routing sp4_v_t_47 sp4_h_l_41 - -.logic_tile 8 15 -LC_0 0001000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0110000000000000 0000 -LC_3 0000000000100000 0000 -LC_4 0110100110010110 0000 -LC_5 0101010100111111 0100 DffEnable -LC_6 0001010111111011 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_6 lutff_3/in_2 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g2_1 -buffer lutff_1/out local_g3_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out lutff_5/in_2 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp12_v_b_12 -buffer neigh_op_bnl_2 local_g2_2 -buffer neigh_op_bnr_1 local_g0_1 -buffer neigh_op_bnr_1 local_g1_1 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_top_2 local_g1_2 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_3 local_g1_3 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_42 local_g3_2 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_7 local_g1_7 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_7 sp4_h_l_42 -routing sp4_v_t_42 sp4_h_l_36 - -.logic_tile 6 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0000000010111110 0000 -LC_1 0001000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0100000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0000000100000000 0000 -LC_6 0001000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_5 lutff_2/in_0 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out local_g1_4 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out lutff_5/in_2 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g1_6 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp4_v_b_28 buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_1 local_g2_1 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnl_5 local_g3_5 -buffer neigh_op_tnr_7 local_g3_7 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_39 local_g2_7 -routing sp4_h_l_40 sp4_h_r_5 -routing sp4_h_l_41 sp4_h_r_7 -routing sp4_h_r_10 sp4_h_l_43 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_b_9 sp4_h_l_44 -routing sp4_v_t_37 sp4_v_b_3 - -.logic_tile 1 7 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0000000011111111 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_1/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_5/in_1 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_4/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_v_b_28 local_g3_4 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_45 local_g2_5 -buffer sp4_v_b_45 local_g3_5 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_2 sp4_v_t_39 - -.logic_tile 11 15 -LC_0 0010000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0101010100111111 0100 DffEnable -LC_3 0101010100111111 0100 DffEnable -LC_4 0101010100111111 0100 DffEnable -LC_6 0101010100111111 0100 DffEnable -LC_7 0101010100111111 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_6 lutff_4/in_2 -buffer local_g1_0 lutff_2/in_3 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_0 lutff_6/in_3 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g2_0 lutff_3/in_3 -buffer local_g2_0 lutff_7/in_3 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_6 lutff_2/in_2 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_4 lutff_3/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out local_g2_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_11 local_g3_3 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_40 local_g3_0 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_v_b_25 local_g2_1 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_h_r_7 sp4_v_t_36 - -.logic_tile 7 8 -LC_0 1100001101010101 0000 -LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0101110000000000 0000 -LC_5 1010110000000000 0000 -LC_6 0110000000000000 0000 -LC_7 1000000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_7/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_4 lutff_2/in_1 -buffer local_g3_5 lutff_global/s_r -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnl_3 local_g2_3 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_v_b_11 local_g3_3 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_42 local_g3_2 -buffer sp4_v_b_44 local_g3_4 -routing sp12_h_l_22 sp12_h_r_1 -routing sp12_v_t_23 sp12_h_r_0 -routing sp4_v_t_36 sp4_h_l_36 - -.logic_tile 2 4 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_1 1000000000000000 0000 -LC_2 0000101100000000 0000 -LC_3 0110100110010110 0000 -LC_4 1000000000000000 0000 -LC_5 0000000000001011 0000 -LC_6 1010101000111111 0000 -LC_7 0110100110010110 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_7 lutff_4/in_0 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_h_r_6 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out lutff_6/in_2 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_tnl_7 local_g2_7 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_47 local_g3_7 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_42 local_g3_2 -buffer sp4_v_b_5 local_g1_5 -routing sp4_h_r_10 sp4_v_t_41 -routing sp4_h_r_9 sp4_v_t_44 - -.logic_tile 9 12 -CarryInSet -ColBufCtrl glb_netwk_3 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0001000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g1_3 lutff_global/cen -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_4 local_g3_4 -buffer sp12_v_b_10 local_g2_2 -buffer sp12_v_b_18 local_g3_2 -buffer sp12_v_b_21 local_g2_5 -buffer sp12_v_b_9 local_g3_1 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_40 local_g3_0 -routing sp4_h_l_47 sp4_v_t_38 - -.logic_tile 12 8 -LC_0 0010000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0101001100000000 0000 -LC_3 0001000000000000 0000 -LC_4 0000010000000000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb2local_2 local_g0_6 -buffer glb_netwk_0 glb2local_0 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_1 glb2local_2 -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_5 lutff_4/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnl_5 local_g3_5 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_23 local_g2_7 -buffer sp12_v_b_8 local_g2_0 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_23 local_g1_7 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_b_9 sp4_v_t_44 -routing sp4_v_t_40 sp4_h_l_40 -routing sp4_v_t_41 sp4_v_b_0 - -.logic_tile 11 16 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_6/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_h_r_30 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_47 local_g3_7 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_40 local_g2_0 -routing sp4_h_l_43 sp4_v_t_46 - -.logic_tile 5 9 -LC_0 0010000000000000 0000 -LC_1 1000101010101010 0000 -LC_2 0000111000000000 0000 -LC_3 0000000100000000 0000 -LC_4 0100000000000000 0000 -LC_5 1111111000000000 0000 -LC_6 1010101100000000 0000 -LC_7 1010101100000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_0 -buffer glb_netwk_1 glb2local_1 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_1/in_3 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_5 lutff_5/in_0 -buffer local_g3_0 lutff_3/in_2 -buffer local_g3_1 lutff_5/in_3 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_2 lutff_7/in_2 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_1 local_g1_1 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_v_b_16 local_g3_0 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_45 local_g2_5 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_40 sp4_v_b_11 -routing sp4_h_r_4 sp4_h_l_42 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_39 sp4_h_r_7 -routing sp4_v_t_41 sp4_h_r_4 - -.logic_tile 4 7 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 0101110000000000 0100 DffEnable -LC_6 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_6 lutff_7/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_6 local_g3_6 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_39 local_g2_7 -routing sp4_h_l_42 sp4_h_r_3 -routing sp4_h_r_10 sp4_h_l_36 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_b_5 sp4_v_t_45 -routing sp4_v_b_8 sp4_v_t_46 -routing sp4_v_t_42 sp4_h_l_42 - -.logic_tile 9 1 -LC_0 0000000100000000 0000 -LC_2 0101001100000000 0000 -LC_3 0000000001110001 0000 -LC_4 0000000100000000 0000 -LC_5 1110111011100000 0000 -LC_6 0000110010101010 0000 -LC_7 0101010101010100 0000 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_7/in_2 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_7 lutff_0/in_3 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_1 lutff_3/in_3 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_6 lutff_2/in_1 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_2/out local_g3_2 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_h_r_6 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_31 local_g3_7 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_8 local_g0_0 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_6 local_g0_6 -routing sp12_h_l_22 sp12_v_t_22 -routing sp12_h_l_23 sp12_v_t_23 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_r_1 sp4_h_l_44 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_43 sp4_h_r_6 -routing sp4_v_t_43 sp4_v_b_6 - -.logic_tile 6 6 -LC_0 1110111011100000 0000 -LC_1 0000000011100000 0000 -LC_2 1010101000111111 0000 -LC_3 1110111011100000 0000 -LC_4 0000000000001011 0000 -LC_5 0001000000000000 0000 -LC_6 0000111000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_7 lutff_4/in_3 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_2/in_3 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_4/in_2 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_1/in_0 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_top_0 local_g0_0 -buffer neigh_op_top_0 local_g1_0 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_29 local_g3_5 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_44 local_g3_4 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_l_44 sp4_h_r_9 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_h_r_4 sp4_h_l_41 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_b_9 sp4_h_r_3 -routing sp4_v_t_47 sp4_h_l_47 - -.logic_tile 5 6 -LC_0 1010101000111111 0000 -LC_1 0110100110010110 0000 -LC_2 0000000000001011 0000 -LC_3 0000000011100000 0000 -LC_4 1010101011110011 0000 -LC_5 1110111011100000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_2/in_3 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_6 lutff_3/in_0 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g3_6 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnr_0 local_g3_0 -buffer neigh_op_tnr_2 local_g3_2 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_3 local_g1_3 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_8 local_g0_0 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_39 local_g2_7 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_h_r_11 sp4_v_b_11 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_h_r_9 sp4_v_b_9 -routing sp4_v_t_42 sp4_v_b_7 - -.logic_tile 2 16 -LC_0 1111010000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_5 lutff_0/in_0 -buffer local_g2_0 lutff_0/in_2 -buffer local_g3_0 lutff_7/in_0 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_14 -buffer sp12_h_r_13 local_g1_5 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_r_v_b_33 local_g0_2 - -.logic_tile 11 2 -LC_0 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_6 lutff_2/in_0 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_v_b_14 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_12 local_g1_4 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_v_b_5 sp4_v_t_40 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_44 sp4_v_b_5 - -.logic_tile 7 7 -LC_0 1000010000100001 0000 -LC_1 1000001001000001 0000 -LC_2 0000000100000000 0000 -LC_3 1000001001000001 0000 -LC_4 1000000000000000 0000 -LC_5 1001000000000000 0000 -LC_6 0110100110010110 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_5/in_3 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_6/in_3 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_6 lutff_3/in_3 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_6 lutff_4/in_3 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out lutff_2/in_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out local_g3_7 -buffer sp12_h_r_13 local_g0_5 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_9 local_g0_1 -buffer sp12_v_b_2 local_g2_2 -buffer sp12_v_b_4 local_g2_4 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_h_r_42 local_g3_2 -buffer sp4_h_r_5 local_g1_5 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_46 local_g3_6 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_43 local_g2_3 -routing sp12_h_r_1 sp12_v_b_1 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_h_l_47 sp4_v_t_47 -routing sp4_h_r_1 sp4_h_l_36 -routing sp4_h_r_1 sp4_v_b_6 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_h_r_9 sp4_v_t_44 - -.logic_tile 2 1 -LC_2 0010000000000000 0000 -LC_6 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_6/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_3 lutff_global/cen -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_r_v_b_45 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_16 local_g1_0 -routing sp4_h_l_47 sp4_h_r_6 -routing sp4_v_t_41 sp4_h_l_47 - -.logic_tile 1 15 -LC_0 0000000011111111 0000 -LC_1 0110100110010110 0000 -LC_2 0000110111110010 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_5 0000010011110111 0100 DffEnable -LC_7 0000010011110111 0100 DffEnable -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_2/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_2/in_3 -buffer local_g2_0 lutff_7/in_3 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_7/out sp4_r_v_b_47 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_5 local_g0_5 -buffer sp12_h_r_6 local_g0_6 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_8 local_g2_0 -routing sp4_v_b_1 sp4_h_r_7 - -.logic_tile 12 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_7 -LC_0 1000000000000000 0000 -LC_1 0111000000000000 0000 -LC_2 0000100010000000 0000 -LC_3 0010000000000000 0000 -LC_4 1011111000000000 0000 -LC_5 0111000000000000 0101 DffEnable AsyncSetReset -LC_6 0100000000000000 0101 DffEnable AsyncSetReset -LC_7 0100000000000000 0101 DffEnable AsyncSetReset -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_3 lutff_4/in_2 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_3 lutff_6/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_1 local_g1_1 -buffer sp12_v_b_3 local_g3_3 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_5 local_g0_5 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_b_1 sp4_h_l_43 -routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_t_36 sp4_v_b_1 -routing sp4_v_t_40 sp4_h_l_46 - -.logic_tile 4 12 -CarryInSet -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_6 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_4 0001000000000000 0000 -LC_5 0001000000000000 0000 -LC_6 0100000000000000 0000 -LC_7 0110100110010110 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_5 lutff_6/in_3 -buffer local_g3_4 lutff_4/in_3 -buffer local_g3_5 lutff_7/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_tnr_0 local_g2_0 -buffer neigh_op_tnr_3 local_g2_3 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_h_r_4 local_g0_4 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_45 local_g3_5 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_44 local_g3_4 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_r_11 sp4_h_l_39 -routing sp4_v_b_5 sp4_v_t_45 - -.logic_tile 7 16 -LC_0 1101010100000000 0000 -LC_1 0000011100000000 0000 -LC_2 0000001000000000 0000 -LC_3 0000000010110000 0000 -LC_4 0000000100000000 0000 -LC_5 0000111000000000 0000 -LC_6 1111111000000000 0000 -LC_7 0000000011001010 0101 DffEnable AsyncSetReset -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_1/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_0/in_3 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_4 lutff_5/in_2 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out local_g0_2 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_v_b_14 local_g2_6 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_40 local_g3_0 -routing sp4_v_b_10 sp4_h_r_4 - -.logic_tile 2 12 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0000000010000000 0000 -LC_2 0000000001000000 0000 -LC_3 0001000000000000 0000 -LC_4 1011111000000000 0000 -LC_5 0000001000000000 0000 -LC_6 0000010000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_7 lutff_0/in_3 -buffer local_g2_7 lutff_2/in_3 -buffer local_g2_7 lutff_6/in_3 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_4 lutff_4/in_3 -buffer local_g3_7 lutff_5/in_3 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_14 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_v_b_0 local_g2_0 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp12_v_b_15 local_g2_7 -buffer sp12_v_b_15 local_g3_7 -buffer sp12_v_b_2 local_g2_2 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_44 local_g3_4 -routing sp12_v_b_0 sp12_h_r_0 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_3 sp4_v_b_8 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_8 sp4_v_t_41 - -.logic_tile 9 4 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_7 -LC_0 0111000100000000 0000 -LC_1 0001000001000000 0000 -LC_2 0110100110010110 0000 -LC_3 1011111000000000 0000 -LC_4 1110101100000000 0000 -LC_5 1011101011101010 0000 -LC_6 0110100110010110 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_4 lutff_1/in_3 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_1/in_0 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_5/in_3 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_6 lutff_5/in_2 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g3_6 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_v_b_8 local_g2_0 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_42 local_g3_2 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_r_1 sp4_h_l_41 -routing sp4_h_r_2 sp4_v_t_45 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_h_r_7 sp4_h_l_42 -routing sp4_v_b_10 sp4_v_t_36 - -.logic_tile 5 1 -LC_0 0000010000000000 0000 -LC_1 0000000100000000 0000 -LC_3 0100000000000000 0000 -LC_4 0000010000000000 0000 -LC_5 1000000000000000 0000 -LC_7 0110000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_7 lutff_0/in_2 -buffer local_g1_7 lutff_4/in_2 -buffer local_g1_7 lutff_5/in_1 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_2 lutff_4/in_0 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_3 lutff_7/in_0 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_4 lutff_1/in_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out local_g0_4 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_tnl_3 local_g3_3 -buffer neigh_op_tnl_4 local_g3_4 -buffer neigh_op_top_7 local_g0_7 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_28 local_g1_4 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_9 sp4_h_l_37 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_t_41 sp4_h_r_4 - -.logic_tile 12 10 -LC_0 0000100000000000 0000 -LC_1 0000000100000000 0000 -LC_2 0110100110010110 0000 -LC_4 0010000000000000 0000 -LC_5 0100000000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_5 lutff_5/in_0 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_5 lutff_0/in_0 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_2/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnl_5 local_g3_5 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_24 local_g3_0 -buffer sp4_v_b_7 local_g1_7 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_v_b_4 sp4_h_l_41 - -.logic_tile 7 2 -LC_0 1011101000000000 0000 -LC_2 0100000000000000 0000 -LC_3 1110101100000000 0000 -LC_4 0110100110010110 0000 -LC_5 0110100110010110 0000 -LC_6 0001000001000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_global/s_r -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_5 lutff_6/in_3 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_3/in_3 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_4 lutff_6/in_0 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_5/in_1 -buffer local_g3_4 lutff_6/in_1 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out lutff_6/in_2 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_0 local_g2_0 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_3 local_g1_3 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_27 local_g3_3 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_v_b_15 local_g1_7 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_1 sp4_v_t_42 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_44 sp4_h_l_38 - -.logic_tile 6 14 -LC_0 0000100000000000 0000 -LC_1 0000000011001010 0000 -LC_2 1100101000000000 0000 -LC_3 0100010101010101 0000 -LC_4 1100101000000000 0000 -LC_5 1010100010101010 0000 -LC_6 1100101000000000 0000 -LC_7 0011111111110101 0000 -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_6 lutff_6/in_2 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_1 lutff_1/in_3 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_7 lutff_3/in_3 -buffer local_g3_7 lutff_7/in_3 -buffer lutff_0/out local_g3_0 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g0_7 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_top_1 local_g1_1 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_r_v_b_23 local_g3_7 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_30 local_g2_6 -routing sp4_h_r_6 sp4_v_b_6 - -.logic_tile 1 2 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000011111111 0000 -buffer local_g0_4 lutff_1/in_1 -buffer local_g1_2 lutff_1/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_5 lutff_0/in_1 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_1 lutff_2/in_2 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/out sp4_r_v_b_29 -buffer neigh_op_tnr_1 local_g3_1 -buffer sp12_v_b_16 local_g3_0 -buffer sp12_v_b_17 local_g2_1 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_v_b_4 local_g0_4 -buffer sp4_v_b_40 local_g2_0 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_t_45 sp4_h_r_1 -routing sp4_v_t_45 sp4_v_b_4 - -.logic_tile 12 2 -LC_7 1000000000000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_4 glb2local_1 -buffer local_g0_5 lutff_7/in_0 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp12_v_b_14 -buffer sp12_v_b_13 sp4_v_b_18 -routing sp12_v_t_22 sp12_v_b_1 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_t_37 sp4_h_l_43 -routing sp4_v_t_41 sp4_h_l_41 -routing sp4_v_t_45 sp4_h_l_45 -routing sp4_v_t_46 sp4_h_l_40 +buffer lutff_7/out local_g3_7 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_v_b_8 local_g2_0 +buffer sp12_v_b_8 local_g3_0 +buffer sp12_v_b_9 local_g3_1 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_9 local_g0_1 +routing sp12_v_t_22 sp12_h_l_22 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_7 sp4_h_l_42 +routing sp4_v_b_3 sp4_h_l_38 +routing sp4_v_b_4 sp4_v_t_41 +routing sp4_v_b_8 sp4_h_l_45 +routing sp4_v_b_9 sp4_h_l_39 -.logic_tile 11 10 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_3 1000000000000000 0000 -LC_4 1010101010101000 0000 -LC_5 0000000100000000 0000 -LC_6 0100000000000000 0100 DffEnable +.logic_tile 6 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +LC_0 0000111000000000 0000 +LC_1 0000000000000001 0000 +LC_2 0000000000001110 0000 +LC_3 0010000000000000 0000 +LC_4 1000001001000001 0000 +LC_5 1000000000000000 0000 +LC_6 1000001001000001 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +buffer glb2local_0 local_g0_4 +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 glb2local_0 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_0/in_1 buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_5/in_2 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_4/in_3 buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_4/in_3 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_2/in_3 buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_20 local_g1_4 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_24 local_g2_0 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_5 lutff_2/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out local_g2_7 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_tnr_3 local_g3_3 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_9 local_g1_1 +buffer sp12_v_b_0 local_g2_0 +buffer sp12_v_b_13 local_g3_5 +buffer sp12_v_b_9 local_g2_1 +buffer sp4_h_r_25 local_g3_1 buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_33 local_g2_1 -routing sp12_v_t_22 sp12_h_l_22 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_35 local_g2_3 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_h_r_8 sp4_v_b_8 +routing sp4_h_r_8 sp4_v_t_39 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_8 sp4_v_t_46 +routing sp4_v_t_39 sp4_v_b_5 -.logic_tile 4 9 -LC_0 0100000000000000 0000 -LC_1 0000110100000000 0000 -LC_2 1111111111110100 0000 -LC_3 1000000000000000 0000 -LC_4 0000010000000000 0100 DffEnable -LC_5 0000000001011101 0100 DffEnable -LC_6 0000000001011101 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_1 glb2local_0 +.logic_tile 1 7 +LC_1 0110100110010110 0000 +LC_4 0001000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_1 lutff_global/cen buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_6 lutff_global/s_r +buffer glb_netwk_4 lutff_global/s_r buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_3 lutff_5/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_4 -buffer neigh_op_tnr_1 local_g3_1 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_19 local_g0_3 -buffer sp12_h_r_19 local_g1_3 -buffer sp12_h_r_6 local_g0_6 +buffer local_g0_2 lutff_5/in_3 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_5 lutff_5/in_1 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_6 lutff_1/in_3 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_3 lutff_6/in_0 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_r_v_b_13 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_5 local_g1_5 +buffer sp12_h_r_1 local_g1_1 buffer sp12_v_b_3 local_g3_3 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_h_r_6 local_g1_6 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_r_6 sp4_v_t_43 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_3 sp4_v_t_46 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_b_9 sp4_v_t_44 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_45 sp4_v_b_8 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_v_b_30 local_g2_6 +routing sp4_h_r_3 sp4_h_l_43 + +.logic_tile 7 8 +LC_0 0000000011110010 0000 +LC_1 0000100000000000 0000 +LC_2 0000011100000000 0000 +LC_3 0000101100000000 0000 +LC_4 1111110100000000 0000 +LC_5 0111000000000000 0000 +LC_6 0000000011110001 0000 +LC_7 0001000000000000 0100 DffEnable +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_0/in_3 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_5 lutff_3/in_0 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_5 lutff_3/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g0_1 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g0_5 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnr_2 local_g1_2 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_tnr_5 local_g2_5 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_6 local_g1_6 +buffer sp12_v_b_13 local_g3_5 +buffer sp12_v_b_9 local_g3_1 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_18 local_g0_2 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_9 sp4_h_l_44 +routing sp4_v_t_36 sp4_h_r_6 -.logic_tile 7 15 -LC_0 0000000011101011 0000 -LC_1 1111111110101100 0000 -LC_2 0000000000001011 0000 -LC_3 0000000000000100 0000 -LC_4 0001000000000000 0000 -LC_5 0010000000000000 0000 -LC_6 0000111101011101 0100 DffEnable -LC_7 0101011100000000 0100 DffEnable +.logic_tile 2 4 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0101010100111111 0100 DffEnable +LC_5 0101010100111111 0100 DffEnable +LC_6 0101010100111111 0100 DffEnable +LC_7 0101010100111111 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_4 lutff_3/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_4 lutff_7/in_3 buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_7/in_3 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_0/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_7 lutff_2/in_0 buffer local_g2_1 lutff_3/in_0 -buffer local_g2_1 lutff_7/in_2 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_3 lutff_0/in_3 +buffer local_g2_2 lutff_6/in_0 buffer local_g2_4 lutff_6/in_2 -buffer local_g2_7 lutff_1/in_2 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_4 lutff_1/in_0 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_6/in_1 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g0_2 -buffer lutff_4/out local_g2_4 +buffer lutff_3/out sp12_h_r_14 buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out sp4_h_r_46 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out sp4_h_r_30 buffer lutff_7/out sp4_r_v_b_47 buffer lutff_7/out sp4_v_b_30 buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnl_1 local_g2_1 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnl_4 local_g3_4 -buffer neigh_op_tnl_6 local_g3_6 -buffer neigh_op_top_3 local_g1_3 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_6 local_g0_6 -buffer sp4_v_b_6 local_g1_6 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_37 sp4_h_r_3 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_46 sp4_v_b_11 -routing sp4_h_r_10 sp4_h_l_36 -routing sp4_h_r_10 sp4_v_b_10 -routing sp4_h_r_3 sp4_v_b_3 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_6 local_g0_6 +buffer sp12_h_r_0 local_g1_0 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_v_b_21 sp4_v_b_22 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_r_4 sp4_v_b_4 +routing sp4_h_r_4 sp4_v_b_9 -.logic_tile 2 9 +.logic_tile 9 12 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 LC_0 1000000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_4 0110100110010110 0000 -LC_5 0110100110010110 0100 DffEnable -LC_6 0110000000000000 0100 DffEnable -LC_7 0110100110010110 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +LC_1 0000100010000000 0000 +LC_2 0110100110010110 0000 +LC_3 1001000000000000 0000 +LC_4 1011111000000000 0000 +LC_5 0100000000000000 0101 DffEnable AsyncSetReset +LC_6 0111000000000000 0101 DffEnable AsyncSetReset +LC_7 0100000000000000 0101 DffEnable AsyncSetReset buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_0 lutff_6/in_0 buffer local_g0_2 lutff_global/cen -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_3 lutff_5/in_1 -buffer local_g2_1 lutff_1/in_0 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_5 lutff_3/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out local_g2_2 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_r_v_b_15 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_3 local_g1_3 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_36 sp4_v_b_9 + +.logic_tile 12 8 +LC_2 0110000000000000 0000 +LC_4 0001111000000000 0000 +LC_5 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 glb2local_0 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_2/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_4/in_2 buffer local_g2_2 lutff_2/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g1_5 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_42 local_g3_2 +routing sp4_h_l_36 sp4_h_r_1 +routing sp4_h_l_39 sp4_v_b_2 + +.logic_tile 11 16 +routing sp4_h_l_37 sp4_v_t_37 + +.logic_tile 5 9 +LC_0 0001000000000000 0000 +LC_1 1011110100000000 0000 +LC_2 0101001100000000 0000 +LC_3 0000000100000000 0000 +LC_4 0000000100000000 0000 +LC_6 0000000011010101 0100 DffEnable +LC_7 0000000011010101 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 glb2local_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_7/in_3 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_3 lutff_1/in_2 buffer local_g2_4 lutff_0/in_0 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_0 lutff_4/in_3 -buffer lutff_0/out sp4_h_r_16 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_6 lutff_2/in_0 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_5 lutff_global/s_r +buffer lutff_0/out sp12_h_r_8 buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp4_r_v_b_9 buffer lutff_6/out local_g2_6 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bnr_5 local_g0_5 +buffer neigh_op_bnr_5 local_g1_5 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_tnr_4 local_g3_4 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_h_r_22 local_g0_6 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_v_b_10 local_g3_2 +buffer sp12_v_b_19 local_g3_3 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_h_r_41 local_g3_1 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_37 local_g3_5 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_6 sp4_h_l_43 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_4 sp4_v_t_37 + +.logic_tile 4 7 +LC_0 0010000000000000 0000 +LC_1 1100101000000000 0000 +LC_2 0000000100000000 0000 +LC_3 0000010000000000 0000 +LC_4 0000000010000000 0000 +LC_5 0101001100000000 0000 +LC_6 0000000000001000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_4 lutff_6/in_3 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g0_6 buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_36 local_g2_4 +buffer neigh_op_rgt_7 local_g2_7 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_29 local_g0_5 buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_34 local_g2_2 -routing sp12_h_r_0 sp12_v_t_23 -routing sp4_h_l_36 sp4_v_t_43 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_35 local_g3_3 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_l_45 sp4_v_b_8 routing sp4_h_r_9 sp4_v_b_9 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_b_6 sp4_v_t_39 -routing sp4_v_t_47 sp4_v_b_1 -.logic_tile 9 15 -LC_0 0001000000000000 0000 -LC_1 0110100110010110 0000 -LC_2 0001000000000000 0000 -LC_3 0110100110010110 0000 -LC_4 0001000000000000 0000 -LC_6 0001000000000000 0000 -LC_7 0101010100111111 0100 DffEnable +.logic_tile 9 1 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_v_t_38 sp4_h_l_44 + +.logic_tile 6 6 +LC_0 0000111111011101 0000 +LC_1 1010101000111111 0000 +LC_2 1111010000000000 0000 +LC_3 0000000011101011 0000 +LC_4 0000010011101111 0000 +LC_5 0000000000100000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_2 local_g0_6 buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 glb2local_2 buffer local_g0_0 lutff_0/in_0 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_7/in_1 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_5/in_1 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_1/in_3 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_3 lutff_5/in_3 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_7 lutff_2/in_0 +buffer local_g3_7 lutff_3/in_3 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_7/out local_g2_7 +buffer neigh_op_rgt_3 local_g2_3 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp12_v_b_17 local_g2_1 +buffer sp12_v_b_18 local_g3_2 +buffer sp12_v_b_3 local_g3_3 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_23 local_g3_7 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_32 local_g2_0 +buffer sp4_v_b_33 local_g3_1 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_46 local_g2_6 +buffer sp4_v_b_46 local_g3_6 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_0 sp4_h_l_37 +routing sp4_h_r_4 sp4_h_l_42 +routing sp4_v_b_2 sp4_h_l_39 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_t_38 sp4_v_b_6 +routing sp4_v_t_42 sp4_h_r_7 +routing sp4_v_t_45 sp4_v_b_11 +routing sp4_v_t_45 sp4_v_b_4 + +.logic_tile 5 6 +LC_0 0000000000000001 0000 +LC_1 0001000000000000 0000 +LC_2 0000010000000000 0000 +LC_3 0000000000000001 0000 +LC_4 0000000100000000 0000 +LC_5 0000000000010000 0000 +LC_6 0000010000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_1 lutff_2/in_0 buffer local_g1_2 lutff_4/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_2 lutff_1/in_3 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_4 lutff_5/in_2 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_6 lutff_4/in_0 +buffer local_g3_3 lutff_4/in_2 +buffer local_g3_5 lutff_1/in_3 +buffer local_g3_5 lutff_3/in_3 +buffer local_g3_5 lutff_5/in_3 +buffer local_g3_5 lutff_6/in_2 buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_h_r_24 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp4_h_r_8 buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_h_r_12 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g0_6 buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bot_1 local_g1_1 -buffer sp12_h_r_0 local_g1_0 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp12_h_r_4 local_g0_4 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_17 local_g0_1 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_tnl_3 local_g3_3 +buffer neigh_op_tnl_4 local_g2_4 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_h_r_9 local_g1_1 buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_42 local_g2_2 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_h_l_41 sp4_h_r_0 -routing sp4_h_l_44 sp4_v_t_44 -routing sp4_h_r_11 sp4_v_t_46 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_24 local_g2_0 +routing sp12_h_r_1 sp12_v_t_22 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_v_b_3 sp4_v_t_43 routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_t_42 sp4_h_l_36 -.logic_tile 8 1 -LC_0 0010000000000000 0000 -LC_1 0000000010001110 0000 -LC_2 1001000000000000 0000 -LC_3 0000000010111010 0000 -LC_4 0000100010000000 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset +.logic_tile 11 2 +LC_0 0110100110010110 0000 +LC_7 0100000000000000 0100 DffEnable buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_4/in_3 +buffer glb_netwk_7 lutff_global/cen buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_4 lutff_5/in_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_7/out sp12_v_b_14 +buffer sp4_h_r_23 local_g0_7 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_v_t_37 sp4_h_r_0 + +.logic_tile 7 7 +LC_0 0000000000001000 0000 +LC_1 0001000000000000 0000 +LC_2 1110111011100000 0000 +LC_3 0101010001010101 0000 +LC_4 0000000010110000 0000 +LC_5 1010001111111111 0000 +LC_6 0000000000000100 0000 +LC_7 1111001000000000 0000 +buffer glb2local_0 local_g0_4 +buffer glb_netwk_5 glb2local_0 +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_6/in_1 buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_2 lutff_4/in_0 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_7 lutff_1/in_3 -buffer lutff_0/out sp4_r_v_b_33 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_7 lutff_5/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_2 lutff_2/in_3 +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_7 lutff_0/in_0 +buffer lutff_0/out local_g0_0 buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g2_2 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out local_g1_5 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out local_g0_5 buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_rgt_3 local_g3_3 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_6 local_g0_6 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_37 local_g3_5 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_13 local_g0_5 +buffer lutff_7/out local_g0_7 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_tnl_0 local_g2_0 +buffer neigh_op_tnl_0 local_g3_0 +buffer neigh_op_tnr_2 local_g3_2 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_20 local_g1_4 buffer sp4_v_b_31 local_g2_7 buffer sp4_v_b_31 local_g3_7 +buffer sp4_v_b_44 local_g3_4 +buffer sp4_v_b_8 local_g1_0 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_8 sp4_v_t_45 +routing sp4_v_b_8 sp4_v_t_46 +routing sp4_v_t_44 sp4_h_r_2 +routing sp4_v_t_44 sp4_v_b_9 + +.logic_tile 2 1 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 0000 +LC_4 0000000000000001 0000 +LC_5 0010000000000000 0100 DffEnable +LC_6 0010000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 glb2local_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_0/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_6 lutff_1/in_2 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out local_g0_1 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g0_2 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out local_g2_5 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out local_g3_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_v_b_21 sp4_v_b_22 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_33 local_g3_1 + +.logic_tile 12 5 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_1 0010000000000000 0000 +LC_2 0100000000000000 0000 +LC_3 0000000100000000 0000 +LC_4 0110100110010110 0000 +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g1_4 lutff_2/in_1 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_7 lutff_4/in_1 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_4 lutff_3/in_2 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_v_b_28 +buffer neigh_op_top_0 local_g0_0 +buffer sp12_v_b_12 local_g2_4 +buffer sp12_v_b_12 local_g3_4 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_v_b_41 local_g2_1 buffer sp4_v_b_41 local_g3_1 -routing sp4_h_r_8 sp4_v_t_39 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_t_40 sp4_h_r_10 -routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_b_6 sp4_h_l_43 +routing sp4_v_t_44 sp4_h_l_38 -.logic_tile 12 9 +.logic_tile 4 12 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +LC_0 1000000000000000 0000 LC_1 1000000000000000 0000 +LC_2 0110100110010110 0000 +LC_3 0000000010111111 0000 +LC_4 1000000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 0000000000001011 0000 +LC_7 0101111100111111 0100 DffEnable +buffer glb2local_2 local_g0_6 +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 glb2local_2 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_global/s_r +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_7/in_3 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_6 lutff_2/in_3 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_bot_6 local_g1_6 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_17 local_g1_1 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_3 local_g1_3 +buffer sp12_v_b_0 local_g2_0 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp12_v_b_21 local_g2_5 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_31 local_g3_7 +buffer sp4_v_b_35 local_g3_3 +routing sp12_h_l_23 sp12_v_b_0 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_l_40 sp4_h_r_5 +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_h_r_2 sp4_h_l_40 +routing sp4_v_b_5 sp4_h_l_47 + +.logic_tile 7 16 +LC_1 0100000000000000 0100 DffEnable LC_2 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable LC_5 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g1_0 lutff_5/in_0 +buffer local_g0_3 lutff_1/in_0 buffer local_g1_3 lutff_global/cen -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_4 lutff_4/in_0 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bot_0 local_g1_0 -buffer sp12_v_b_21 sp4_v_b_22 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_b_6 sp4_v_t_44 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_t_37 sp4_v_b_3 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_42 sp4_v_b_7 -routing sp4_v_t_43 sp4_h_l_43 -routing sp4_v_t_44 sp4_h_l_44 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_5 lutff_2/in_0 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_5/out sp4_v_b_42 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_29 local_g3_5 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_r_4 sp4_v_b_9 -.logic_tile 5 12 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 +.logic_tile 2 12 +CarryInSet +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0000001000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0000000001000000 0000 -LC_3 0000000100000000 0000 -LC_4 0001111100000000 0000 -LC_5 0001000000000000 0000 -LC_6 1111001000000000 0000 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 0000 +LC_4 1000000000000000 0000 +LC_5 0110100110010110 0000 +LC_6 0100000000000000 0110 DffEnable Set_NoReset LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_6/in_1 buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_5 lutff_3/in_0 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_2 lutff_0/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_2 lutff_7/in_0 buffer local_g1_3 lutff_5/in_3 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_6 lutff_4/in_2 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_6 lutff_5/in_1 buffer local_g2_7 lutff_2/in_1 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_3 lutff_3/in_3 -buffer local_g3_5 lutff_6/in_2 -buffer local_g3_6 lutff_5/in_2 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_1/out sp4_v_b_18 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_4 lutff_5/in_2 +buffer local_g3_5 lutff_global/s_r +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_r_v_b_37 buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_tnr_1 local_g2_1 -buffer neigh_op_tnr_2 local_g2_2 -buffer neigh_op_tnr_3 local_g2_3 -buffer neigh_op_tnr_3 local_g3_3 -buffer sp12_v_b_6 local_g2_6 -buffer sp12_v_b_6 local_g3_6 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_41 local_g3_1 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_4 local_g1_4 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_9 local_g0_1 -routing sp12_h_r_1 sp12_h_l_22 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_38 sp4_v_b_9 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_top_6 local_g0_6 +buffer neigh_op_top_6 local_g1_6 +buffer neigh_op_top_7 local_g0_7 +buffer neigh_op_top_7 local_g1_7 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_v_b_10 local_g0_2 +routing sp4_h_l_36 sp4_h_r_9 +routing sp4_h_l_41 sp4_v_b_10 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_h_r_4 sp4_h_l_41 +routing sp4_v_b_10 sp4_h_r_10 routing sp4_v_b_10 sp4_v_t_43 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_b_7 sp4_h_l_42 +routing sp4_v_t_42 sp4_h_l_36 -.logic_tile 4 4 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 +.logic_tile 9 4 ColBufCtrl glb_netwk_3 -LC_0 0110100110010110 1100 CarryEnable DffEnable -LC_1 0110100110010110 1100 CarryEnable DffEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 1100 CarryEnable DffEnable -LC_4 0110100110010110 1100 CarryEnable DffEnable -LC_5 0110100110010110 1100 CarryEnable DffEnable -LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0000000011111111 0000 -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +LC_0 0101001100000000 0000 +LC_1 0101001100000000 0000 +LC_2 0000000011110001 0000 +LC_3 0000010000000000 0000 +LC_4 0011010100000000 0100 DffEnable +LC_5 0011010100000000 0100 DffEnable +LC_6 0011010100000000 0100 DffEnable +LC_7 0010101011111111 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_3/in_2 +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_1/in_2 buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer lutff_0/cout lutff_1/in_3 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_6 lutff_7/in_3 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_6 lutff_1/in_0 buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/cout lutff_2/in_3 +buffer lutff_0/out sp4_v_b_0 buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out local_g0_5 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out sp12_h_r_22 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_t_44 sp4_h_l_44 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_4 local_g1_4 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_v_b_3 local_g2_3 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_32 local_g2_0 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_5 local_g0_5 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_h_r_7 sp4_v_t_42 +routing sp4_v_b_10 sp4_v_t_43 +routing sp4_v_b_2 sp4_v_t_39 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_6 sp4_v_t_44 +routing sp4_v_t_37 sp4_h_r_0 +routing sp4_v_t_43 sp4_v_b_6 -.logic_tile 6 3 -LC_0 0000000100000000 0000 -LC_1 0000001000000000 0000 -LC_2 1000001001000001 0000 -LC_3 1000010000100001 0000 -LC_4 1000001001000001 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 +.logic_tile 12 16 +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_6/out sp4_h_r_28 +buffer sp12_v_b_2 local_g3_2 +buffer sp12_v_b_7 local_g3_7 +routing sp4_v_b_1 sp4_h_l_36 + +.logic_tile 5 1 +routing sp4_v_t_43 sp4_h_r_11 +routing sp4_v_t_43 sp4_h_r_6 + +.logic_tile 12 10 +LC_4 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_0/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g2_2 lutff_6/in_0 +buffer lutff_6/out sp4_v_b_12 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_2 local_g0_2 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_v_t_44 sp4_h_l_44 + +.logic_tile 7 2 +LC_0 0000000011111111 0000 +LC_1 0110100110010110 0000 +LC_3 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_1/in_1 buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_6/in_3 -buffer local_g1_1 lutff_2/in_0 buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_0/in_1 +buffer local_g2_4 lutff_1/in_3 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_v_b_18 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_9 local_g0_1 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_44 local_g2_4 + +.logic_tile 6 14 +LC_0 1110111011100000 0000 +LC_1 1000000000000000 0000 +LC_2 1000001001000001 0000 +LC_3 1110111011100000 0000 +LC_4 1110111011100000 0000 +LC_5 1000010000100001 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_1/in_1 buffer local_g1_5 lutff_5/in_3 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_1 lutff_4/in_3 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_2/in_3 -buffer local_g2_6 lutff_3/in_3 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_3 lutff_global/cen -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_6/in_1 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnr_7 local_g1_7 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_top_6 local_g1_6 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_3/in_3 +buffer local_g2_3 lutff_2/in_3 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_7 lutff_5/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_bnl_2 local_g3_2 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_2 local_g1_2 +buffer sp12_h_r_21 local_g0_5 +buffer sp12_h_r_21 local_g1_5 +buffer sp12_h_r_9 local_g1_1 +buffer sp12_v_b_16 local_g2_0 buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_8 local_g3_0 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_20 local_g0_4 buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_29 local_g2_5 -buffer sp4_v_b_29 local_g3_5 -buffer sp4_v_b_39 local_g2_7 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_14 local_g1_6 buffer sp4_v_b_39 local_g3_7 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_46 local_g2_6 -buffer sp4_v_b_46 local_g3_6 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_44 sp4_h_r_5 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_v_b_3 sp4_h_r_9 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_t_42 sp4_h_l_42 -routing sp4_v_t_42 sp4_v_b_3 -routing sp4_v_t_42 sp4_v_b_7 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_v_b_7 sp4_v_t_38 +routing sp4_v_t_38 sp4_h_r_8 -.logic_tile 1 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_3 -LC_0 0110100110010110 0000 -LC_1 0010000000000000 0000 -LC_2 0000011100000000 0000 -LC_3 0110100110010110 0000 -LC_4 0010000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0010000000000000 0000 -LC_7 0010000000000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_1 glb2local_0 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_3/in_3 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_3 lutff_4/in_1 -buffer local_g3_0 lutff_0/in_3 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_1 lutff_6/in_0 -buffer local_g3_3 lutff_3/in_1 +.logic_tile 1 2 +LC_0 0110100110010110 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/s_r +buffer local_g0_0 lutff_0/in_2 +buffer local_g2_3 lutff_0/in_1 buffer lutff_0/out local_g0_0 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out local_g0_3 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp4_r_v_b_13 -buffer sp12_v_b_16 local_g3_0 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_17 local_g3_1 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_22 local_g1_6 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_l_46 sp4_h_r_11 -routing sp4_h_r_10 sp4_v_t_41 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_h_r_5 sp4_v_b_5 -routing sp4_v_t_38 sp4_h_r_8 -routing sp4_v_t_40 sp4_h_l_46 -routing sp4_v_t_44 sp4_h_r_2 -routing sp4_v_t_45 sp4_h_r_1 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_r_v_b_17 +buffer sp4_v_b_43 local_g2_3 +routing sp4_h_r_0 sp4_v_b_0 -.logic_tile 11 1 -LC_0 1100101000110101 0000 -LC_1 0100000000000000 0000 -LC_3 0100000100000000 0000 -LC_4 0000101100000000 0000 -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +.logic_tile 12 2 +LC_3 0100000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_7/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_1 lutff_0/in_3 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_47 local_g3_7 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_35 local_g2_3 -routing sp4_h_l_39 sp4_h_r_10 -routing sp4_h_l_42 sp4_h_r_7 -routing sp4_v_b_3 sp4_h_l_38 -routing sp4_v_t_37 sp4_v_b_3 -routing sp4_v_t_45 sp4_h_r_1 -routing sp4_v_t_47 sp4_h_l_47 +buffer glb_netwk_7 lutff_global/cen +buffer local_g1_5 lutff_global/s_r +buffer local_g3_6 lutff_3/in_0 +buffer lutff_3/out sp12_h_r_14 +buffer sp12_v_b_14 local_g3_6 +buffer sp4_h_r_13 local_g1_5 +routing sp4_v_t_46 sp4_h_l_46 -.logic_tile 7 10 -LC_0 0000000100000000 0000 -LC_1 0000110100000000 0000 -LC_2 0001000000000000 0000 -LC_3 0100000000000000 0000 -LC_4 0000000011110001 0000 -LC_5 0000000000100000 0000 -LC_6 0001000000000000 0000 +.logic_tile 11 10 +LC_1 1111111110110010 0000 +LC_2 0100010011110000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable +buffer glb2local_2 local_g0_6 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_0/in_2 +buffer glb_netwk_5 glb2local_2 +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_1/in_1 buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_5/in_3 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_6 lutff_6/in_2 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_5 lutff_2/in_0 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g0_2 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_4 lutff_7/in_0 +buffer local_g2_4 lutff_4/in_0 +buffer lutff_1/out sp4_h_r_18 buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g3_3 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out local_g2_4 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_r_v_b_41 buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp12_v_b_17 local_g2_1 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_40 local_g2_0 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_5 sp4_h_l_40 -routing sp4_v_t_40 sp4_v_b_1 -routing sp4_v_t_47 sp4_h_l_41 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_h_r_22 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_17 local_g1_1 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_20 local_g1_4 +routing sp4_h_l_44 sp4_h_r_0 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_t_38 sp4_h_l_38 -.logic_tile 2 2 +.logic_tile 4 9 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000000000000 1000 CarryEnable -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_7/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g2_1 lutff_0/in_1 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_3 lutff_2/in_2 -buffer local_g3_6 lutff_5/in_2 -buffer neigh_op_top_1 local_g1_1 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_19 local_g3_3 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_46 local_g3_6 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_b_4 sp4_h_r_10 - -.logic_tile 1 10 -LC_0 1000000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0000000000001110 0000 -LC_4 1000000000000000 0000 +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 0100000000000000 0000 +LC_6 0110000000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_1 lutff_global/cen buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_5 lutff_2/in_3 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_1/out sp12_v_b_18 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_2/in_1 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_3 lutff_2/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_h_r_20 buffer lutff_2/out sp4_h_r_4 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bot_7 local_g1_7 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_17 local_g0_1 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_37 local_g2_5 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_0 sp4_v_t_38 - -.logic_tile 8 6 -LC_0 1000001001000001 0000 -LC_1 1000001001000001 0000 -LC_2 0000000000001110 0000 -LC_3 0000000100000000 0000 -LC_4 1000000000000000 0000 -LC_5 1000010000100001 0000 -LC_6 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_3 lutff_6/in_1 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_4 lutff_7/in_1 -buffer local_g2_6 lutff_6/in_0 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_2/in_3 -buffer local_g3_6 lutff_0/in_3 -buffer local_g3_6 lutff_4/in_3 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g0_3 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_r_v_b_7 buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g2_6 -buffer lutff_6/out sp4_h_r_28 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g0_6 buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_lft_7 local_g1_7 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_4 local_g2_4 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_33 local_g3_1 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_r_v_b_23 local_g3_7 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_38 local_g3_6 -buffer sp4_v_b_44 local_g3_4 -routing sp12_h_r_0 sp12_v_b_0 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_l_41 sp4_v_t_41 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_b_9 sp4_h_r_3 -routing sp4_v_t_45 sp4_h_r_8 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_v_b_14 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_rgt_0 local_g3_0 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_v_b_16 local_g0_0 +routing sp12_h_l_22 sp12_v_b_1 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_9 sp4_h_r_9 -.logic_tile 8 9 -LC_0 0000000100000000 0000 -LC_1 1000000000000000 0000 -LC_2 0000000010101011 0000 -LC_3 0100010101010101 0000 -LC_4 1000000000000000 0000 -LC_5 1010101011101011 0000 -LC_6 1011000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_3 lutff_6/in_1 +.logic_tile 7 15 +LC_0 0000000001000000 0000 +LC_1 0000000001000000 0000 +LC_2 0000001000000000 0000 +LC_3 1110111011100000 0000 +LC_4 0000001000000000 0000 +LC_5 0000001000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0000000000001000 0000 +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_1 +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_3/in_2 buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_1/in_0 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_0 lutff_5/in_2 buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_4/in_1 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_4 lutff_0/in_1 buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_6 lutff_0/in_0 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_6 lutff_7/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_5 lutff_7/in_2 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_4 lutff_6/in_1 +buffer local_g3_5 lutff_3/in_3 +buffer local_g3_6 lutff_4/in_3 buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_2/out local_g3_2 -buffer lutff_3/out local_g1_3 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_1/out sp4_r_v_b_3 buffer lutff_4/out sp4_h_r_24 buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_tnr_6 local_g3_6 -buffer neigh_op_top_0 local_g0_0 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_v_b_3 local_g3_3 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_39 local_g3_7 -buffer sp4_v_b_7 local_g0_7 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_39 sp4_h_r_10 -routing sp4_h_r_1 sp4_v_b_1 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_v_b_7 sp4_h_r_7 -routing sp4_v_t_45 sp4_v_b_11 -routing sp4_v_t_46 sp4_h_l_46 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out local_g0_7 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_tnl_4 local_g2_4 +buffer neigh_op_tnl_4 local_g3_4 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_h_r_3 local_g1_3 +buffer sp12_v_b_3 local_g2_3 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_39 local_g3_7 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_37 local_g3_5 +buffer sp4_v_b_6 local_g0_6 +buffer sp4_v_b_6 local_g1_6 +buffer sp4_v_b_8 local_g0_0 +buffer sp4_v_b_8 local_g1_0 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_t_42 sp4_v_b_3 -.logic_tile 4 1 +.logic_tile 2 9 +LC_0 0110100110010110 1100 CarryEnable DffEnable +LC_1 0110100110010110 1100 CarryEnable DffEnable +LC_2 0110100110010110 1100 CarryEnable DffEnable +LC_3 0110100110010110 1100 CarryEnable DffEnable +LC_4 0110100110010110 1100 CarryEnable DffEnable +LC_5 0110100110010110 1100 CarryEnable DffEnable +LC_6 0110100110010110 1100 CarryEnable DffEnable +LC_7 0000000011111111 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/s_r +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g0_2 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out local_g0_5 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_6/out local_g0_6 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_v_t_38 sp4_h_l_44 +routing sp4_v_t_41 sp4_h_r_4 + +.logic_tile 9 15 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable @@ -7060,1029 +5062,937 @@ LC_4 0000000000000000 1000 CarryEnable LC_5 0000000000000000 1000 CarryEnable LC_6 0000000000000000 1000 CarryEnable LC_7 0000000000000000 1000 CarryEnable -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_4 lutff_2/in_1 -buffer local_g2_0 lutff_1/in_1 -buffer local_g3_2 lutff_5/in_2 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_34 local_g0_1 -routing sp4_v_b_4 sp4_h_l_44 - -.logic_tile 8 11 -LC_0 0001000000000000 0000 -LC_1 1000010000100001 0000 -LC_2 0010110100000000 0000 -LC_3 1000010000100001 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_1/in_3 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g1_4 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_top_1 local_g1_1 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_v_b_22 local_g2_6 -buffer sp4_r_v_b_23 local_g3_7 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_42 local_g2_2 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_5 sp4_h_r_5 -routing sp4_v_t_41 sp4_v_b_4 -routing sp4_v_t_43 sp4_v_b_6 -routing sp4_v_t_45 sp4_v_b_11 - -.logic_tile 9 7 -LC_0 1000000000000000 0000 -LC_1 1000001001000001 0000 -LC_2 0000001000000000 0000 -LC_3 0000001000000000 0000 -LC_4 1110000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0001000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_5/in_3 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_0/in_3 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_1 lutff_1/in_3 -buffer local_g3_3 lutff_3/in_1 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_top_2 local_g1_2 -buffer sp12_h_r_19 local_g1_3 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_27 local_g3_3 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_36 local_g2_4 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_6 sp4_v_b_6 -routing sp4_h_r_7 sp4_v_b_0 -routing sp4_h_r_9 sp4_h_l_37 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_1 sp4_h_l_43 -routing sp4_v_t_44 sp4_h_r_9 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_1/in_1 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_3/in_1 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_6 lutff_5/in_1 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_12 local_g0_4 +routing sp4_h_r_6 sp4_h_l_44 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_b_8 sp4_h_l_36 -.logic_tile 6 4 -ColBufCtrl glb_netwk_3 -LC_0 0000111000000000 0000 -LC_1 1110111011100000 0000 -LC_2 1110111011100000 0000 -LC_3 1110111011100000 0000 -LC_4 0001000000000000 0000 -LC_5 1110111011100000 0000 -LC_6 0100000000000000 0100 DffEnable +.logic_tile 8 1 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable +NegClk buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_6 lutff_5/in_3 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_2/in_3 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_v_b_12 +buffer local_g0_1 lutff_3/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_5/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_v_b_42 buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnr_3 local_g1_3 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_0 local_g0_0 -buffer sp12_v_b_10 local_g3_2 -buffer sp12_v_b_15 local_g3_7 -buffer sp12_v_b_2 local_g2_2 -buffer sp12_v_b_6 local_g2_6 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_4 local_g0_4 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_24 local_g3_0 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_43 local_g2_3 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_t_40 sp4_v_b_8 +buffer neigh_op_bnl_2 local_g3_2 +buffer neigh_op_bot_2 local_g1_2 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_v_b_1 local_g1_1 routing sp4_v_t_44 sp4_h_l_44 -routing sp4_v_t_45 sp4_h_l_45 -routing sp4_v_t_47 sp4_h_l_47 -.logic_tile 5 4 +.logic_tile 12 9 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 0000 +LC_4 0101001100000000 0000 +LC_6 0110100110010110 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 glb2local_0 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_7 lutff_0/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_5 local_g0_5 +buffer sp12_h_r_13 local_g1_5 +buffer sp4_v_b_31 local_g2_7 +routing sp4_v_t_37 sp4_h_l_37 +routing sp4_v_t_41 sp4_h_l_41 + +.logic_tile 5 12 ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 ColBufCtrl glb_netwk_3 -LC_0 1010101010101000 0000 -LC_1 0000000000001011 0000 -LC_2 1010110000000000 0000 -LC_3 0000000010000000 0000 -LC_4 1010101000111111 0000 -LC_5 1011111100000000 0000 -LC_6 0000101100000000 0000 -LC_7 0101110000000000 0100 DffEnable +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +LC_0 1000000000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0000000000001110 0000 +LC_3 1000001001000001 0000 +LC_4 0000000000001110 0000 +LC_5 0001000000000000 0000 +LC_6 0000000000001110 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_4/in_2 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_5 lutff_4/in_3 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_1 lutff_0/in_0 +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_1 lutff_4/in_2 buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_4/in_1 +buffer local_g3_4 lutff_0/in_3 buffer local_g3_5 lutff_3/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_7 local_g1_7 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_2 local_g2_2 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_h_r_19 local_g0_3 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_19 local_g3_3 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_29 local_g3_5 -buffer sp4_v_b_41 local_g2_1 -routing sp4_h_r_9 sp4_h_l_44 -routing sp4_v_t_41 sp4_v_b_0 +buffer local_g3_6 lutff_3/in_0 +buffer local_g3_7 lutff_2/in_0 +buffer local_g3_7 lutff_3/in_3 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_tnr_3 local_g2_3 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_v_b_14 local_g3_6 +buffer sp12_v_b_16 local_g2_0 +buffer sp12_v_b_17 local_g3_1 +buffer sp12_v_b_23 local_g2_7 +buffer sp12_v_b_23 local_g3_7 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_19 local_g1_3 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_40 sp4_h_l_40 -.logic_tile 11 4 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 +.logic_tile 4 4 ColBufCtrl glb_netwk_3 -LC_0 1000000000000000 0000 -LC_1 0010000000000000 0000 -LC_2 0101001100000000 0000 -LC_3 0000010000000000 0000 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0000 +LC_1 1000000000000000 0000 +LC_3 1000000000000000 0000 LC_4 1000000000000000 0000 -LC_5 0001000000000000 0000 -LC_6 0100000000000000 0100 DffEnable +LC_5 0000011100000000 0000 +LC_6 0001000000000000 0000 LC_7 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_4/in_0 +buffer glb_netwk_5 glb2local_1 +buffer glb_netwk_6 glb2local_0 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_2 lutff_global/cen buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_2/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_5 lutff_0/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g1_4 lutff_0/in_1 buffer local_g1_6 lutff_3/in_0 -buffer local_g2_0 lutff_1/in_1 buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_0 lutff_0/in_3 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_5 lutff_3/in_1 -buffer local_g3_6 lutff_4/in_3 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_3 local_g2_3 +buffer local_g2_3 lutff_6/in_1 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_3 lutff_6/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp12_h_r_6 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_26 local_g3_2 +buffer sp4_v_b_4 local_g1_4 +routing sp12_v_t_23 sp12_h_r_0 +routing sp4_h_l_38 sp4_h_r_6 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_v_b_8 sp4_h_l_36 + +.logic_tile 6 3 +LC_1 0100000000000000 0000 +LC_2 1000000000000000 0000 +LC_4 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_2/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp4_r_v_b_25 +buffer sp12_h_r_1 local_g1_1 +buffer sp4_r_v_b_24 local_g0_0 +routing sp12_h_r_1 sp12_v_t_22 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_t_43 sp4_h_r_6 +routing sp4_v_t_45 sp4_v_b_4 +routing sp4_v_t_45 sp4_v_b_8 +routing sp4_v_t_46 sp4_v_b_2 +routing sp4_v_t_47 sp4_h_r_10 + +.logic_tile 1 5 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +routing sp4_v_t_43 sp4_v_b_6 + +.logic_tile 7 10 +LC_0 0000000100000000 0000 +LC_1 0000000000001000 0000 +LC_2 0001000000000000 0000 +LC_3 0000111000000000 0000 +LC_4 1111010000000000 0000 +LC_5 0101000101010101 0000 +LC_6 0000000000000010 0000 +LC_7 0000000011110010 0000 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_5 glb2local_1 +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_6 lutff_7/in_3 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_7 lutff_0/in_3 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_4 lutff_6/in_1 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out local_g3_4 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_3 local_g1_3 buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_13 local_g3_5 -buffer sp4_h_r_13 local_g1_5 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_top_0 local_g1_0 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_v_b_10 local_g2_2 +buffer sp12_v_b_4 local_g2_4 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_12 local_g1_4 buffer sp4_h_r_14 local_g0_6 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_29 local_g2_5 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_40 local_g2_0 -routing sp12_v_b_0 sp12_h_l_23 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_31 local_g2_7 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_7 local_g1_7 +buffer sp4_v_b_8 local_g0_0 +buffer sp4_v_b_9 local_g0_1 routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_l_45 sp4_h_r_4 -routing sp4_h_r_7 sp4_h_l_38 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_43 sp4_h_l_43 -routing sp4_v_t_45 sp4_h_l_39 -routing sp4_v_t_47 sp4_h_l_41 +routing sp4_h_l_43 sp4_h_r_2 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_h_r_9 sp4_h_l_40 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_t_38 sp4_v_b_6 +routing sp4_v_t_39 sp4_v_b_2 +routing sp4_v_t_43 sp4_h_l_37 +routing sp4_v_t_45 sp4_v_b_11 -.logic_tile 7 1 -LC_0 0111000000000000 0000 -LC_1 0110100110010110 0000 +.logic_tile 2 2 LC_2 0110100110010110 0000 -LC_3 1011111000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0111000000000000 0101 DffEnable AsyncSetReset -LC_6 0100000000000000 0101 DffEnable AsyncSetReset -LC_7 0100000000000000 0101 DffEnable AsyncSetReset -buffer glb_netwk_2 lutff_global/s_r +LC_7 0010000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g3_2 lutff_2/in_3 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g0_1 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_2/in_3 +buffer local_g1_7 lutff_2/in_2 buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_r_v_b_13 buffer lutff_7/out local_g1_7 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnr_2 local_g3_2 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_v_b_24 local_g2_0 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_l_39 sp4_h_r_5 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_3 sp4_h_l_38 -routing sp4_h_r_7 sp4_h_l_47 -routing sp4_v_t_37 sp4_h_r_0 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_42 sp4_h_r_7 -routing sp4_v_t_43 sp4_h_r_6 +buffer neigh_op_bot_3 local_g0_3 +buffer sp4_h_r_17 local_g0_1 +routing sp12_h_r_1 sp12_v_t_22 +routing sp4_v_b_11 sp4_v_t_39 -.logic_tile 6 11 -LC_0 0000000010000000 0000 -LC_1 0000010000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0100000000000000 0000 -LC_4 1111010000000000 0000 -LC_5 0100000000000000 0000 -LC_6 0000111000000000 0000 +.logic_tile 1 10 +LC_6 0000010000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_2/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_4 lutff_6/in_1 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_15 +buffer sp12_v_b_23 local_g3_7 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_44 local_g3_4 +routing sp4_v_b_4 sp4_h_r_4 + +.logic_tile 8 6 +LC_0 0000000010000000 0000 +LC_1 0000000000010000 0000 +LC_2 0100000000000000 0000 +LC_3 0001000000000000 0000 +LC_4 0000000011100000 0000 +LC_5 0000110100000000 0000 +LC_6 0011000010101010 0100 DffEnable +LC_7 0011000010101010 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_5/in_0 buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_1/in_1 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_7/in_3 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_6/in_2 buffer local_g2_1 lutff_1/in_0 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_5 lutff_0/in_0 -buffer local_g3_6 lutff_0/in_3 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_v_b_0 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_7 lutff_7/in_2 +buffer local_g3_0 lutff_4/in_3 +buffer local_g3_1 lutff_1/in_3 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/out local_g0_0 buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_5/out local_g1_5 +buffer lutff_2/out local_g2_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_5/out local_g0_5 buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out sp12_h_r_4 buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_h_r_6 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_r_v_b_47 buffer neigh_op_bnl_1 local_g2_1 -buffer neigh_op_bnl_1 local_g3_1 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_top_2 local_g0_2 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_31 local_g3_7 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_b_7 sp4_h_l_37 -routing sp4_v_b_8 sp4_v_t_45 -routing sp4_v_t_44 sp4_h_l_44 -routing sp4_v_t_46 sp4_h_r_11 - -.logic_tile 1 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_5 -LC_1 1111110100000000 0000 -LC_2 1000000000000000 0000 -LC_5 1000001000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_6 lutff_5/in_3 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_7 lutff_1/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out sp4_r_v_b_37 -buffer neigh_op_tnr_5 local_g2_5 -buffer neigh_op_tnr_6 local_g2_6 -buffer neigh_op_tnr_7 local_g2_7 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_r_v_b_1 local_g1_1 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_rgt_5 local_g2_5 +buffer sp12_v_b_13 local_g3_5 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_r_v_b_16 local_g3_0 buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_6 local_g0_6 -routing sp4_h_l_40 sp4_h_r_1 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_v_b_0 sp4_h_l_40 - -.logic_tile 12 7 -LC_0 0010000000000000 0000 -LC_1 1000001001000001 0000 -LC_3 1000001001000001 0000 -LC_4 0000000100000000 0000 -LC_5 0010000000000000 0000 -LC_6 1000010000100001 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_6/in_1 -buffer local_g2_2 lutff_1/in_3 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_1 lutff_3/in_3 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_3/in_2 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out local_g0_1 -buffer lutff_3/out lutff_4/in_2 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_v_b_30 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp12_v_b_12 local_g3_4 -buffer sp12_v_b_18 local_g2_2 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_v_b_16 local_g0_0 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_14 local_g0_6 buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_3 local_g0_3 -routing sp12_h_l_23 sp12_v_b_0 -routing sp12_h_l_23 sp12_v_t_23 -routing sp4_h_r_6 sp4_h_l_44 -routing sp4_v_b_1 sp4_h_r_7 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_34 local_g3_2 +routing sp4_v_b_0 sp4_h_l_40 routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_b_4 sp4_v_t_41 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_b_8 sp4_v_t_46 -routing sp4_v_t_39 sp4_h_l_39 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_42 sp4_v_b_10 -routing sp4_v_t_46 sp4_h_r_11 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_t_39 sp4_v_b_2 +routing sp4_v_t_41 sp4_h_r_9 -.logic_tile 11 9 -LC_0 0110100110010110 0000 -LC_1 0000000000001000 0000 -LC_2 1000000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0110000000000000 0000 -LC_7 0100000000000000 0100 DffEnable +.logic_tile 8 9 +LC_0 1000000000000000 0000 +LC_1 0000010000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0000000100000000 0000 +LC_4 0000000010111110 0000 +LC_5 0001000000000000 0000 +LC_6 0101110000000000 0100 DffEnable +LC_7 0101110000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_3 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_1/in_2 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_6 lutff_0/in_2 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_3 lutff_1/in_3 -buffer local_g3_6 lutff_1/in_0 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_4/out sp12_h_r_16 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_4 lutff_0/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_v_b_6 buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out local_g2_7 buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_tnr_2 local_g3_2 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_6 local_g1_6 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_38 local_g3_6 +buffer neigh_op_bot_0 local_g1_0 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_26 local_g2_2 buffer sp4_v_b_40 local_g2_0 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_2 sp4_h_l_47 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_t_42 sp4_v_b_10 -routing sp4_v_t_43 sp4_v_b_9 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_0 sp4_h_l_45 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_t_38 sp4_h_l_38 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_40 sp4_v_b_8 -.logic_tile 12 11 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_3 1000000000000000 0000 -LC_4 0000000100000000 0000 -LC_5 0001000000000000 0000 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_4 lutff_4/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_4 lutff_5/in_2 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_7 lutff_4/in_1 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out sp4_v_b_10 -buffer sp12_h_r_9 local_g0_1 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_h_r_47 local_g3_7 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_41 local_g2_1 -routing sp4_v_b_5 sp4_v_t_40 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_44 sp4_h_l_44 +.logic_tile 4 1 +LC_3 0110100110010110 0000 +LC_5 0010000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 glb2local_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_3/in_2 +buffer local_g1_3 lutff_5/in_1 +buffer lutff_3/out local_g1_3 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp12_h_r_18 +buffer sp4_h_r_9 local_g0_1 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_r_9 sp4_h_l_44 -.logic_tile 4 14 -LC_0 0101001100000000 0000 -LC_1 0101001100000000 0000 -LC_2 0101001100000000 0000 -LC_3 0101001100000000 0000 -LC_4 0000000001100000 0000 -LC_5 0101001100000000 0000 -LC_6 0101001100000000 0000 +.logic_tile 8 11 +LC_0 0000101100000000 0000 +LC_1 1011000000000000 0000 +LC_2 0000000100000000 0000 +LC_3 0000000011100000 0000 +LC_4 0000000100000000 0000 +LC_5 1010101010101000 0000 +LC_6 0000000100000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +buffer glb2local_0 local_g0_4 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_6/in_2 +buffer glb_netwk_5 glb2local_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_2/in_2 buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_4 lutff_6/in_1 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_7 lutff_1/in_1 -buffer lutff_0/out sp12_h_r_8 +buffer local_g0_6 lutff_5/in_3 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_5 lutff_4/in_0 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out local_g2_3 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_tnl_4 local_g2_4 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_3 local_g1_3 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_47 local_g3_7 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_6 local_g0_6 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_t_47 sp4_h_l_41 + +.logic_tile 9 7 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 1111101100000000 0000 +LC_4 1000000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0101110100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_3/in_2 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_5 lutff_3/in_0 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_0/in_0 buffer lutff_0/out sp4_h_r_0 buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g1_2 buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_bnl_5 local_g3_5 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g0_7 buffer neigh_op_bnl_6 local_g3_6 buffer neigh_op_bnl_7 local_g3_7 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_5 local_g1_5 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_h_r_19 local_g0_3 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_21 local_g1_5 +buffer sp4_h_r_18 local_g0_2 buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_35 local_g2_3 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_6 local_g1_6 -buffer sp4_v_b_8 local_g0_0 -routing sp12_h_r_1 sp12_v_b_1 +buffer sp4_r_v_b_37 local_g2_5 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_r_11 sp4_v_t_46 +routing sp4_h_r_5 sp4_v_b_5 +routing sp4_h_r_9 sp4_h_l_37 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_t_36 sp4_h_l_42 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_47 sp4_h_r_10 -.logic_tile 2 10 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 1100 CarryEnable DffEnable -LC_4 0110100110010110 1100 CarryEnable DffEnable -LC_5 0110100110010110 1100 CarryEnable DffEnable -LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0000000011111111 0000 -buffer glb_netwk_2 lutff_global/s_r +.logic_tile 6 4 +ColBufCtrl glb_netwk_3 +LC_0 0000000000110101 0000 +LC_1 1110000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0000000011110111 0000 +LC_4 0010000000000000 0000 +LC_5 1111111000000000 0000 +LC_6 0000101100000000 0000 +LC_7 1010101000101010 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_5 lutff_6/in_2 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_v_b_4 +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_0/in_3 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_2/in_1 +buffer local_g2_7 lutff_3/in_0 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_3 lutff_5/in_3 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out sp12_h_r_12 buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/cout lutff_4/in_3 buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/cout lutff_7/in_3 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_v_b_5 sp4_v_b_14 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_21 local_g1_5 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_top_0 local_g0_0 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_v_b_22 local_g3_6 +buffer sp12_v_b_3 sp4_v_b_13 +buffer sp4_h_r_30 local_g2_6 buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_46 local_g3_6 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_r_7 sp4_v_b_7 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_b_9 sp4_h_l_44 +routing sp4_v_t_38 sp4_h_l_38 +routing sp4_v_t_39 sp4_h_l_45 + +.logic_tile 5 4 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +LC_0 0000000000000001 0000 +LC_1 0001000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1110000000000000 0000 +LC_4 0100000000000000 0000 +LC_5 0000000000000001 0000 +LC_6 0101010100111111 0100 DffEnable +LC_7 1011000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_3 lutff_7/in_2 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_6 lutff_6/in_2 +buffer local_g2_7 lutff_4/in_1 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_2 lutff_1/in_0 +buffer local_g3_5 lutff_4/in_0 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out lutff_2/in_2 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_top_3 local_g0_3 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_21 local_g0_5 +buffer sp12_h_r_23 local_g0_7 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_v_b_19 local_g2_3 +buffer sp12_v_b_22 local_g2_6 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_36 sp4_v_b_7 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_v_b_10 sp4_h_l_38 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_b_9 sp4_h_l_39 -.logic_tile 9 10 -LC_0 0000011100000000 0000 +.logic_tile 11 4 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_7 +LC_0 0101001100000000 0000 LC_1 0101001100000000 0000 -LC_2 1010101010101000 0000 -LC_3 1010101010101000 0000 -LC_4 0101001100000000 0000 -LC_5 0100000000000000 0000 -LC_6 0101001100000000 0000 +LC_2 0101001100000000 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 +buffer glb_netwk_7 lutff_global/cen buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_2/in_3 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_1/in_2 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_3 lutff_3/in_3 -buffer local_g3_4 lutff_3/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_top_3 local_g1_3 -buffer neigh_op_top_6 local_g0_6 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_21 local_g0_5 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_v_b_1 local_g2_1 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_14 local_g3_6 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_r_v_b_20 local_g3_4 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_34 local_g3_2 -routing sp12_v_b_1 sp12_v_t_22 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_42 sp4_h_r_10 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_h_r_4 sp4_v_t_41 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_t_37 sp4_h_r_0 -routing sp4_v_t_38 sp4_h_r_3 -routing sp4_v_t_42 sp4_v_b_7 -routing sp4_v_t_44 sp4_h_r_2 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_7 lutff_0/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_6 lutff_1/in_1 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_2 lutff_2/in_1 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_0/out sp4_v_b_0 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bnl_2 local_g3_2 +buffer neigh_op_bnl_6 local_g2_6 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_15 local_g1_7 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_33 local_g3_1 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_v_b_0 sp4_h_l_37 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_t_46 sp4_h_l_46 -.logic_tile 5 15 +.logic_tile 7 1 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable LC_2 0000000000000000 1000 CarryEnable LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000000000000000 0000 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_4 lutff_5/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out local_g0_5 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp4_h_r_8 local_g1_0 -routing sp4_h_l_39 sp4_v_b_8 -routing sp4_v_b_11 sp4_h_l_46 +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000000000000 1000 CarryEnable +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_5 lutff_6/in_2 +buffer neigh_op_tnl_0 local_g3_0 +buffer neigh_op_tnl_3 local_g3_3 +buffer neigh_op_tnl_5 local_g3_5 +buffer neigh_op_tnl_7 local_g2_7 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_7 local_g0_7 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_30 local_g2_6 -.logic_tile 6 12 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0001000000000000 0000 -LC_1 0110100110010110 0000 -LC_2 0001000000000000 0000 -LC_3 1110000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0000010000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +.logic_tile 6 11 +LC_1 0100000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0101001100000000 0110 DffEnable Set_NoReset +LC_4 0101001100000000 0110 DffEnable Set_NoReset +LC_5 0101001100000000 0110 DffEnable Set_NoReset +LC_6 0101001100000000 0110 DffEnable Set_NoReset buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_3/in_3 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_6 lutff_1/in_3 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_5 lutff_5/in_1 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_7 lutff_1/in_2 buffer local_g2_7 lutff_3/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_6 lutff_7/in_0 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g1_4 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_5/out sp4_v_b_26 +buffer local_g3_2 lutff_3/in_2 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_global/s_r +buffer local_g3_7 lutff_2/in_0 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_h_r_42 buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_top_3 local_g1_3 -buffer sp12_v_b_3 local_g2_3 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_7 local_g0_7 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_39 local_g2_7 -routing sp4_h_l_39 sp4_v_t_39 -routing sp4_h_l_41 sp4_h_r_7 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_b_4 sp4_h_l_44 +buffer neigh_op_bnl_5 local_g2_5 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_tnr_7 local_g3_7 +buffer sp12_h_r_5 local_g0_5 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp12_v_b_11 local_g3_3 +buffer sp12_v_b_16 local_g2_0 +buffer sp12_v_b_5 local_g3_5 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_6 local_g0_6 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_38 sp4_h_r_3 +routing sp4_h_l_43 sp4_h_r_2 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_h_r_6 sp4_v_t_37 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_b_5 sp4_v_t_36 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_b_8 sp4_v_t_46 +routing sp4_v_t_43 sp4_h_l_37 +routing sp4_v_t_44 sp4_v_b_5 -.logic_tile 11 12 +.logic_tile 1 13 CarryInSet -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable LC_2 0000000000000000 1000 CarryEnable @@ -8092,1830 +6002,1950 @@ LC_5 0000000000000000 1000 CarryEnable LC_6 0000000000000000 1000 CarryEnable LC_7 0000000011111111 0000 buffer local_g0_0 lutff_2/in_2 -buffer local_g0_3 lutff_0/in_1 +buffer local_g0_1 lutff_1/in_2 buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_6 lutff_3/in_2 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_7 lutff_5/in_2 buffer lutff_6/cout lutff_7/in_3 -buffer neigh_op_bnr_3 local_g0_3 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_top_5 local_g0_5 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_22 local_g0_6 -buffer sp4_v_b_15 local_g0_7 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_v_b_3 sp4_h_l_38 +buffer lutff_7/out sp4_h_r_30 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_8 local_g0_0 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_v_b_0 sp4_h_r_6 -.logic_tile 4 11 -LC_0 0000111000000000 0000 -LC_1 0001000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0000110010101010 0000 +.logic_tile 12 7 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 1000000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_5 lutff_2/in_2 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g0_5 +buffer lutff_7/out sp4_h_r_46 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_v_b_14 local_g3_6 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_37 local_g3_5 +buffer sp4_h_r_47 local_g2_7 +routing sp12_v_b_0 sp12_v_t_23 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_v_b_0 sp4_h_l_37 +routing sp4_v_b_4 sp4_v_t_42 + +.logic_tile 11 9 +LC_3 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_1 lutff_3/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_top_2 local_g1_2 +buffer sp4_h_r_17 local_g0_1 +routing sp12_v_b_1 sp12_v_t_22 +routing sp4_v_b_9 sp4_h_l_44 +routing sp4_v_b_9 sp4_v_t_40 +routing sp4_v_t_40 sp4_h_l_46 + +.logic_tile 12 11 +LC_1 0100000000000000 0110 DffEnable Set_NoReset +LC_3 0100000000000000 0110 DffEnable Set_NoReset +LC_6 0100000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_6/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer neigh_op_bot_4 local_g0_4 +buffer sp12_h_r_14 local_g1_6 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_2 local_g0_2 + +.logic_tile 4 14 +LC_0 0001000000000000 0000 LC_4 0001000000000000 0000 -LC_5 0000000000001110 0000 -LC_6 0001000000000000 0000 -LC_7 0000111000000000 0000 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_3/in_3 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_0/in_3 -buffer local_g1_1 lutff_5/in_3 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_4/out sp12_h_r_16 +buffer neigh_op_top_4 local_g1_4 +buffer neigh_op_top_6 local_g1_6 +buffer sp4_h_r_1 local_g1_1 +routing sp12_h_l_23 sp12_v_b_0 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_h_r_11 sp4_h_l_39 + +.logic_tile 2 10 +LC_0 0000101100000000 0000 +LC_1 0000100000000000 0000 +LC_2 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_1/in_1 buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_3/in_0 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_2/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_v_b_20 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_18 local_g0_2 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_2 local_g1_2 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_v_b_38 local_g2_6 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_t_44 sp4_h_r_9 + +.logic_tile 9 10 +LC_0 0000001000000000 0000 +LC_1 1111001011111111 0000 +LC_2 1011111100000000 0000 +LC_5 1110000000000000 0100 DffEnable +LC_6 1110000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 glb2local_1 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_5 lutff_1/in_2 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_0/in_1 buffer local_g1_5 lutff_0/in_0 +buffer local_g1_5 lutff_1/in_1 buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_6 lutff_6/in_0 -buffer local_g2_6 lutff_7/in_1 -buffer local_g2_7 lutff_2/in_3 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_6 lutff_0/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g2_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g2_7 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_4 local_g0_4 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_33 local_g2_1 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_23 local_g0_7 -routing sp4_h_l_47 sp4_h_r_6 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_1 sp4_v_t_44 -routing sp4_v_b_2 sp4_v_t_39 -routing sp4_v_b_5 sp4_h_l_47 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_41 sp4_h_r_4 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_5 lutff_0/in_3 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_lft_7 local_g1_7 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_29 local_g2_5 +routing sp4_h_l_42 sp4_h_r_7 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_2 sp4_h_l_47 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_5 sp4_v_t_40 -.logic_tile 7 9 -LC_0 0000000011111101 0000 -LC_1 1010100010101010 0000 -LC_2 0001000000000000 0000 -LC_3 0000110100000000 0000 -LC_4 0000000100000000 0000 -LC_5 0000000000001011 0000 -LC_6 0000000000100000 0000 +.logic_tile 5 15 +LC_0 1000000000000000 0000 +LC_1 1110111011100000 0000 +LC_2 1000001001000001 0000 +LC_3 1110111011100000 0000 +LC_4 1000001001000001 0000 +LC_5 1110000000000000 0000 +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_6/in_2 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_4 lutff_5/in_1 buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_5/in_1 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_0/in_3 +buffer local_g0_7 lutff_4/in_3 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_2/in_1 buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_6 lutff_1/in_3 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_4 lutff_6/in_3 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g3_3 -buffer lutff_4/out lutff_5/in_2 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g2_6 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_tnl_4 local_g2_4 -buffer neigh_op_tnr_0 local_g2_0 -buffer neigh_op_tnr_6 local_g3_6 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_h_r_6 local_g1_6 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_36 local_g3_4 -buffer sp4_v_b_41 local_g2_1 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_v_b_5 sp4_v_t_36 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_46 sp4_v_b_7 - -.logic_tile 2 7 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 1100 CarryEnable DffEnable -LC_4 0110100110010110 1100 CarryEnable DffEnable -LC_5 0110100110010110 1100 CarryEnable DffEnable -LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0000000011111111 0000 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_5 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out local_g0_5 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_2/in_3 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_2 lutff_3/in_2 +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_5 lutff_4/in_2 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp12_h_r_16 buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/cout lutff_7/in_3 buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_v_b_46 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_42 local_g2_2 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_42 sp4_h_r_3 -routing sp4_h_r_8 sp4_v_t_39 -routing sp4_v_t_37 sp4_v_b_8 -routing sp4_v_t_41 sp4_v_b_0 -routing sp4_v_t_43 sp4_h_r_11 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bot_4 local_g0_4 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_v_b_9 local_g3_1 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_39 local_g2_7 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_15 local_g0_7 +routing sp4_h_r_10 sp4_h_l_43 +routing sp4_v_b_5 sp4_h_l_40 +routing sp4_v_b_5 sp4_v_t_45 +routing sp4_v_t_40 sp4_v_b_8 -.logic_tile 9 13 -ColBufCtrl glb_netwk_2 +.logic_tile 6 12 +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_7 -LC_0 0110100110010110 0000 -LC_1 0110100110010110 0000 -LC_2 0001010000000000 0100 DffEnable -LC_3 0000010000000000 0100 DffEnable -LC_5 0000010000000000 0100 DffEnable -LC_6 1111001000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +ColBufCtrl glb_netwk_5 +LC_0 1000010000100001 0000 +LC_1 1000000000000000 0000 +LC_2 0000000000001110 0000 +LC_3 0000000000000001 0000 +LC_4 1000001001000001 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_5 lutff_5/in_1 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_5 lutff_0/in_0 buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_0/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g3_0 lutff_3/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_6 lutff_2/in_1 -buffer lutff_0/out local_g3_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out local_g3_6 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp12_v_b_12 -buffer neigh_op_lft_5 local_g1_5 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_14 local_g0_6 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_47 sp4_h_r_6 -routing sp4_v_b_5 sp4_h_r_5 -routing sp4_v_t_42 sp4_h_r_0 -routing sp4_v_t_43 sp4_h_l_37 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_2/in_3 +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_6 lutff_7/in_0 +buffer local_g3_7 lutff_1/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnl_1 local_g2_1 +buffer neigh_op_bnl_7 local_g3_7 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_top_3 local_g0_3 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_24 local_g3_0 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_38 local_g3_6 +routing sp4_h_l_44 sp4_v_t_44 +routing sp4_v_b_5 sp4_v_t_40 +routing sp4_v_t_43 sp4_h_r_11 -.logic_tile 8 3 -LC_0 1100101000110101 0000 -LC_1 0000000010001110 0000 -LC_2 0101001100000000 0000 -LC_3 0011010100000000 0100 DffEnable -LC_4 0011010100000000 0100 DffEnable -LC_5 0010101011111111 0100 DffEnable -LC_6 0011010100000000 0100 DffEnable -LC_7 0011010100000000 0100 DffEnable -buffer glb_netwk_1 lutff_global/cen +.logic_tile 11 12 +CarryInSet +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 0000 +LC_4 0001010000000000 0000 +LC_6 1101111100000000 0100 DffEnable +LC_7 0100000100000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_0/in_3 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_4 lutff_6/in_1 buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_0/in_2 buffer local_g1_5 lutff_2/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_1 lutff_5/in_3 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_7 lutff_0/in_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out sp12_h_r_0 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_6/out sp4_v_b_44 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_4/in_1 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g2_2 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_6/out local_g1_6 buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_1 local_g3_1 -buffer sp12_h_r_19 local_g0_3 -buffer sp12_h_r_3 local_g1_3 -buffer sp12_v_b_7 local_g2_7 -buffer sp12_v_b_7 local_g3_7 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_28 local_g2_4 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_r_8 sp4_h_l_41 -routing sp4_v_t_41 sp4_h_r_4 +buffer lutff_7/out local_g1_7 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_21 local_g1_5 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_v_b_11 sp4_h_l_41 -.logic_tile 5 10 -LC_0 0000000000010000 0000 -LC_1 0001000000000000 0000 -LC_2 0001000000000000 0000 -LC_3 1010111000000000 0000 -LC_4 0010000000000000 0000 -LC_5 1110000000000000 0000 -LC_6 1111000100000000 0000 -LC_7 0000010000000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_6 glb2local_0 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_2/in_1 +.logic_tile 4 11 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 1100101000000000 0000 +LC_3 1100101000000000 0000 +LC_4 1100101000000000 0000 +LC_5 1100101000000000 0000 +LC_6 1100101000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g1_0 lutff_1/in_0 buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_0/in_3 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_6/in_2 buffer local_g1_4 lutff_6/in_1 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_1/in_1 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_5/in_0 buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp4_h_r_34 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_3 lutff_global/cen +buffer local_g3_7 lutff_2/in_2 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out sp12_v_b_2 buffer lutff_2/out local_g0_2 buffer lutff_3/out sp4_r_v_b_39 buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_bnl_0 local_g2_0 -buffer neigh_op_bnl_0 local_g3_0 -buffer neigh_op_lft_2 local_g1_2 +buffer lutff_5/out local_g1_5 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_7 local_g1_7 +buffer sp12_v_b_0 local_g3_0 +buffer sp12_v_b_7 local_g2_7 +buffer sp12_v_b_7 local_g3_7 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_6 local_g0_6 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_h_l_36 sp4_v_b_7 +routing sp4_h_r_10 sp4_h_l_36 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_v_b_6 sp4_h_r_6 + +.logic_tile 7 9 +LC_0 1111010000000000 0000 +LC_1 1010001010101010 0000 +LC_2 0000000011110001 0000 +LC_3 0000111000000000 0000 +LC_4 0000101100000000 0000 +LC_5 0000101100000000 0000 +LC_6 0001000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_5 lutff_3/in_2 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_4 lutff_1/in_0 +buffer local_g3_5 lutff_2/in_2 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bnl_1 local_g3_1 +buffer neigh_op_bnl_4 local_g3_4 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_top_0 local_g0_0 buffer neigh_op_top_1 local_g0_1 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_3 local_g1_3 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp12_v_b_23 sp4_v_b_23 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_0 local_g1_0 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_v_b_1 sp4_h_r_7 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_39 sp4_v_b_2 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_24 local_g3_0 +buffer sp4_v_b_27 local_g3_3 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_42 local_g2_2 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_0 sp4_h_l_38 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_t_41 sp4_v_b_4 -.logic_tile 4 6 -LC_0 0001010000000000 0000 -LC_1 0110100110010110 0000 -LC_2 1000000000000000 0000 -LC_3 0000000001010111 0000 -LC_4 1101111100000000 0100 DffEnable -LC_5 0100000100000000 0100 DffEnable -LC_6 1101111100000000 0100 DffEnable -LC_7 1101111100000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +.logic_tile 2 7 +LC_0 0000000000000001 0000 +LC_1 0100000000000000 0000 +LC_2 0000000000000010 0000 +LC_3 0000010000000000 0000 +LC_4 0101001100000000 0000 +LC_5 0000000000000001 0000 +LC_6 0000110111110010 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_5 lutff_5/in_2 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_6/in_0 buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_2/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_1/in_0 buffer local_g1_5 lutff_4/in_2 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_2 lutff_0/in_2 +buffer local_g1_6 lutff_6/in_1 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_5/in_2 buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_4 lutff_3/in_2 -buffer local_g3_5 lutff_1/in_3 -buffer local_g3_6 lutff_4/in_1 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_6 lutff_6/in_1 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_2 lutff_2/in_3 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_6 lutff_2/in_1 buffer local_g3_7 lutff_1/in_1 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp4_v_b_32 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_h_r_32 buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g3_1 +buffer lutff_1/out sp12_h_r_10 buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g3_7 -buffer neigh_op_bot_2 local_g1_2 -buffer sp12_h_r_5 local_g1_5 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_h_r_45 local_g3_5 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_38 local_g3_6 -buffer sp4_v_b_45 local_g2_5 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_l_40 sp4_v_t_47 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_45 sp4_v_b_11 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp4_r_v_b_43 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_tnl_5 local_g2_5 +buffer sp12_h_r_21 local_g0_5 +buffer sp12_v_b_11 local_g3_3 +buffer sp12_v_b_6 local_g3_6 +buffer sp12_v_b_7 local_g3_7 +buffer sp12_v_b_9 local_g2_1 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_25 local_g3_1 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_9 local_g1_1 +routing sp12_v_t_22 sp12_h_r_1 +routing sp4_h_l_40 sp4_h_r_8 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_46 sp4_h_l_40 -.logic_tile 8 13 +.logic_tile 9 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_2 ColBufCtrl glb_netwk_3 -LC_0 1100101000000000 0000 -LC_1 0000010000000000 0000 -LC_2 1100101000000000 0000 -LC_3 1100101000000000 0000 -LC_4 0000000001000000 0000 -LC_5 0000000010000000 0000 -LC_6 0101001100000000 0110 DffEnable Set_NoReset -LC_7 0101001100000000 0110 DffEnable Set_NoReset +ColBufCtrl glb_netwk_7 +LC_0 1000000000000000 0000 +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_4/in_3 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_7 lutff_7/in_2 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_3 lutff_5/in_3 -buffer local_g3_5 lutff_6/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g3_1 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bnr_7 local_g1_7 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_tnl_7 local_g2_7 -buffer neigh_op_tnr_7 local_g3_7 -buffer neigh_op_top_4 local_g1_4 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_26 local_g0_2 +buffer local_g0_3 lutff_5/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_2/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_5/out sp4_v_b_42 +buffer sp12_h_r_19 local_g1_3 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_v_b_25 local_g2_1 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_r_4 sp4_h_l_37 +routing sp4_v_t_40 sp4_v_b_1 + +.logic_tile 8 3 +LC_0 0000000010001110 0000 +LC_1 1000000000000000 0000 +LC_2 0001000001000000 0000 +LC_3 0000000001110001 0000 +LC_4 0000000001110001 0000 +LC_5 0000000011100000 0000 +LC_6 0000111000000000 0000 +LC_7 0111000100000000 0000 +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_6 lutff_2/in_0 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_6 lutff_6/in_3 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g0_2 +buffer lutff_4/out sp4_h_r_24 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_tnr_0 local_g2_0 +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_v_b_10 local_g2_2 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_h_r_33 local_g3_1 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_v_b_12 local_g1_4 buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_3 local_g0_3 buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_5 local_g1_5 -routing sp12_v_t_23 sp12_h_r_0 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_41 sp4_v_t_41 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_r_10 sp4_h_l_36 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_v_b_3 sp4_h_r_9 -routing sp4_v_b_5 sp4_h_l_40 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_39 sp4_v_b_2 -routing sp4_v_t_41 sp4_v_b_0 +buffer sp4_v_b_5 local_g0_5 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_l_44 sp4_v_t_44 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_t_37 sp4_v_b_0 -.logic_tile 9 2 -LC_0 0111010100000000 0000 -LC_1 1000000000000000 0000 -LC_2 0100000100000000 0000 -LC_3 0111000000000000 0000 -LC_4 0100000000000000 0000 -LC_5 0110100110010110 0000 -LC_6 0100000000000000 0100 DffEnable +.logic_tile 5 10 +LC_0 1100101000000000 0000 +LC_1 0000101100000000 0000 +LC_3 0001000000000000 0000 +LC_4 1100101000000000 0000 +LC_5 0101001100000000 0000 +LC_6 1010110000000000 0000 LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_1 lutff_global/cen buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_5 lutff_2/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_7/in_0 buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_global/s_r -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_5 lutff_4/in_1 -buffer local_g3_7 lutff_2/in_2 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_4/out local_g0_4 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_4 lutff_6/in_1 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_4 lutff_1/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_4/out local_g1_4 buffer lutff_5/out local_g2_5 buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp4_v_b_14 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_23 local_g3_7 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_28 local_g2_4 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_5 local_g1_5 -routing sp12_h_r_0 sp12_v_t_23 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_38 sp4_h_r_6 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_h_r_6 sp4_h_l_43 -routing sp4_h_r_9 sp4_v_t_38 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_b_5 sp4_v_t_36 -routing sp4_v_t_43 sp4_v_b_2 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_rgt_0 local_g2_0 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_h_r_5 local_g0_5 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_41 local_g2_1 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_r_5 sp4_v_b_5 +routing sp4_v_t_37 sp4_v_b_0 +routing sp4_v_t_42 sp4_h_l_36 +routing sp4_v_t_43 sp4_v_b_9 -.logic_tile 6 1 -LC_0 0000000000010000 0000 -LC_2 0100000000000000 0000 -LC_3 0001000000000000 0000 -LC_5 0000000000000001 0000 -LC_6 0000000000000001 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_5/in_0 +.logic_tile 4 6 +LC_1 0000000100000000 0000 +LC_2 0000000000000001 0000 +LC_3 0000000100000000 0000 +LC_4 0101001100000000 0000 +LC_5 0000000011010101 0100 DffEnable +LC_6 0000000001110101 0100 DffEnable +LC_7 0000000001110101 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_6/in_1 buffer local_g0_2 lutff_5/in_3 -buffer local_g0_5 lutff_5/in_2 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_5/in_1 buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_7 lutff_0/in_2 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_6 lutff_5/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_6 lutff_6/in_1 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_h_r_6 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp12_h_r_4 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_tnl_0 local_g2_0 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnl_6 local_g3_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_17 local_g1_1 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_global/s_r +buffer local_g3_7 lutff_7/in_3 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out local_g1_7 +buffer neigh_op_top_3 local_g1_3 +buffer sp12_h_r_9 local_g1_1 +buffer sp12_v_b_15 local_g3_7 +buffer sp12_v_b_6 local_g2_6 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_r_v_b_25 local_g0_1 buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_r_v_b_35 local_g2_3 -routing sp4_h_l_37 sp4_h_r_0 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_7 sp4_h_l_37 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_42 local_g3_2 +buffer sp4_v_b_43 local_g3_3 +buffer sp4_v_b_45 local_g2_5 +buffer sp4_v_b_5 local_g0_5 +routing sp4_h_l_45 sp4_v_t_45 +routing sp4_h_l_47 sp4_v_t_47 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_b_8 sp4_v_t_46 +routing sp4_v_t_36 sp4_h_r_6 -.logic_tile 5 7 -LC_1 0000100000000000 0000 -LC_2 0001000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0110100110010110 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0110 DffEnable Set_NoReset +.logic_tile 8 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +LC_0 1000000000000000 0000 +LC_1 0000000010000000 0000 +LC_2 1000001001000001 0000 +LC_3 1111111101000101 0000 +LC_4 0000010000000000 0000 +LC_5 0000001000000000 0000 +LC_6 0001000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb2local_2 local_g0_6 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_global/s_r -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_6/in_0 +buffer glb_netwk_5 glb2local_2 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_1/in_3 buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_7 lutff_4/in_0 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_7/out sp4_v_b_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_tnl_7 local_g3_7 -buffer neigh_op_top_3 local_g1_3 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_46 local_g2_6 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_l_39 sp4_v_b_2 -routing sp4_h_r_1 sp4_v_b_6 -routing sp4_h_r_3 sp4_v_b_8 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_t_37 sp4_v_b_3 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_5/in_3 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_2/in_3 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_4 lutff_1/in_2 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_3/out lutff_4/in_2 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_tnr_0 local_g2_0 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_v_b_19 sp4_v_b_21 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_33 local_g3_1 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_t_39 sp4_v_b_2 +routing sp4_v_t_41 sp4_h_l_47 -.logic_tile 11 3 -LC_0 0100000000000000 0100 DffEnable +.logic_tile 9 2 LC_1 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable LC_6 0100000000000000 0100 DffEnable NegClk buffer glb_netwk_3 lutff_global/clk -buffer local_g0_4 lutff_6/in_0 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_29 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_r_v_b_1 local_g1_1 -routing sp12_h_l_22 sp12_v_t_22 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_l_46 sp4_v_b_11 -routing sp4_h_r_5 sp4_v_t_46 -routing sp4_h_r_7 sp4_v_t_42 -routing sp4_v_b_3 sp4_h_l_38 -routing sp4_v_b_5 sp4_h_l_40 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_41 sp4_h_r_4 -routing sp4_v_t_45 sp4_h_l_39 - -.logic_tile 6 16 -LC_0 0100000000000000 0000 -LC_1 0000010000000000 0000 -LC_2 1111011100000000 0000 -LC_3 0000001000000000 0000 -LC_4 0010000000000000 0000 -LC_5 0000000011111110 0000 -LC_6 1010101100000000 0000 -LC_7 0100000000000000 0000 buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_4 lutff_3/in_3 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_1/in_2 -buffer local_g3_4 lutff_5/in_2 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_5 lutff_7/in_1 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g0_2 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out local_g0_5 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_bot_2 local_g1_2 -buffer sp12_h_r_6 local_g1_6 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_37 local_g3_5 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_r_1 sp4_v_b_6 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out sp4_r_v_b_13 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_5 local_g0_5 +routing sp4_h_l_42 sp4_h_r_10 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_t_36 sp4_h_l_36 -.logic_tile 7 4 +.logic_tile 6 1 CarryInSet -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_3 0000000000001011 0000 -LC_4 0001000000000000 0000 -LC_5 1110111011100000 0000 -LC_6 1010101000111111 0000 -LC_7 0000000011100000 0000 -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_0 +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000011111111 0000 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_6 lutff_1/in_1 buffer local_g0_7 lutff_3/in_2 -buffer local_g1_1 lutff_7/in_3 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_7/in_2 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_4/in_1 -buffer local_g2_0 lutff_7/in_1 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_4 lutff_4/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_5 lutff_4/in_2 +buffer local_g2_0 lutff_2/in_2 buffer local_g2_5 lutff_1/in_2 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_1 lutff_3/in_3 -buffer local_g3_3 lutff_5/in_3 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_1/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out local_g0_7 -buffer neigh_op_tnr_1 local_g3_1 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_21 local_g0_5 -buffer sp12_v_b_11 local_g3_3 -buffer sp12_v_b_19 local_g2_3 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_4 local_g0_4 -buffer sp4_v_b_40 local_g3_0 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_44 local_g3_4 -buffer sp4_v_b_47 local_g2_7 -routing sp12_h_r_1 sp12_v_t_22 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_37 sp4_h_r_8 -routing sp4_h_l_39 sp4_h_r_5 -routing sp4_h_r_1 sp4_v_b_1 -routing sp4_h_r_10 sp4_v_b_10 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_v_b_6 sp4_h_r_0 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp12_v_b_12 +buffer neigh_op_tnr_5 local_g2_5 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_5 local_g1_5 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_v_b_8 local_g2_0 +buffer sp4_h_r_22 local_g0_6 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_v_t_37 sp4_h_r_5 -.logic_tile 12 13 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_7 -LC_0 0100000000000000 0000 -LC_1 1000000000000000 0000 -LC_3 0100000000000000 0100 DffEnable +.logic_tile 5 7 +LC_0 0000010000000000 0000 +LC_1 0000000100000000 0000 +LC_2 0000000011001010 0000 +LC_3 0000000100000000 0000 +LC_4 0010000000000000 0000 +LC_5 0000101100000000 0000 +LC_6 0010000000000000 0000 +LC_7 0000000011010101 0100 DffEnable buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_5/in_2 buffer local_g0_2 lutff_global/cen -buffer local_g1_0 lutff_0/in_1 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_0/in_0 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_3/out sp4_v_b_6 -buffer neigh_op_tnl_6 local_g2_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_33 local_g0_2 -routing sp4_v_b_5 sp4_v_t_45 -routing sp4_v_t_46 sp4_h_l_46 - -.logic_tile 1 8 -LC_0 0001000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0101010101000101 0000 -LC_6 0010000000000000 0000 -LC_7 0000000100000000 0000 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_6/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_6/in_0 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_global/s_r buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_5 lutff_0/in_2 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_0/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out local_g2_0 -buffer lutff_2/out sp12_v_b_4 +buffer local_g1_7 lutff_4/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_5 lutff_7/in_2 +buffer local_g2_6 lutff_7/in_3 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_4 lutff_2/in_3 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_5 lutff_5/in_1 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp4_r_v_b_21 buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_v_b_10 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out local_g0_5 buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnr_2 local_g1_2 buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_bnr_6 local_g1_6 -buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_tnr_5 local_g2_5 buffer neigh_op_tnr_5 local_g3_5 -buffer neigh_op_tnr_6 local_g3_6 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_v_b_15 local_g2_7 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_34 local_g3_2 -buffer sp4_r_v_b_12 local_g2_4 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_h_r_6 local_g1_6 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_v_b_22 local_g2_6 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_9 local_g0_1 buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_38 local_g2_6 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_b_4 sp4_h_r_4 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_26 local_g3_2 +buffer sp4_v_b_28 local_g3_4 +buffer sp4_v_b_31 local_g3_7 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_33 local_g3_1 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_44 local_g2_4 +routing sp12_h_r_1 sp12_v_t_22 +routing sp12_v_b_0 sp12_h_r_0 +routing sp12_v_t_22 sp12_h_l_22 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_r_7 sp4_h_l_38 +routing sp4_v_b_9 sp4_h_l_44 +routing sp4_v_t_40 sp4_h_r_5 routing sp4_v_t_43 sp4_h_r_6 +routing sp4_v_t_45 sp4_v_b_4 + +.logic_tile 11 3 +LC_0 0111000100000000 0000 +LC_1 0000000001110001 0000 +LC_2 0001010000000000 0000 +LC_3 0100000100000000 0000 +LC_4 0100000100000000 0000 +LC_5 1101000000000000 0000 +LC_6 0011010100000000 0100 DffEnable +LC_7 0011010100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_7/in_2 +buffer local_g2_0 lutff_1/in_3 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_7 lutff_1/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_5 lutff_4/in_2 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_39 local_g3_7 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_40 local_g3_0 +routing sp4_h_r_7 sp4_h_l_38 +routing sp4_v_b_5 sp4_h_l_47 -.logic_tile 8 8 -LC_0 0100000000000000 0000 -LC_1 0110100110010110 0000 -LC_2 0000000100000000 0000 +.logic_tile 6 16 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 1000010000100001 0000 +LC_3 1000001001000001 0000 LC_4 0001000000000000 0000 -LC_5 0000000000010000 0000 -LC_7 0100000000000000 0110 DffEnable Set_NoReset +LC_5 0000000000000001 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 +buffer glb_netwk_5 glb2local_1 buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_0/in_0 buffer local_g0_6 lutff_2/in_2 -buffer local_g0_6 lutff_4/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_6 lutff_0/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_7 lutff_0/in_1 buffer local_g2_7 lutff_2/in_3 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_7 lutff_5/in_3 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_7/out sp4_h_r_46 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_46 local_g2_6 -buffer sp4_v_b_47 local_g2_7 -buffer sp4_v_b_47 local_g3_7 -routing sp12_v_b_0 sp12_h_r_0 -routing sp12_v_b_1 sp12_v_t_22 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_5 sp4_h_l_45 -routing sp4_v_t_44 sp4_h_l_44 -routing sp4_v_t_47 sp4_h_r_3 - -.logic_tile 4 3 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 1100 CarryEnable DffEnable -LC_4 0110100110010110 1100 CarryEnable DffEnable -LC_5 0110100110010110 1100 CarryEnable DffEnable -LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0110100110010110 1100 CarryEnable DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_6 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/cout lutff_7/in_3 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_7 lutff_3/in_3 +buffer lutff_0/out local_g0_0 +buffer lutff_2/out local_g3_2 +buffer lutff_3/out local_g1_3 buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_15 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_r_v_b_6 local_g1_6 -routing sp4_h_l_42 sp4_v_t_42 -routing sp4_v_t_38 sp4_h_l_44 - -.logic_tile 2 15 -LC_4 1001000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_h_r_24 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_6 local_g0_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_3 local_g0_3 buffer sp12_h_r_6 sp4_h_r_15 -buffer sp4_h_r_18 local_g0_2 -routing sp4_h_l_42 sp4_h_r_7 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_h_r_10 sp4_v_b_10 +buffer sp12_v_b_13 local_g2_5 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_39 local_g3_7 +buffer sp4_v_b_42 local_g2_2 +routing sp4_h_l_42 sp4_v_b_1 routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_6 sp4_v_b_11 +routing sp4_h_r_6 sp4_v_b_6 -.logic_tile 9 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 +.logic_tile 7 4 ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_7 -LC_0 0110100110010110 0000 -LC_1 1000000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 1000000000000000 0100 DffEnable -LC_4 0011010100000000 0100 DffEnable -LC_5 0011010100000000 0100 DffEnable -LC_6 1000101011111111 0100 DffEnable -LC_7 0011010100000000 0100 DffEnable -buffer glb_netwk_1 lutff_global/cen +ColBufCtrl glb_netwk_4 +LC_0 0100000000000000 0000 +LC_1 0000000100000000 0000 +LC_2 0001000000000000 0000 +LC_3 0101110100000000 0100 DffEnable +LC_4 0101110100000000 0100 DffEnable +LC_6 0101110000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_5 lutff_7/in_2 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_4 lutff_6/in_1 -buffer local_g3_5 lutff_2/in_2 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out local_g3_2 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp12_h_r_6 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_11 local_g3_3 -buffer sp12_v_b_16 local_g2_0 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_19 local_g2_3 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_36 local_g3_4 -buffer sp4_v_b_45 local_g3_5 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_2 lutff_4/in_1 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g2_7 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_18 local_g0_2 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_33 local_g3_1 +buffer sp4_v_b_42 local_g3_2 +buffer sp4_v_b_43 local_g2_3 buffer sp4_v_b_9 local_g1_1 -routing sp4_h_l_41 sp4_h_r_0 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_h_r_10 sp4_v_t_41 -routing sp4_h_r_8 sp4_h_l_41 -routing sp4_v_b_5 sp4_h_r_5 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_t_45 sp4_h_l_39 -routing sp4_v_t_46 sp4_v_b_7 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_v_b_8 sp4_v_t_45 +routing sp4_v_t_41 sp4_v_b_0 +routing sp4_v_t_46 sp4_v_b_2 -.logic_tile 8 4 -ColBufCtrl glb_netwk_1 +.logic_tile 12 13 ColBufCtrl glb_netwk_2 ColBufCtrl glb_netwk_3 -LC_0 1000001001000001 0000 -LC_1 1000010000100001 0000 -LC_2 1101000000000000 0000 -LC_3 0000000100000000 0000 -LC_4 1000001001000001 0000 -LC_5 0010000000000000 0000 -LC_6 1110111011100000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_7 +LC_0 1001000000000000 0000 +LC_1 0000100010000000 0000 +LC_2 0110100110010110 0000 +LC_3 1011111000000000 0000 +LC_4 0010000000000000 0000 +LC_5 0100000000000000 0101 DffEnable AsyncSetReset +LC_6 0100000000000000 0101 DffEnable AsyncSetReset +LC_7 0111000000000000 0101 DffEnable AsyncSetReset buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_1/in_3 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_6 lutff_6/in_3 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_2/in_0 +buffer glb_netwk_4 lutff_global/s_r +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_0/in_0 buffer local_g2_1 lutff_1/in_2 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_1 lutff_0/in_0 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_1 lutff_1/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out local_g2_7 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_15 local_g0_7 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_v_b_17 local_g1_1 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_v_b_11 sp4_v_t_39 + +.logic_tile 1 8 +LC_0 0000000000010000 0000 +LC_3 0000000000100000 0000 +LC_5 0001000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_3/in_3 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_3 lutff_0/in_3 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_2 lutff_3/in_2 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_6/in_0 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_6/out sp4_v_b_44 +buffer neigh_op_tnr_0 local_g2_0 +buffer neigh_op_tnr_0 local_g3_0 +buffer neigh_op_tnr_1 local_g2_1 +buffer neigh_op_tnr_1 local_g3_1 +buffer neigh_op_tnr_2 local_g2_2 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_tnr_3 local_g2_3 +buffer sp12_v_b_11 local_g3_3 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_18 local_g0_2 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_v_t_40 sp4_h_r_10 + +.logic_tile 8 8 +LC_0 0001000000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 1000001000000000 0000 +LC_4 0100000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0011000001010101 0100 DffEnable +LC_7 0011000010101010 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_7/in_3 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_1 lutff_6/in_2 buffer local_g3_4 lutff_4/in_1 -buffer local_g3_7 lutff_1/in_1 +buffer local_g3_5 lutff_4/in_0 buffer lutff_0/out local_g0_0 buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_rgt_0 local_g2_0 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_5 local_g0_5 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_18 local_g1_2 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_tnr_1 local_g2_1 +buffer neigh_op_tnr_1 local_g3_1 +buffer neigh_op_top_5 local_g1_5 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_26 local_g2_2 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_b_8 sp4_h_r_2 + +.logic_tile 4 3 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_6 lutff_5/in_2 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_6 lutff_5/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_6/out sp12_v_b_12 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_tnr_6 local_g2_6 buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_r_v_b_47 local_g3_7 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_12 local_g0_4 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_v_b_17 local_g0_1 buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_36 local_g3_4 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_r_3 sp4_v_t_44 -routing sp4_h_r_7 sp4_v_t_42 routing sp4_v_t_37 sp4_h_r_0 +routing sp4_v_t_41 sp4_h_r_9 -.logic_tile 5 2 -LC_0 0000000000000001 0000 -LC_1 0100000000000000 0000 -LC_2 0000000100000000 0000 -LC_3 0000000100000000 0000 -LC_4 0000000100000000 0000 -LC_5 0000000100000000 0000 -LC_6 0010000000000000 0000 -LC_7 0000010000000000 0000 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_7 lutff_3/in_2 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_3 lutff_2/in_3 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_5 lutff_6/in_1 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_6 lutff_6/in_2 -buffer local_g2_7 lutff_0/in_3 -buffer local_g2_7 lutff_6/in_3 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_7/in_1 -buffer local_g3_6 lutff_7/in_2 -buffer local_g3_7 lutff_7/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out local_g1_5 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_tnl_2 local_g2_2 -buffer neigh_op_tnl_3 local_g2_3 -buffer neigh_op_tnl_4 local_g2_4 -buffer neigh_op_tnl_4 local_g3_4 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnl_5 local_g3_5 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnl_6 local_g3_6 -buffer neigh_op_tnl_7 local_g2_7 -buffer neigh_op_tnl_7 local_g3_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_r_v_b_34 local_g0_1 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_t_38 sp4_h_r_3 - -.logic_tile 1 16 +.logic_tile 2 15 LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_5 lutff_global/cen -buffer local_g2_3 lutff_1/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_3 lutff_6/in_0 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_6/out sp4_v_b_28 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_35 local_g3_3 +buffer local_g0_0 lutff_4/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_1/in_0 +buffer local_g2_5 lutff_5/in_0 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out sp12_h_r_18 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_4 local_g1_4 +routing sp4_h_r_11 sp4_h_l_42 -.logic_tile 11 6 -LC_0 0000000000000110 0000 -LC_1 0000011000000000 0000 -LC_2 0000001000000000 0000 -LC_3 0000010000000000 0000 -LC_4 0001000000000000 0000 +.logic_tile 9 5 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 1011000010111011 0000 +LC_1 1100101000110101 0000 +LC_2 1011101011101010 0000 +LC_3 0000001000000000 0000 +LC_4 1100101000110101 0000 LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 glb2local_1 -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_2 lutff_2/in_0 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_0 lutff_3/in_2 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_1/in_3 -buffer local_g3_7 lutff_0/in_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_tnl_0 local_g2_0 -buffer neigh_op_tnl_0 local_g3_0 -buffer neigh_op_tnl_1 local_g2_1 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnl_2 local_g2_2 -buffer neigh_op_tnl_2 local_g3_2 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_h_r_23 local_g1_7 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_45 local_g2_5 -buffer sp4_h_r_45 local_g3_5 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_39 local_g3_7 -routing sp4_h_l_39 sp4_v_b_2 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_6 sp4_h_l_43 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_b_8 sp4_h_l_45 +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_6 lutff_4/in_0 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_4 lutff_0/in_2 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_7 lutff_2/in_3 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_3 lutff_1/in_3 +buffer lutff_0/out sp12_v_b_0 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out local_g0_1 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_lft_7 local_g1_7 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_3 local_g1_3 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_42 local_g3_2 +buffer sp4_v_b_43 local_g3_3 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_5 sp4_v_t_46 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_t_40 sp4_v_b_1 + +.logic_tile 8 4 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_7 +LC_0 0111000000000000 0000 +LC_1 0101001100000000 0000 +LC_2 0101001100000000 0000 +LC_3 1110101100000000 0000 +LC_4 1000000000000000 0000 +LC_5 0100000100000000 0000 +LC_6 0011010100000000 0100 DffEnable +LC_7 0011010100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_5 lutff_global/cen +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_4 lutff_7/in_2 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g2_2 +buffer lutff_2/out local_g3_2 +buffer lutff_3/out local_g0_3 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp12_h_r_6 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp12_v_b_9 local_g2_1 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_v_b_44 local_g2_4 +buffer sp4_v_b_44 local_g3_4 +buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_h_r_1 sp4_v_b_1 + +.logic_tile 5 2 +LC_0 0001000000000000 0000 +LC_6 0110100110010110 0000 +LC_7 0101010100111111 0100 DffEnable +buffer glb_netwk_3 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_2 lutff_7/in_1 +buffer local_g2_3 lutff_6/in_1 +buffer local_g3_1 lutff_7/in_3 +buffer local_g3_5 lutff_0/in_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_6/out lutff_7/in_2 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_6 local_g1_6 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_v_b_25 local_g3_1 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_43 local_g2_3 +routing sp12_h_r_1 sp12_v_b_1 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_r_1 sp4_h_l_41 +routing sp4_h_r_8 sp4_v_t_39 +routing sp4_h_r_8 sp4_v_t_45 +routing sp4_h_r_9 sp4_h_l_37 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_t_41 sp4_h_r_4 -.ramb_tile 3 15 -RamConfig PowerUp -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_6 sp4_h_r_15 -routing sp12_h_l_23 sp12_v_b_0 -routing sp12_h_r_0 sp12_h_l_23 -routing sp4_h_r_11 sp4_v_b_11 +.logic_tile 11 6 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_7 local_g1_7 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp4_r_v_b_26 local_g0_2 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_40 sp4_v_b_5 .ramb_tile 10 5 ColBufCtrl glb_netwk_3 -buffer glb_netwk_3 ram/WCLK -buffer local_g0_1 ram/WADDR_5 -buffer local_g0_7 ram/WDATA_6 -buffer local_g1_0 ram/WDATA_0 -buffer local_g1_4 ram/WDATA_2 -buffer local_g2_2 ram/WADDR_0 -buffer local_g2_3 ram/WDATA_4 -buffer local_g2_5 ram/WADDR_3 -buffer local_g2_6 ram/WADDR_2 -buffer local_g2_7 ram/WADDR_1 -buffer local_g3_1 ram/WADDR_4 -buffer local_g3_4 ram/WADDR_7 -buffer local_g3_5 ram/WE -buffer local_g3_7 ram/WADDR_6 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_tnr_5 local_g2_5 -buffer neigh_op_tnr_7 local_g3_7 -buffer ram/RDATA_0 sp4_v_b_32 -buffer ram/RDATA_2 sp4_h_r_4 -buffer ram/RDATA_4 sp12_v_b_8 -buffer ram/RDATA_6 sp12_h_r_20 -buffer sp12_v_b_5 local_g3_5 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_44 local_g3_4 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_40 sp4_h_r_5 +RamConfig PowerUp +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_h_l_46 sp4_h_r_7 routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_t_44 sp4_v_b_5 -routing sp4_v_t_45 sp4_h_l_39 -routing sp4_v_t_47 sp4_v_b_1 +routing sp4_v_b_4 sp4_v_t_41 .ramb_tile 10 11 RamConfig PowerUp -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_t_47 sp4_h_r_3 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_2 sp4_v_t_39 +routing sp4_v_b_2 sp4_v_t_47 +routing sp4_v_t_37 sp4_h_l_43 +routing sp4_v_t_44 sp4_v_b_9 .ramb_tile 3 3 RamConfig PowerUp -routing sp4_v_b_6 sp4_h_r_0 -routing sp4_v_t_38 sp4_v_b_6 -routing sp4_v_t_41 sp4_v_b_7 +routing sp4_v_t_43 sp4_h_r_6 +routing sp4_v_t_47 sp4_h_r_3 .ramb_tile 3 13 -ColBufCtrl glb_netwk_3 -buffer local_g0_2 ram/WCLKE -buffer ram/RDATA_1 sp4_r_v_b_19 -buffer ram/RDATA_2 sp4_r_v_b_21 -buffer ram/RDATA_3 sp4_v_b_22 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_v_b_18 local_g0_2 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_h_r_6 sp4_v_b_6 +RamConfig PowerUp +buffer sp12_h_r_4 sp4_h_r_14 +routing sp4_h_l_43 sp4_h_r_9 +routing sp4_h_r_4 sp4_v_b_4 +routing sp4_h_r_4 sp4_v_b_9 .ramb_tile 10 7 -buffer local_g3_3 ram/WCLKE -buffer ram/RDATA_0 sp12_h_r_8 -buffer ram/RDATA_0 sp4_h_r_32 -buffer ram/RDATA_1 sp4_h_r_2 -buffer ram/RDATA_1 sp4_r_v_b_19 -buffer ram/RDATA_2 sp4_h_r_36 -buffer ram/RDATA_2 sp4_r_v_b_21 -buffer ram/RDATA_3 sp12_h_r_14 -buffer ram/RDATA_3 sp12_v_b_6 -buffer ram/RDATA_3 sp4_h_r_22 -buffer ram/RDATA_3 sp4_v_b_6 -buffer ram/RDATA_4 sp4_h_r_24 -buffer ram/RDATA_4 sp4_h_r_40 -buffer ram/RDATA_4 sp4_r_v_b_25 -buffer ram/RDATA_5 sp12_h_r_18 -buffer ram/RDATA_6 sp12_h_r_20 -buffer ram/RDATA_6 sp4_v_b_44 -buffer ram/RDATA_7 sp12_h_r_6 -buffer ram/RDATA_7 sp4_r_v_b_31 -buffer sp4_v_b_43 local_g3_3 -routing sp12_h_l_22 sp12_v_b_1 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_r_2 sp4_h_l_39 +buffer local_g0_2 ram/WCLKE +buffer ram/RDATA_0 sp12_v_b_16 +buffer ram/RDATA_0 sp4_r_v_b_17 +buffer ram/RDATA_0 sp4_r_v_b_33 +buffer ram/RDATA_1 sp12_h_r_10 +buffer ram/RDATA_2 sp4_h_r_20 +buffer ram/RDATA_2 sp4_v_b_36 +buffer ram/RDATA_3 sp4_h_r_6 +buffer ram/RDATA_4 sp4_r_v_b_41 +buffer ram/RDATA_4 sp4_v_b_24 +buffer ram/RDATA_5 sp4_v_b_42 +buffer ram/RDATA_6 sp12_h_r_4 +buffer ram/RDATA_6 sp12_v_b_12 +buffer ram/RDATA_7 sp12_v_b_14 +buffer ram/RDATA_7 sp4_v_b_30 +buffer sp12_h_r_2 local_g0_2 +routing sp4_h_r_6 sp4_v_t_37 routing sp4_h_r_6 sp4_v_t_43 -routing sp4_h_r_8 sp4_h_l_46 -routing sp4_v_b_1 sp4_h_l_43 +routing sp4_h_r_7 sp4_h_l_47 +routing sp4_v_t_42 sp4_v_b_7 +routing sp4_v_t_44 sp4_h_r_9 +routing sp4_v_t_44 sp4_v_b_9 .ramb_tile 10 13 RamConfig PowerUp -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_4 sp4_h_l_44 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_6 sp4_v_t_39 routing sp4_v_b_7 sp4_h_l_37 - -.ramb_tile 3 1 -RamConfig PowerUp -routing sp4_h_l_43 sp4_h_r_2 -routing sp4_h_r_3 sp4_v_b_3 routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_t_39 sp4_h_l_45 .ramb_tile 3 11 RamConfig PowerUp -buffer sp12_h_r_6 sp4_h_r_15 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_r_10 sp4_h_l_36 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_v_t_37 sp4_h_r_0 -routing sp4_v_t_43 sp4_h_r_11 -routing sp4_v_t_46 sp4_v_b_2 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_r_10 sp4_h_l_47 +routing sp4_v_b_4 sp4_h_l_41 +routing sp4_v_b_4 sp4_v_t_41 +routing sp4_v_t_36 sp4_h_r_6 .ramb_tile 10 15 RamConfig PowerUp -routing sp4_h_r_9 sp4_h_l_37 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_3 sp4_h_l_38 .ramb_tile 3 9 RamConfig PowerUp -buffer sp12_h_r_16 sp4_h_r_20 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_39 sp4_v_b_5 - -.ramb_tile 10 1 -RamConfig PowerUp -buffer sp12_h_r_16 sp4_h_r_20 -routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_v_t_42 sp4_h_r_7 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_t_44 sp4_v_b_9 .ramb_tile 3 7 RamConfig PowerUp -buffer sp12_h_r_12 sp4_h_r_18 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_r_1 sp4_h_l_41 -routing sp4_v_b_1 sp4_h_r_7 -routing sp4_v_b_10 sp4_h_r_10 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_t_38 sp4_v_b_3 -routing sp4_v_t_39 sp4_v_b_10 +buffer sp12_h_r_22 sp4_h_r_23 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_h_r_10 sp4_v_t_47 +routing sp4_v_b_4 sp4_h_r_4 +routing sp4_v_t_45 sp4_h_l_39 +routing sp4_v_t_45 sp4_h_r_1 .ramb_tile 10 3 -RamConfig PowerUp -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_40 sp4_v_b_5 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_46 sp4_h_l_46 +ColBufCtrl glb_netwk_3 +buffer glb_netwk_3 ram/WCLK +buffer local_g0_0 ram/WADDR_0 +buffer local_g0_1 ram/WDATA_2 +buffer local_g0_2 ram/WADDR_6 +buffer local_g0_3 ram/WDATA_6 +buffer local_g0_4 ram/WE +buffer local_g1_4 ram/WDATA_0 +buffer local_g1_6 ram/WDATA_4 +buffer local_g2_5 ram/WADDR_1 +buffer local_g2_7 ram/WADDR_7 +buffer local_g3_3 ram/WADDR_4 +buffer local_g3_4 ram/WADDR_5 +buffer local_g3_6 ram/WADDR_3 +buffer local_g3_7 ram/WADDR_2 +buffer neigh_op_tnr_3 local_g3_3 +buffer neigh_op_tnr_4 local_g3_4 +buffer neigh_op_tnr_5 local_g2_5 +buffer neigh_op_tnr_6 local_g3_6 +buffer neigh_op_tnr_7 local_g2_7 +buffer ram/RDATA_4 sp12_v_b_8 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_39 local_g3_7 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_h_r_7 sp4_h_l_38 +routing sp4_h_r_9 sp4_v_t_38 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_t_42 sp4_v_b_3 .ramb_tile 10 9 RamConfig PowerUp -buffer sp12_h_r_22 sp4_h_r_23 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_r_3 sp4_h_l_46 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_t_39 sp4_h_l_39 -routing sp4_v_t_45 sp4_h_l_45 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_b_6 sp4_v_t_43 .ramb_tile 3 5 ColBufCtrl glb_netwk_3 -buffer glb_netwk_3 ram/WCLK -buffer local_g0_0 ram/WADDR_6 -buffer local_g0_1 ram/WADDR_1 -buffer local_g0_3 ram/WADDR_3 -buffer local_g0_5 ram/WDATA_6 -buffer local_g0_7 ram/WDATA_2 -buffer local_g1_2 ram/WDATA_0 -buffer local_g1_6 ram/WDATA_4 -buffer local_g2_4 ram/WE -buffer local_g2_5 ram/WADDR_7 -buffer local_g2_6 ram/WADDR_2 -buffer local_g3_0 ram/WADDR_5 -buffer local_g3_3 ram/WADDR_4 -buffer local_g3_7 ram/WADDR_0 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnl_7 local_g3_7 +buffer local_g1_3 ram/WCLKE buffer ram/RDATA_0 sp4_r_v_b_1 -buffer ram/RDATA_2 sp4_h_r_36 -buffer ram/RDATA_4 sp4_r_v_b_9 -buffer ram/RDATA_6 sp4_r_v_b_29 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_44 local_g2_4 -routing sp4_h_r_10 sp4_h_l_43 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_40 sp4_h_r_5 -routing sp4_v_t_46 sp4_h_l_46 +buffer ram/RDATA_2 sp4_r_v_b_21 +buffer ram/RDATA_3 sp4_h_r_38 +buffer ram/RDATA_4 sp12_v_b_8 +buffer ram/RDATA_5 sp4_v_b_26 +buffer ram/RDATA_7 sp4_h_r_46 +buffer sp4_v_b_19 local_g1_3 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_t_44 sp4_h_r_9 .ramt_tile 10 8 RamConfig CBIT_0 RamConfig CBIT_2 buffer glb_netwk_3 ram/RCLK -buffer local_g0_0 ram/RADDR_0 -buffer local_g0_7 ram/RADDR_1 +buffer local_g0_3 ram/RADDR_1 buffer local_g1_5 ram/RADDR_2 -buffer local_g2_1 ram/RADDR_5 -buffer local_g2_2 ram/RADDR_6 +buffer local_g1_7 ram/RADDR_0 +buffer local_g2_3 ram/RADDR_5 buffer local_g2_4 ram/RE -buffer local_g3_0 ram/RADDR_7 -buffer local_g3_3 ram/RADDR_4 -buffer local_g3_6 ram/RADDR_3 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_3 local_g3_3 +buffer local_g3_1 ram/RADDR_4 +buffer local_g3_2 ram/RADDR_3 +buffer local_g3_5 ram/RADDR_6 +buffer local_g3_6 ram/RADDR_7 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_5 local_g3_5 buffer neigh_op_rgt_6 local_g3_6 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_8 sp4_h_l_41 -routing sp4_v_b_1 sp4_h_r_7 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_44 sp4_h_l_44 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_44 local_g2_4 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_v_t_37 sp4_h_l_43 +routing sp4_v_t_38 sp4_h_l_38 +routing sp4_v_t_39 sp4_h_r_7 .ramt_tile 3 2 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_45 sp4_h_r_8 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_t_47 sp4_h_r_3 .ramt_tile 3 12 -buffer sp12_v_b_7 sp4_v_b_15 -routing sp4_v_b_10 sp4_h_l_38 +buffer sp12_h_r_0 sp4_h_r_12 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_37 sp4_h_r_5 .ramt_tile 10 4 -buffer sp12_v_b_13 sp4_v_b_18 -routing sp4_h_l_37 sp4_h_r_3 -routing sp4_h_l_46 sp4_h_r_7 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_1 sp4_h_l_41 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_43 sp4_v_b_6 - -.ramt_tile 10 10 -routing sp4_h_l_43 sp4_v_b_6 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_t_42 sp4_h_r_0 -routing sp4_v_t_47 sp4_h_l_41 - -.ramt_tile 3 10 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_v_t_45 sp4_h_l_39 - -.ramt_tile 10 6 RamConfig CBIT_0 RamConfig CBIT_2 buffer glb_netwk_3 ram/RCLK -buffer local_g0_7 ram/RADDR_5 -buffer local_g1_3 ram/RADDR_2 -buffer local_g1_5 ram/RE +buffer local_g0_3 ram/WDATA_12 +buffer local_g1_0 ram/WDATA_10 +buffer local_g1_2 ram/WDATA_8 buffer local_g1_6 ram/WDATA_14 -buffer local_g2_1 ram/RADDR_7 -buffer local_g2_3 ram/WDATA_10 -buffer local_g2_5 ram/RADDR_3 -buffer local_g2_6 ram/RADDR_4 -buffer local_g2_7 ram/WDATA_8 -buffer local_g3_2 ram/RADDR_1 -buffer local_g3_3 ram/RADDR_6 -buffer local_g3_6 ram/WDATA_12 -buffer local_g3_7 ram/RADDR_0 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_lft_7 local_g0_7 +buffer local_g2_4 ram/RE +buffer local_g2_5 ram/RADDR_1 +buffer local_g2_7 ram/RADDR_7 +buffer local_g3_1 ram/RADDR_0 +buffer local_g3_3 ram/RADDR_4 +buffer local_g3_4 ram/RADDR_5 +buffer local_g3_5 ram/RADDR_6 +buffer local_g3_6 ram/RADDR_3 +buffer local_g3_7 ram/RADDR_2 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g3_4 buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_rgt_6 local_g2_6 -buffer ram/RDATA_12 sp4_r_v_b_9 -buffer ram/RDATA_12 sp4_v_b_8 -buffer ram/RDATA_14 sp12_h_r_4 -buffer ram/RDATA_14 sp4_v_b_44 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_tnl_5 local_g3_5 +buffer neigh_op_tnl_7 local_g3_7 +buffer ram/RDATA_10 sp4_h_r_36 +buffer ram/RDATA_12 sp4_h_r_24 +buffer ram/RDATA_12 sp4_v_b_24 +buffer ram/RDATA_14 sp4_v_b_12 +buffer ram/RDATA_8 sp4_r_v_b_1 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_8 local_g1_0 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_44 local_g2_4 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_v_b_9 sp4_h_r_3 +routing sp4_v_t_37 sp4_v_b_0 +routing sp4_v_t_40 sp4_v_b_1 +routing sp4_v_t_47 sp4_h_l_47 + +.ramt_tile 10 10 buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_31 local_g3_7 -buffer sp4_v_b_33 local_g2_1 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_h_l_40 sp4_h_r_5 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_t_44 sp4_v_b_0 +routing sp4_h_r_7 sp4_h_l_38 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_7 sp4_h_l_42 +routing sp4_v_b_7 sp4_v_t_38 +routing sp4_v_b_8 sp4_h_l_36 -.ramt_tile 10 12 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_v_t_39 sp4_v_b_2 -routing sp4_v_t_43 sp4_v_b_9 +.ramt_tile 10 16 +routing sp4_h_r_4 sp4_v_t_41 +routing sp4_v_b_2 sp4_h_l_39 +routing sp4_v_t_43 sp4_h_l_43 + +.ramt_tile 3 10 +routing sp4_h_l_43 sp4_h_r_6 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_v_b_8 sp4_h_r_8 + +.ramt_tile 10 6 +buffer sp12_v_b_3 sp4_v_b_13 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_t_43 sp4_h_l_37 .ramt_tile 3 8 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_6 sp4_h_l_44 -routing sp4_v_t_47 sp4_h_l_47 +buffer sp12_v_b_3 sp4_v_b_13 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_h_r_9 sp4_v_t_38 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_t_44 sp4_h_r_2 +routing sp4_v_t_46 sp4_h_r_4 .ramt_tile 10 14 -routing sp4_h_r_3 sp4_h_l_43 -routing sp4_v_t_41 sp4_h_r_9 -routing sp4_v_t_47 sp4_h_r_3 +buffer sp12_v_b_3 sp4_v_b_13 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_3 sp4_v_t_43 .ramt_tile 3 6 RamConfig CBIT_0 RamConfig CBIT_2 buffer glb_netwk_3 ram/RCLK -buffer local_g0_3 ram/WDATA_14 -buffer local_g0_4 ram/RADDR_4 -buffer local_g0_5 ram/RADDR_7 -buffer local_g0_6 ram/RADDR_2 -buffer local_g0_7 ram/WDATA_8 +buffer local_g0_2 ram/RADDR_2 +buffer local_g0_7 ram/RADDR_5 buffer local_g1_2 ram/RADDR_3 -buffer local_g1_4 ram/RADDR_1 -buffer local_g1_7 ram/RADDR_0 -buffer local_g2_2 ram/RADDR_6 -buffer local_g2_5 ram/WDATA_12 -buffer local_g2_7 ram/WDATA_10 -buffer local_g3_5 ram/RE -buffer local_g3_6 ram/RADDR_5 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_lft_7 local_g1_7 -buffer ram/RDATA_10 sp4_h_r_36 -buffer ram/RDATA_12 sp4_h_r_40 -buffer ram/RDATA_14 sp4_h_r_44 -buffer ram/RDATA_8 sp4_r_v_b_17 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_v_b_15 sp4_v_b_19 +buffer local_g2_4 ram/RE +buffer local_g2_6 ram/RADDR_6 +buffer local_g2_7 ram/RADDR_1 +buffer local_g3_3 ram/RADDR_4 +buffer local_g3_4 ram/RADDR_7 +buffer local_g3_5 ram/RADDR_0 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bnl_4 local_g3_4 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_tnl_6 local_g2_6 +buffer neigh_op_tnl_7 local_g2_7 +buffer sp12_h_r_18 local_g0_2 buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_29 local_g3_5 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_4 local_g1_4 -routing sp4_h_l_39 sp4_v_t_39 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_43 sp4_v_b_6 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_39 sp4_v_b_5 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_44 local_g2_4 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_t_36 sp4_h_r_1 +routing sp4_v_t_43 sp4_h_l_43 .ramt_tile 3 16 -buffer sp12_h_r_14 sp4_h_r_19 - -.ramt_tile 3 4 -routing sp4_h_l_46 sp4_h_r_7 routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_b_8 sp4_h_r_8 -.ramt_tile 3 14 -RamConfig CBIT_0 -RamConfig CBIT_2 -buffer glb_netwk_3 ram/RCLK -buffer local_g0_0 ram/RADDR_6 -buffer local_g0_4 ram/RE -buffer local_g0_5 ram/RADDR_3 -buffer local_g0_7 ram/RADDR_7 -buffer local_g1_3 ram/RADDR_0 -buffer local_g3_4 ram/RADDR_1 -buffer local_g3_5 ram/RADDR_4 -buffer local_g3_6 ram/RADDR_5 -buffer local_g3_7 ram/RADDR_2 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bnl_7 local_g3_7 -buffer sp12_h_r_5 local_g0_5 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_v_b_12 local_g0_4 -routing sp4_h_r_8 sp4_v_b_8 +.ramt_tile 3 4 +routing sp4_h_r_10 sp4_h_l_43 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_5 sp4_v_b_10 .ramt_tile 10 2 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_20 sp4_h_r_22 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_v_t_37 sp4_h_l_43 -routing sp4_v_t_45 sp4_v_b_11 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_v_t_47 sp4_h_r_10 diff --git a/i2c_keyboard/i2c_kbd_alt.txt b/i2c_keyboard/i2c_kbd_alt.txt index ab7262b..03f0702 100644 --- a/i2c_keyboard/i2c_kbd_alt.txt +++ b/i2c_keyboard/i2c_kbd_alt.txt @@ -4,8 +4,8 @@ 000111010000000000 000000000000000000 000000000000000001 -000000000011000001 -000000000001000000 +000000111010000001 +000000001001000000 001100000000000000 000000000000000000 000000000000000000 @@ -13,16 +13,16 @@ 000000000000000010 000000000001000000 000000000000000000 -000001110000000001 +000000000001000001 000000000000000001 000000000000000000 .io_tile 2 0 000000000000000010 000000000000000000 -000000000000000000 +000001011000000000 000000000000000001 -000001011010000001 -000000000001000000 +000000000000000001 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 @@ -36,7 +36,7 @@ .io_tile 3 0 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -53,31 +53,31 @@ .io_tile 4 0 000000000000000000 000100000000000000 -100000000000000000 -000000000000000001 000000000000000000 +010000000000000001 000000000000000000 -001000000000000000 000000000000000000 +001000000000000000 000000000000000000 -100000000000000000 000000000000000000 +010000000000000000 000000000000000000 000000000000000000 +000000000001000000 000000000000000001 000000000000000000 000000000000000000 .io_tile 5 0 000000000000100000 000100000000000000 -100000000000000000 -000000000000000001 +000000000000000000 +010000000000000001 000000000000000000 000000000000000000 -001000000000010000 +001000000000000000 000000000000000000 000000000000000000 -100000000000000000 +010000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -85,16 +85,16 @@ 000000000000000000 000000000000000000 .io_tile 6 0 -000001110000011000 -000100001000000000 -000000000000010000 -000000000000000000 -000000000000000100 000000000000000000 -001000000000000000 +000100000000000000 000000000000000000 000000000000000000 +000000000000000100 +000000000000001000 +001000000000000000 000000000000000000 +000010000000000000 +000010110000000000 000000000000000000 000000000000000000 000000000000000000 @@ -103,24 +103,24 @@ 000000000000000000 .io_tile 7 0 000000000000000000 -000100000000001000 +000100000000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000001100 +000000000000001000 000000000000000000 000000000000000000 +000010000000000000 +000101110000000000 000000000000000000 -000100000000000000 000000000000000000 -010000000000000000 000000000000000000 -000001110000000001 +000000000000000001 000000000000000000 000000000000000000 .io_tile 8 0 -000000000000000000 -000100000000001000 +000000000000001000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -130,19 +130,19 @@ 000000000000000000 000100000000000000 000000000000000000 -010000000000000000 000000000000000000 +000000000001100000 000000000000000001 000000000000000000 000000000000000000 .io_tile 9 0 +100000000000000000 +000100000001000000 000000000000000000 -000100000000000000 -000000000000010000 -010000000000000001 +000000000000000001 000000000000000000 000000000000000000 -001000000000000000 +001000000000011000 000000000000000000 000000000000000000 010000000000000000 @@ -153,7 +153,7 @@ 000000000000000000 000000000000000000 .io_tile 10 0 -000000000001000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -171,12 +171,12 @@ 000000000000000000 .io_tile 11 0 000000000000000000 -000000000001000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000100000000001000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -188,12 +188,12 @@ 000000000000000000 .io_tile 12 0 000000000000000000 -000000000001000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000100000000001000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -221,46 +221,47 @@ 000000000000000000 000000000000000000 .logic_tile 1 1 -100000000000000000000000001000000000000000000101000001 -000000000000000000000000000001000000000010000000000000 -101000000000001000000000000000000000000000000000000000 -100000000000001111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000110000000 -000000000000000000000000000101000000000010000000000110 -.logic_tile 2 1 000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -101000000000000111100000010000000000000000000000000000 -100000000000000000000010000000000000000000000000000000 -010000000000000011000010000001100000000000000010000100 -110000000000000111000000000001000000000001000000000001 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001101000000000001100100000000 -000000000000000000000000001001001010000010100000000001 -010000001110000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000000001100000010001100001000000001000000000 +000000000000000000000010000000001001000000000000000000 +101000000000000001000000000000001001001100111000000000 +100000000000000000000011000000001111110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000001100010100000001000111100000000000000 +000000000000000000000111000000000000111100000000000000 +010000000000000111100000001011111011100000000000000000 +010000000000000000000000001101011101000000000010000000 +000000000000000001100000010001000000000000000100000000 +000000000000000000010010000011100000000001000000000000 +000000000000000111100000000001000000000000000100000000 +000000000000000000000000000111000000000001000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 .ramb_tile 3 1 -000000001110000000000000000000000000000000 -000000010001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -268,162 +269,161 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 1 -001000000000000001000000000101000000000000001000000000 -000000000000000001100000000000100000000000000000001000 -000000000000001000000111100011000000000000001000000000 -000000000000001111000100000000000000000000000000000000 -000000000000000000000010000101100000000000001000000000 -000000000000000000000110010000000000000000000000000000 -000000000000001000000000000101000000000000001000000000 -000000000000000111000000000000100000000000000000000000 -000000000000000000000000000001100000000000001000000000 -000000000000001111000000000000100000000000000000000000 -000000000000000000000000000001000000000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000000000000000000000000001000000000000001000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000001100000000000001000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000001100000000000000000000000000000000000 +100000000000000001000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010100000000000000000000000001100001001100110000000000 +010100000000000000000000000000001000110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101000000000000000100100000 +000000000000000000000000000001100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 .logic_tile 5 1 -000000000000000000000000000011000001000000000000000000 -000000000000000000000000001111101011000000100000000000 -101001000001001000000010101001100001000000010001000000 -100010000000000001000100000111001001000000000000000000 -010000000100000000000010000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000100000000000110000101000001000000000001000000 -000000000000001001000000000011101010000010000000000000 -000000000000000111000111110011000001000000000000000000 -000000000000000000100110101011101011000000100000000000 -000000000000000000000000000101100000000010000000000000 -000000000000000000000000001011100000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000101100000000000000100000000 -000000000000001101000000001011100000000011000000000101 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 6 1 -000000000000001111100000010111101101001000000001000001 -000000100000000101100010000001111011000000000000000001 -000000000000000101100010000000000000000000000000000000 -000010000000000000000100000000000000000000000000000000 -000000000000001111100000011011000000000000000000000000 -000000000000000101100010001101100000000010000000000000 -000000000000000101100010000011001101000001000000000100 -000000001010000000000100000101011001000000000010000000 -000000000000000000000010000000000000000000000000000000 -000000000000001101000110010000000000000000000000000000 -000000000000000000000000000011101001100000000000100001 -000000000000000000000010110001111000000000000000000001 -000000000000000000000000000111101011100000000001000000 -000000000000000000000000000101111010000000000000000000 +000000000000000000000010100001000000000000001000000000 +000000000000000000000100000000100000000000000000001000 +000000000000000000000010110001100001000000001000000000 +000000000000000000000111110000101100000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000011101000000000000001100000000000000000000 +000000000000000101000000000000000001000000001000000000 +000000000000000000100000000000001001000000000000000000 +000000000000010000000000000000000001000000001000000000 +000000000000100011000000000000001010000000000000000000 +000000000000000101000000000000000000000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000000000000001000111100000001000010 +000000000000000000000000000000000000111100000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 .logic_tile 7 1 -000001000000100001100000011001000000000001000000000000 -000000000000000000000010000001000000000011000000000000 -101000000001001001100000000101101100001100110000000000 -100000000000000001000000000000100000110011000000000000 -010000000000101000000110010001101110001100110000000000 -110000000000010001000011100000011100110011000000000000 -000000000000001001100110011111100000000011100000000000 -000000100000100001000010000101101011000001110000000000 -000001000100001000000000011101100001000010000000000000 -000000000000000011000010101101101010000000000000000000 -000000100000000000000000001001000000000001000100000000 -000000000000000000000000000101100000000011000100000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000010101011000000000010000100000010 -010000000110000000000110100000000000000000000100000000 -100000000000000000000000000101000000000010000100000000 +000000000000001000000010100001100000000000001000000000 +000000000000001111000100000000100000000000000000001000 +000000000000000101000010100011100000000000001000000000 +000000000000000000100100000000101001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000010100000000001000000001000000000 +000000000000000000000111110000001101000000000000000000 +000000000000000000000010100000000001000000001000000000 +000000000000001101000100000000001110000000000000000000 +000000000000000101000000000000000000000000001000000000 +000000000000000000100000000000001110000000000000000000 .logic_tile 8 1 -000000000000001111100011000111100000000000000000000000 -000000000000000101000010010001000000000001000000000001 -101000000001010011100111110111111110010100000000000000 -100000000000100000100110110001011010110000000000000000 -010000000000001001100000011001100000000011000000000000 -010000000000001111000010101101000000000000000000000000 -000000000000000001100110111111011010011100000000000000 -000000000000000011000010001101001001101000000000000000 -000000000000000000000000010101011001000100100000000000 -000000000000000000000010001011111001000000000000000000 -000000000000000000000111001101000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000010000111000110100011100000000010000000000000 -000010000000000000000000001111100000000000000000000000 -010010000000001111100111001000000000000000000101000010 -100001000000000001000000001001000000000010001100000000 +100000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000101 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000000101000000000010000000000001 +000000000000000000000000000000000000000000000100000110 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000001000000000000000000000000000000000000000100000010 +000000100000000000000000001111000000000010000000000000 .logic_tile 9 1 -000000001110001111000011000111011101000000010000000000 -000000000000000111000011011011011111000000000000000010 -000001000000001111100111110000000000000000000000000000 -000011100000000001000111000000000000000000000000000000 -000000000000000001000011000111111000000001010000000000 -000000000000000000000011011111101100000010010000000000 -000000000000000001000000001001101111101000000000000000 -000100000000000111100010011001101010001100000000000000 -000011000000000011100000001011100001000000010000100000 -000000000000001111000011111011001010000000000000000000 -001000000000001111100111000101011100000110100001000000 -000000000000001011000011111111101011001111110000000000 -000000000000001001000010010011001000010100100001000000 -000000000000001011100110000001011001101000100000000000 -000010000000000000000011100101011001001001010001000000 -000111100000000000000110000001001011010110100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000100000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 1 -000000000010000111000111100001001100101010100000000000 -000000000000000111100111100001001100011010010001000000 -101000000000000000000110010111100000000000000000000010 -100000000000000000000010111101000000000010000000000000 -010000100000000000000111000000000000000000000000000000 -110001000000000011000011000000000000000000000000000000 -000001000000000000000000000111100001000000010010000001 -000000000000001011000010111011101011000010000000000000 -000000001000001011100111001101001001000000110000100000 -000000000000001011100100001011011001000000010000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000001100000101100111101001000001000001100100000100 -100000000000000000000100001001101010000010100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 1 -000000000000000000000000010011100000000000001000000000 -000000000000000000000011110000100000000000000000001000 -000101000000000000000111100001000000000000001000000000 -000000100000000000000100000000101111000000000000000000 -000000000000000000000000000111100000000000001000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011100000000000001000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000000000000000011100000001000111100000000000000 -000000000000000011000100000000000000111100000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 1 000000000000000000 000000000000000000 @@ -445,7 +445,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000011000 +000000000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -459,49 +459,46 @@ 000000000000000000 000000000000000000 .logic_tile 1 2 -000000000010000000000000000011100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000001000000000000001100000000000001000000000 -000000000000000011000000000000001011000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000110000000000010010000001110000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001100000000000000000000 -000000000000100011000000000000000000000000001000000000 -000000000001000111100000000000001100000000000000000000 -000000000000000001000000000000000000000000001000000000 -000000000000000000000000000000001110000000000000000000 -000000100000000101000000000000001000111100000000000001 -000000000000001011000000000000000000111100000000000000 +000000000000001000000000000011000000001100110110000001 +000001000000000001000000000000101000110011000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 2 2 -000000000000001000000000000011000000000000001000000000 -000000000000001111000000000000000000000000000000001000 -000000000000001111100000000001100000000000001000000000 -010000000000000111100000000000001110000000000000000000 -000000000001000101000000000000000000000000001000000000 -000000000000000000100000000000001111000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000000000100011000000000000000000000000001000000000 -000000000001010000100000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000001110001000000011010000000000000000001000000000 -000000000000001011000111000000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000011100000001000000000000000000000 +000000000000000111100010110000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +101000000001000000000000000000000000000000000000000000 +100000000000100000000000000000000000000000000000000000 +000000000000000000000000000000001001001100110000000000 +000000000000000000000000000000011011110011000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000001000000000000000100000000 +000100000000000000000000000001100000000001000000000000 .ramt_tile 3 2 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -509,159 +506,162 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 4 2 -000000000000000001000010000000001000111100000001000000 -000000000000000000000010000000000000111100000000010000 -000000000000000001100111100101011010000000000001000000 -000000000000001101000010010001111110001000000000000000 -000000000000000001000010000001111101100000000010000000 -000000000000000000100100000111011001000000000000000000 -000000000000000000000010001011100000000001000000000000 -000000000000000000000110011101100000000000000000000000 -000000000000000011100000001001101000000001000000000000 -000010000000000011000000001001111010000000000000000001 -000000000110000011000110011001100001000000000000000000 -000000000000000000000010001001001100000000100000000000 -000000000000000101100000000001001110001000000000000000 -000000000000000011000011000101111100000000000000000000 -000000000000000000000000000101001000000000000000000000 -000000000000000000000000000001111100100000000000000000 +000000001100000001000010010011100000000001000000000001 +000000000000000000000010001111100000000000000000000100 +101000000000000001100010010000011000001100110000000000 +100000000000000000000111100000011110110011000000000000 +000000000000001001100000000001100000000001000010000001 +000000000000000001000000001111000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000010010000000000000000000000000000 +000000000000000000000110100011001000111101010101000010 +000000000000000000000000000001111010101100100001000000 +000000000000001000000010000001011000111001010101000000 +000000000000000101000000000011111010111010100000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 2 -000000000000000001000110111011111101100000000000000000 -000000000000000000100010000011011101000000000000000000 -000000000000001101000110001011000000000000000000000000 -000000000000000101000000000001101101000010000000000000 -000001000000000000000000000001001100000000010000000000 -000000000000000000000000000011011101000000000000000000 -000010100000000001100000000101100001000000010001000000 -000000000000010000000000001001001001000000000000100000 -000000000000001000000010101001100000000000010000000100 -000000001110000111000110110001101001000000000000000000 -000000000000000101000010101011000000000000010000000000 -000000000000001101100110110001101101000000000000000000 -000000000000000000000000001011111101000000000000000000 -000000000000000000000000000011011101000001000000000000 -000000000000000101000010101111111111000000000000000000 -000000000000001101100110110111011111000000100000000000 -.logic_tile 6 2 -000000000110001000000000000011101011100000000001000001 -000000000000000101000011100101101001000000000000000000 -101000000001010001000000001011100001000000000000000000 -100010100000001111100011000011101111000000100000000000 -110000000000001001110000011101101010100000000010000001 -110000000000000101000010101111111000000000000000000001 -000000000000000000000000000000000000000000000100100100 -000000001100000000000011001111000000000010000000000000 -000000000000000000000011000000000000000000000100000100 -000000000001000000000000001001000000000010000000000000 -000000000000000001100111001000000000000000000100000010 -000000000000000000100011110001000000000010000000000000 +000000000000001000000000001101100000000001000000000000 +000000000000000101010000000111100000000000000000000001 +101001000001010000000000000000000000000000000000000000 +100010000000100000000000000000000000000000000000000000 +000000000100001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000110000000000000010000000000000000000000000000 000000000000000000000010100000000000000000000000000000 -000010000000000000000010010000000000000000000000000000 -010010000000000000000111000000000000000000000100000010 -010001000000000000000010001011000000000010000000000101 +000100001110000000000011110000000000000000000000000000 +000100000000000000000011010000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000001000100000000000000000000000000000000000000000 +000000000000000011100000000011000000001100110000000000 +000000000000000000000000000000101000110011000000000000 +000000000000000001000000000011001110111001010101001010 +000000000000000000000000000101100000111010100000000001 +.logic_tile 6 2 +000000000000000111100000000000000000000010000000000000 +000000000000000011100000000001000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000111000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000001000000000001000000000000000000000000 .logic_tile 7 2 -000000000000000101000111110001011010000011100001000000 -000000000000000000100111101001011101000001010000000000 -101000000000001111100000000000000000000000000000000000 -100000000000001111100000000000000000000000000000000000 -010000001100000000000010101101100000000000000000000000 -110000000000000000000100001101000000000010000000000000 -000001000000001000000111010111001101000010110001000000 -000010100000000001000110001111001000000011010000000000 -000000000000001000000000010000000000001100110000000000 -000000000000001001000010000000000000110011000000000000 -000010100000000000000000000111000000001100110000000000 -000001001110000001000000000000100000110011000000000000 -000010000000000001000011101111111000000001000000001000 -000001000000000000100110000011000000000100000000000000 -010000000000000000000000000000000000000000000100000010 -010000000000001001000000001001000000000010000000000001 +000000000000000011000011100000001000111100000000000000 +000000000000000000000011000000000000111100000001010100 +000000000000000000000000000001011100001100110000000000 +000000000000000000000000000000100000110011000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000111000000001001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 .logic_tile 8 2 -000000000000000101100110010011100000000000001000000000 -000000000000000000100011110000100000000000000000001000 -000000000000001001100110000011100001000000001000000000 -000000000000000001000010010000101111000000000000000000 -001000000000001111100010100000001000111100000000000000 -000000000000000111100010000000000000111100000000000000 -000000001000000011000000000111001010101000000000000000 -000000000000000011100000000101101100001100000000000000 -000000000000000111000000001101100000000010000000000000 -000000000000000000100000001001000000000000000000000000 -000000000000000000000110010101100000000010000000000000 -000000000000000000000110011001000000000000000000000000 -000000000000000000000010001001111000000011100010000010 -000000000000000000000100000001011011000001010010000001 -000000000000000000000000010111001000101000000000000000 -000000000000000000000011101101111000001100000000000000 +000000000000000000000111100011100000001100110000000000 +000000000000000000000000000000100000110011000000000000 +101000000000000000010000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000110000000000000000011100000001100110000000000 +100000000000000000010000000000100000110011000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000001001000100000000000000000000000000000000 +000000000000000000000010000000000000000000000100000000 +000000000000000000000100001111000000000010000001000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .logic_tile 9 2 -000000001101011000000111110101111011000001010000000010 -000000000000001111000110001001011000000011100000000000 -101000000001001111100111101101000000000010000000000000 -100000000110000001100111111001000000000000000001000000 -110000000001000111000000001001100001000000010000000000 -100000000010000000000011010011001111000010000000000100 -000110100000000011100000000001000001000001000000000000 -000101000000000011000011011101101011000011000000000000 -000001000000000000000000001011100000000000000000000000 -000010000000000000000000000011000000000010000000000000 -000100000001000001100000000001100000001100110000000000 -000000100000001111000000000000100000110011000000000000 -000000100000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000000010 -010000000001000000000011000000000000000000000100000000 -110000000000000000000100001001000000000010000000100000 +100000000000000111100000010000000000000000000000000000 +000000000000000001100011100000000000000000000000000000 +101010101100000011100000001000000000000000000100000000 +100000000000000000000000000001000000000010000000000100 +000000000000001011100000000000000000000000000100100000 +000000000000000011000000000101000000000010000001000001 +000000000000000000000000000000000000000000000100000110 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000001 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +000000000110000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 2 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -001000000001000000010000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 2 -100000000000001000000000000000000000000000000100000000 -000000000000000011000000000111000000000010000000100000 -101001000000000000000000000000000000000000000000000000 -100000101000000000000010010000000000000000000000000000 -000000000001010000000000001000000000000000000100000100 -000000000000000001000000001001000000000010000000100001 -000000000000011000000000000000000000000000000110000000 -000000000000001011000000000101000000000010000000000001 +000001000000000000000000000000000000001100110000000000 +000000000000000000000000000000000000110011000000100000 +101000000000000000000111100000000000000000000000000000 +100000000000100000000100000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000111000000 -000000000000000000100000000001000000000010000000000000 -000000000000000000000000001000000000000000000101000000 -000000000000000000000000000101000000000010000000100010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000001000000000000000000100000010 +100000000000000000000000001001000000000010000000000000 .logic_tile 12 2 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000111100000001000000000000000000101000000 +000000000000000000000000001111000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100100000000000000000000000000000000000000000 -000000000001010000010000000000000000000000000000000000 -010100000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000001000000000000010000001000010 -000000000000000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +110000000001000000000011000000000000000000000000000000 .io_tile 13 2 000000000000000000 000000000000000000 @@ -697,50 +697,50 @@ 000000000000000000 000000000000000000 .logic_tile 1 3 -000000000001000001100000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -101000000000000000000000000111100001001100110000000000 -100000000000000000000000000000001100110011000000000000 -011000000000000111000111101011101110010101100101000000 -010000000000000000100000001011011110101001100000000000 -000000000000000000000000001101000000000001100100000000 -000000000000001101000000000101001111000010100000000001 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000100010100000000000000000000000000000 -000000000000000111000000010000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000000111000000000101000000000001100100000001 -000000000000000000000000000001101111000010100000000000 -.logic_tile 2 3 -000010100010000000000011000000001000111100000000000000 -000000000000000000000000000000000000111100000000010000 -101000000000000111100000010000000000000010000000000000 -100000001110000000000010001001000000000000000000000000 -010100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000001111000000000001000000000000 -000000000000000000000010000001000000000000000000000001 +000000000000000000000011110000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111100000000101100001001100110101000000 -000000000000000000000000000000101001110011000000000101 -010010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000010000000000000 +000000000000000000100000001001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000001 +000000000000000000000000000011000000000010000000000000 +.logic_tile 2 3 +000000000000000111000000000101100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000010100000000111100110100000000001000000001000000000 +000000000000000000100100000000001000000000000000000000 +000000001100100011000000000000001000001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000001000000000000000001000001100111000000000 +000000000000001111000000000000001000110011000000000000 +000000000000000000000000000000001001001100111000000001 +000000000000000000000000000000001111110011000000000000 +000000000000000000000000000000001001001100111000100000 +000000001010000000000010000000001001110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001101110011000000000000 +000000000000000000000010000000001000111100000000000000 +000000000000000000000000000000000000111100000000000001 .ramb_tile 3 3 -000001000000000000000000000000000000000000 -000010110000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -748,172 +748,172 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 3 -001000000000000000000110010101000000000000001000000000 -000000000000000000000010000000000000000000000000001000 -101000000000001001100110010000000001000000001000000000 -100000000000000001000010000000001011000000000000000000 -011000000000000000000000000000001000001100111101000000 -000000000000000011000000000000001001110011000000000100 -000000000000000000000000000000001000001100111110000000 -000000000000000000000010000000001001110011000000000000 -000000000000000000000000000000001001001100111110000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111110000010 -000000001000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000100000 -010001000000000000010000000000001001001100111101000000 -000010100000000000000000000000001001110011000000000010 +000001000000000111000011100001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000110000101100001000000001000000000 +000000000000000000000110010000001011000000000000000000 +000000000000000000000111100001001001001100111000000000 +000000000000000000000100000000101001110011000000000100 +000000000000000101000000000101001001001100111000000000 +000000000000000000000010010000101011110011000000000100 +000000000000000000000000000001001001001100111000000000 +000000000000000000000000000000001001110011000000000100 +000000000000000111000000000011101001001100111000000000 +000000000000000000100010100000101011110011000000000000 +000000000000000000000000000011101001001100111000000010 +000010000000000000000000000000001001110011000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 .logic_tile 5 3 -000010100001010101000110010001000001000000010000000001 -000010000010010000000011011111001101000000000001000000 -101001001100001001100110010101100001000000010000000000 -100000100000000101000010101001101110000000000000000000 -111000100000100011000010000011001011001000000001000000 -010000000001010001000011111111011110000000000010000000 -000000001100000001100011011111101011000100000000000000 -000000000000000001000010100011101011000000000000000000 -000000000110000001100011111011001010000000000000000000 -000000100000000000000111100101101001000010000000000100 -000000000000000111100111111101000001000010000000000000 -000000000000000000000010001111101010000000000000000000 -000000000000000001000110001011101111010000000000000000 -000010001010000000100111110001111001000000000000000000 -010001100000100111000111101111000001000001100100000000 -100011100001011111100011111001001000000010100000000001 +000000000000000000000000000111011001001100110000000000 +000000000000000000000000000000011101110011000000000000 +101000000000000000000110100000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000001000000000000101100000000001000001000000 +010000000000000001000000000011100000000000000000100000 +000000000000000101100000001111000000000001000001000000 +000000000000000001000010110101100000000000000000100000 +000010000010000000000000010000000000000000000000000000 +000000000000000001000011000000000000000000000000000000 +000010100000100000000000010000000000000000000000000000 +000001000000000000000011000000000000000000000000000000 +000000000000001001000011100101000000000001000100000001 +000000000000001011100100000111000000000000000000000000 +110000001110000000000000001101100000000001000100000000 +000110000000000000000010011111000000000000000010000000 .logic_tile 6 3 -000000000000000001000011110101100000000000010000000000 -000001001100001111000010001101001001000000000000000010 -101000000000001001100010010001100001000000000000000000 -100000000000001111000110000001101000000000010000000001 -110010100000000111100110010111011100100010000000000000 -110000000001010111010011110101001101000100010000000000 -000000000000001111000110001001111101100010000000000000 -000000000000000001000110111001011101001000100000000000 -000000000000000011000111100011001100100010000000000000 -000000000100000000100110010001101110000100010000000000 -000010101100000011100111000111111011000010000000000000 -000001000000000111000111101001001011000000000000000000 -000001000000000011100110101111101011000010000000000000 -000010100000000011000000001111101011000000000000000000 -110000000000000011100111000000000000000000000100000100 -010010000000000000000111101101000000000010000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100001000000000000000000000000000000000000 +101000000000000000000000001101000000000000000000000000 +100000000000000000000000000101000000000010000000100001 +000000000000000101100000000000000000000010000000000100 +000000000110000000100000000001000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000001000000010000000000000000000000000000000100000001 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000100100000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 .logic_tile 7 3 -000010001001010011100111011011001001101011010000000000 -000001000000100000000010000001011110010111010000000000 -000010001010001001100011110101100001000011000000000000 -000000000000000001000011000101001001000010000000000000 -000000001100001000000111000111011100100010000000000000 -000000000000000001010010010111001101000100010000000000 -000001000010001011000110010101100001000001010000000000 -000010000000001011100010011011101010000001100000000000 -000000100000101111100111000000000000001100110000000000 -000001001101000101100110010000000000110011000000000000 -000001000000000001100000000011000000000000000000000000 -000000100000000000100000001101000000000010000000000000 -000001001110110011000000000001100001000000010001000000 -000000101110111111010000001101001010000000110000000000 -000000001010000001100000001001101000100000000000000000 -000000000000000000000000001101111000000000000000000000 +000000000000001000000111101001000001000011000000000000 +000000000000000001000111001111101100000010000000000000 +101000000000001001100111111001001001011100000000000000 +100000000000001111000111100001011111101000000000000000 +110000000000000001100110000101100000000001000000000000 +010000000000000000000000000011000000000000000001100001 +000000000000000111100111010001100000000010000000000000 +000000000000001101000010000111000000000000000000000000 +000010000000000000000110110101101100000011100000000000 +000001000000001111000011001101111010000001010000100000 +000000000001001011100011101101100000000010000000000000 +000000000000001111100100001001000000000000000000000000 +000010100000001000000000001011100000000010000000000000 +000001000000000101000000001001100000000000000000000000 +110000000001000000000110111101100000000001000100000000 +000000000000000000000010100011100000000000000000000001 .logic_tile 8 3 -000000000000000111100011011001111011101010100000000000 -000000001110000001100110001111101010011010010000000010 -101000000000000000000110010001001100010100000000000001 -100000000000000000000011100101001100110000000000000000 -010000000100000001000110101011100001000001010000000000 -000000000000000001100110001011101010000010010000000000 -000000001010001111100111100011100000000001010100000100 -000000000000000111100100001011001001000001100000000100 -000000000000001111000111100101000000000001010101000000 -000000001100001111100100001101001100000001100000000001 -000000000000001000000110110101001110111100100100100000 -000000000000000011000111110001001110111101010000000000 -000000000000001101100000011101000001000001010100000101 -000000000000000101000011001001101011000001100000000000 -000000000000000000000110101001000000000001010110000000 -000000000000000000000100000101101111000001100001000000 +000010000000000001100111010101101111010100000000000000 +000000000000000101000010000011111001110000000000000000 +000000000000000011100111110001000000000010000000000000 +000001001000001101000111011011101001000000000000000000 +000010100000000101000111001101011000000001000000000000 +000001000000001001100010111011101000000100000000000000 +000000100001001111100010011101101111101000000000000000 +000001000000001011000111100101001110001100000000000000 +000000000000100000000010000101101000101000000010000000 +000000000001010101000111000101111101001100000000000000 +000000000001000000000000001101011000000100000000000000 +000000000000000000000011111001101000001100000000000000 +000000000000000111110000011101011111000000100000000000 +000000000000000000000011110101011011000000110000000000 +000000000000001000000000000101100001000001010000000000 +000001000000001101000011111101001000000011000000000000 .logic_tile 9 3 -000100100001000001100010110101100000000001000000000000 -000101000000101001000011110001001110000011000000000000 -101100000000000001000111101111000001000000010000000000 -100000000000001101100000000111101001000010000000000100 -010000000000000101000111001101011011010100000000000001 -010000001100000011000110100101011000111000000000000000 -000000000001000001100010000011011100110011110001000001 -000000000000001101000011000001101001010010100000000000 -000000000001001001100011010011001101000011100000000000 -000000001100100111000011101101101011000001110000000000 -000100000000011111000000010101000000000000000000001000 -000000000110010001100011111101000000000000010000000000 -000000000001011111100011101001111100000001010000000000 -000000000000101101000000001011001100000010010000100000 -010000001110000000000000000000000000000000000100000010 -100000000000001111000000000111000000000010001110000000 +000000000000000000000110010001101011000011100000000000 +000000000000000011000011101001001011000001110000100000 +101001100000000011100111001111000000000010000000000000 +100010100000000011100000000101001011000011000000000000 +010000000000001001100010000011000000001100110000100000 +110000000000001111000011000000100000110011000000000000 +000000000000001101100111000001000000000011000000000000 +000000001110000011000100001111001100000010000000000000 +000000000010000111000111000001011001000011100000000100 +000000001100000000000000000101111010000001010000000000 +000000000000000101100000000001001000000011010000000000 +000000000000000000100000001101011100000010100000000000 +000000000110001111000000011111101110110011110000001100 +000000000000000001000010010001000000010010100000000000 +110000000000001000000000001000000000000000000101000000 +000000000000001111000000000011000000000010001100000000 .ramb_tile 10 3 -000001000000000000000000000000000000000000 -000000110000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001001110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000001100000000000000000000000000000 -000000000001000000000000000000000000000000 +000000000110000111100111110101100000000000 +000000000000000001100111110001000000000000 +101000000001001000000000001000000000000000 +100000000000001011000000000011000000000000 +000010100000000000000000001001000000000000 +000000000001010000000000001111000000000000 +000010100000000000000000001000000000000000 +001011100000000111000011101111000000000000 +000001000000000000000000000101100000000000 +000010100000000000000000001111100000000010 +000000000000000101000010101000000000000000 +000000000000000000000000000111000000000000 +000000000000000000000010100001000000000000 +000000000000000000000000001001100000000000 +010000000000000000000111001000000000000000 +010000000000000101000110101011000000000000 .logic_tile 11 3 -100000000000000000000000000000000000000000000101000000 -000000000000000000000000000101000000000010000000000000 -101001000000101000000000000000000000000000000100000000 -100000100011010111000000000101000000000010000000000100 -000000000100000001000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 +000000000000001101000111100011000000000001010000000000 +000000000000001111100110110101001011000011000000000000 +101000000000001011100000000111001101101000000010000000 +100000000000000111100000001101001101001100000000000000 +110000000000001101000111101001000000000001000001000000 +000000000000000001100110110001001011000000100000000000 +000000000000001000000000000001000001000000010001000001 +000010100000000111000000000111101101000010000000000000 +001000000000000101100000000001100001000000010000100000 +000000000000000111000000000001001110000010000001000000 +000000000000000000000111000001100000000011000000100000 +000000000000000000000100000101001110000010000000000000 +000000000000000101100000001101000000000001010110000100 +000000000000000111000000001111101100000001100000000000 +000000000010000111100111000101000001000001010110000000 +000000000000000000100100001001001010000001100000000001 +.logic_tile 12 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000001000000001000000000000010000111000000 +100000000000000000000000000001000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 -000000000000010000000000001000000000000000000101000001 -000000000000100000000000000001000000000010000000000000 -000000000001010000000000000000000000000000000000000000 -000100000000100000000000000000000000000000000000000000 -.logic_tile 12 3 -001000000010000111100000000001000000001100110000000000 -000000000000010000100011110000000000110011000010000000 -101000000000100000000000001000000000000000000110000000 -100000000000000000000000000101000000000010000000100000 -010000000000000111000000000000000000000000000100000010 -010000000000000000000000000101000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000001001000001011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000111000000000010000000100000 -000000000100010000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 3 000000000000000000 -000111110001011000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000100000000001000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000000010 +000000000011000010 000000000001000000 -000000000000000000 +000000011000000000 000000000000000001 000000000000000001 000000000000000000 @@ -931,228 +931,228 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000011000 000000000000000000 000000000000000000 .logic_tile 1 4 -000000000000000101000010000101100000000000001000000000 -000000000000000000100000000000100000000000000000001000 +000000000000000000000011000111000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000101000000000000000000000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000011000000000000000000000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000101100000000000000000000000001000000000 +001000000000000000100000000000001001000000000000000000 +000000000000000101100000010000000000000000001000000000 +000000000000000000000010100000001101000000000000000000 000000000000000000000000000000000001000000001000000000 -000000000000001101000000000000001110000000000000000000 -000000000000000001000000000000001000001100111000000001 -000000000000000000100000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -001000000000000000000010110000001000110011000000000000 -000100000000000000000000000000001001001100111000000000 -000000000000000000000000000000001100110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000001011000000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001000110011000000000000 -000000000000001000000000000000001000111100000001000000 -000000000000000011000000000000000000111100000000000000 +001000000000000000000000000000001000000000000000000000 +000000000000001000000000000000000001000000001000000000 +001000000000000101000000000000001010000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000010000000 .logic_tile 2 4 -010000000000000111100010000000000000000000000000000000 -001000000000000101000011010000000000000000000000000000 -101000000000001011100110000000000000000010000000100000 -100000000000001111100000000011000000000000000000000000 -010000000000000011000000000101000001000000110000000001 -001000000000000111000000000001001010000000010000000000 -000000000000000011100011000000001011001100110000000000 -001000001110000000000000000000001101110011000010000000 -000000000000000111100000001000000000000010000000000000 -000000000000000000000011101111000000000000000000100000 -000000000000000000000010100101111000110000000000000000 -000000000000000000000100001111101001100000000000000000 -000000000000000000000000000001011000111010100000001000 -000000000000000000000011101011000000111001010000000000 -010010000000000000000010000000001001001100110100000000 -000001000000000000000100000000011001110011000001000000 +000000000000000111100010100000000000000010000000000000 +000000000000000000100010011001000000000000000000000000 +101000000000001011000000000000000000000010000000000000 +100000000000000111000010100001000000000000000000000000 +000000000000001011100010001000000000000010000000000000 +000000001000001101100010101101000000000000000000000000 +000000000000001011000111100001001010000010000001000000 +001000000000001111000000000011101000000000000000000000 +000000000000000111000000000001101011111001010100100100 +001000000000000000100010001101001001111010100000000000 +000000000000000000000000001101111000111001010100000000 +000000000000000001010000000101001001111010100010000001 +000000100000000000000000000001101011111001010110000000 +000000000000000000010000001011001100111010100000000010 +000000000000000000000000000101111000111001010110000000 +000000000000000000000000000001001011111010100000000101 .ramt_tile 3 4 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010100000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 4 -010000000000001001100110010000001000001100111100000000 -001000000000000001000010000000001000110011000000010010 -101000000000001001100000010000001000001100111100000000 -100000000000000001000010000000001000110011000000000010 -010000000000000000000000000000001000001100111100000100 -000000000000000000000000000000001001110011000000000001 -000010000000000000000000000000001000001100111100000100 -001001000000000000000000000000001001110011000000000110 -000000000000000000000000000000001001001100111100100000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000001 -000000000000000000000000000000001001110011000000000000 -010001000000000000000000000000001000111100000000100000 -000000100000000000000000000000000000111100000000000000 +000000000000001000000000010101100000000001000010000000 +000000000000000001000011011111000000000000000000000000 +101000000010000001000000000000000000000010000001000000 +100000000000000001000000000011000000000000000000000000 +010100000000000000000000000000000000000000000000000000 +010000001100000000000000000000000000000000000000000000 +110000000000001000000000011000000000000010000001000000 +011000000000000011000010001101000000000000000000000000 +010011000000000111100011100000000000000010000000100000 +111011000000000000000100000001000000000000000000000000 +000000000000000000000000000001100001000000010000000000 +001000000000000000000000001111001000000000110000100000 +000000000000000000000010010011000000000001000010000000 +001000000000000000000111001111100000000000000001000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000001111000000000010000000000000 .logic_tile 5 4 -010010100000000001000011010001111010010110100000000000 -001000000000001011100111110111111010001001010000000000 -101000000000000011100010010001101000110000000000000000 -100000000000001011100111010001011110100000000000000010 -110000000000001011000010000101000001000010100000000000 -111000000000000001000011100011001101000001100000000100 -000000000000000101100010110111111011000100000000100000 -001000000000001011000010001001001101000000000000000010 -000000000000001111000111111111111100111010100000000100 -000000000000000111000110101101001011111001010000000000 -000000000000000001000011101001100000000011110011000001 -000000000000000000000110000001101100000001110000000000 -000000000000001001000011000101100000000000110000000000 -000000000000000101100100000011001101000000010000000000 -000000000000001011100000000001000000000001100100000000 -000000100000001111000000001011001011000010100010000000 +000000000000001000000010110011011001100000000000000000 +000000001100000101000110000001011000000000000000000000 +101000000000000011000011000101100000000001000000000000 +100000000000011011100110011111100000000000000001000000 +000010000000001011100010100101001100000010000000001000 +000001000000000001100010100001100000000000000000000000 +000000000010001000000011001001000000000010000001000000 +001010000000000001000100000001100000000011000000000000 +000000000000000001000011001011100000000000000010000010 +001000001110000000000100000111100000000010000000000000 +000000000000000001100110000101011110100000000000100000 +000000000000000000000011010101111001000000000000100000 +000000000000001000000000000011101111111001010100100100 +000000000000000101000010010101001101111010100000000100 +000000000000000001000111101001100000000011000100000000 +000000000000000000100100000101101101000001000000000000 .logic_tile 6 4 -000000000000001111000000010111011011000000100000000000 -000000000000001101000011111001001010000000110000000000 -101000000000000000000010100001011001000110100001000000 -100000000010001111000110010111011001001111110000000000 -010000000000000000000110001001011100000110100000000000 -110000000000001001000100001111001101001111110000000000 -000000000000001001100111001011111011000110100001000000 -001000000000000001000110010011111011001111110000000000 -000000000001000011100011111111000000000001000000000000 -000000000000100000000010110111100000000000000000000000 -000000000000101011100000010001111000000110100000000000 -000000000001000011100010110101011100001111110000000000 -000100001110001000000010000000000000000000000100000000 -000100000000000011000111001111000000000010000000100000 -110001001100000011100011000000000000000000000100000010 -010000100000000000100000001011000000000010000000000000 +000000000000000101010000000101101100101000000001000000 +000000000000001101000010010101001111011000000000000000 +101000000000001001100010010001100001000010000000000000 +100000000010000001000110000011101001000011000000000001 +000000000000000111000000001011100000000001000001000000 +000000000000000000000010000001100000000000000000000001 +000001100000000001100111001011111011101100000000000100 +001000100000000011000000001011001111111100000000000000 +000000000000000001000010010001000000000000000000000000 +000000000000000000100110101011000000000001000000000100 +000000000000100000000111000101101111000011100000000000 +000000000001010001000111111111111101000011110000000000 +000000000000000000000010011101000000000000110000000000 +000000000000000000000110101011101010000000010000000000 +000001000000000000000000000001001001010010100100000010 +000000001010000000000011011011011000101001010000000001 .logic_tile 7 4 -000001000000000000000111100011000000000000001000000000 -000010101000000111000000000000100000000000000000001000 -000100000000001011000110000111100001000000001000000000 -000000001110000011100000000000001100000000000000000000 -000000000000100011000110000000001000111100000000000000 -001000000010010000100000000000000000111100000000000001 -000010000000001001000000010101101111110000000000000000 -001001000000000001100011111001001001100000000000000000 -000000000000001011100011001101100000000001000000000000 -000000000001010011000100000011100000000000000000000000 -000000000000000011100011101001001111000110100000000000 -000000000000000111100000000111011101001111110001000000 -000000000000000101000011001111001100111010100000100000 -000000001000000111000000000001001011111001010000000000 -000100000000000001000000001011001011000100000000000000 -000100000000000111000000000001001010001100000000000000 +000010100001001001100111101011100000000000000010000000 +000000000000100011000011010101100000000010000000000000 +101000000000000011000011011111000000000000010000000000 +100000000000000000000010001001001001000000000000000000 +000001000000000011100000001101100000000001000000000000 +000010000000000000100010111101100000000000000000000100 +000000000000000011000111110001000000000001110100000010 +001000000000000000000110001011101000000010100000000001 +000000000000000000000011100111000000000001110100000000 +001000000000000000000000000001101010000010100000000000 +000000000000010000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000001101000000000001100100000000 +000000000000000000100011101001101110000010100000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 .logic_tile 8 4 -000001000000001001100011100001101011100010000000000000 -001000000000000001000010010111111001000100010000000000 -101000000000001101100111110111111000100010000000000000 -100000000000001011100011101011101100001000100000000000 -011000000000000111100111110001100000000011000000100000 -011000000000000000100111110011001101000010000000000000 -000000000000001000000010010001000001000000010000000100 -001000000000000001000010110001001010000000000000000000 -000000000000001111100011110111111011100010000000000000 -000000000000000101100011101101011011000100010000000000 -000000000000001001000000000011100000000000000001000000 -000000001100001011100010010111000000000001000010000000 -000000000000000001000000001001011010000110100000000000 -000000000000000011100000001011111010001111110001000000 -010010100000001000000010001000000000000000000100100000 -100001000000001011000100000011000000000010000000100000 +001000000000000000000110001001000001000001000000000000 +000000001000000011000010000101101000000011000000000000 +101010000000000001100111001101000000000001010000000000 +100001000000001001000010011011101010000010010000000000 +110000000000000001100111101101100000000001010000000000 +000000000000000011000110011011001000000010010000000000 +000000000000001001100111000011011101000010110000000000 +001000000000000111000000001101101001000011010000000000 +000000000000000011000000011001100000000010000000000000 +000000000000000000000010001001000000000000000000000000 +000000000000000000000110011101000000000000010000000000 +001000000000000111000010000111001100000010000000000000 +000000000000000000000000011111000000000001010101000000 +000000000000000000000010001101101001000001100000000000 +000000000000000000000000000101000001000001010101000000 +001000000000000111000000000011001110000001100000000000 .logic_tile 9 4 -000000000000000000000010101001000001000001010000000000 -000000000000000011000111100101101000000011000000000000 -101010100000000111100000011101111000000001000000000000 -100001001010001001100010111101001011000100000001000000 -010000000000000000000000010011000000001100110000000000 -011000000000000000000010000000100000110011000000000000 -000000000110010111100000010001011001000011100000000010 -001000000000101011100010110111011010000001110000000000 -000000000000000000000111001001111101000010110000000000 -000000001100000011000100001001011000000011010000000000 -000000001001010011100111100011011101010111100000000000 -000000000000100000100110011111011111101101010000100000 -000000000000000000000000000000000000001100110000000000 -000000000000001111000011100000000000110011000000000000 -010000000000000000000000011000000000000000000100000010 -101000000000000000000010000011000000000010000001000000 +000001000000001001100011011011100001000001010000100000 +000000000000000001000011100101001011000010010000000000 +101000000000010011100000011011100000000001010000000000 +100000001110001001000011101111001001000010010000000000 +110000000000001001000110110011011010101100000010000000 +000000000000000101100011001101111111001100000000000000 +000000000000001001100011101001100001000000000000000000 +001000000000000101000110100101101000000000100000000000 +000010000000001111000110100111000001000001010100000000 +001000000000001011000100001001001000000001100000000010 +000010100000000001000010000001000000000001010100000000 +001000001100000001100110011101001100000001100000000010 +000000001110000000000110000001000000000001010110000100 +000000000000001111000000000101001100000001100000000000 +000000100000000000000000011001111100111100100100000000 +000001000000000000000010001011111010111101010001000000 .ramt_tile 10 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000011100000000000000000000000000000000000 -000010101010000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010001110000000000000000000000000000000 -000000000111010000000000000000000000000000 -000000000110100000010000000000000000000000 -000010001010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010000000000000000011100101000000000000 +000000010110000000000000000111100000000001 +101000000000000000000000001000000000000000 +100000010000000000000000000011000000000000 +001001000000000000000000001101000000100000 +000010100000000011000011001111000000000000 +000000000000000000000000011000000000000000 +000000000000100000000010101111000000000000 +000000000000000000000000000001000000001000 +000000000000000000000000001111100000010000 +000000000000000101100110101000000000000000 +000000000000000111000000000111000000000000 +000000000000000001000110101101100000000000 +000000000000000000000000000111100000000100 +110000001100001101000010111000000000000000 +010000000000100101100110101011000000000000 .logic_tile 11 4 -000000000000000001100000000011101111000010000000000000 -001000000000000000000010001101101010000000000000000000 -101000001110101001000000010011000000000000000000000100 -100100000001010001000011100011000000000001000000000000 -110000001010001011000011001011100001000001010001000001 -011000000000000001000000001001001100000010010000000000 -000000001110000111100000001111100001000000000010000001 -001010100000100000000011001101001000000000100000000100 -010000000000000011100110110011011110000010000000000000 -100000100000000111000011001001111110000000000000000000 -000001000000001011100110100001100000000001000010000000 -000000100000001011000000000101000000000000000000000000 -000000000000001101100000000000000000000000000100000000 -000000000000000101000000000101000000000010000010000100 -010000000000100011000000010000000000000000000100000000 -100000000000000000000010100001000000000010000000000100 +000000000000000001000000001111000001000001010000100000 +000000000000000000100000001001001011000010010000000010 +101001000000000000000011010011100001000001010000000000 +100000000000000001000011100001101001000010010000000000 +110000000000000000000000000111000001000001010000000000 +101000000000000001000000000111101011000010010000000000 +110000000000000000000011000000000000000000000100000000 +001000000000100000000000000000000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000000 +000000000001000000000000010000000000000000000100000000 +001010000000000000000010010101000000000010000000000000 +000000000000001011100000011000000000000000000100000000 +000000000000001001100010010001000000000010000000000000 +000000100000100000000000000000000000000000000100000000 +001000000001000000000000000000000000000010000000000000 .logic_tile 12 4 -000010000000001111100000000000000000000000000100000000 -000000000000000011100011100111000000000010000010000000 -101000000000000000000000001000000000000000000110000000 -100000000000000000000000000011000000000010000000000000 -010100000000000101100000000000000000000000000101000000 -011000001110000000000011000101000000000010000001000000 -000001000001000000000000000000000000000000000101000000 -001010000000000000000000000011000000000010000000000000 -000000100000000111000000000000000000000000000110000000 -001000000000000011000000000011000000000010000001000000 -000000000100100011100000000000000000000000000100000000 -000000001101010000100000001101000000000010000001000100 -000000000000000011000000000000000000000000000100100000 -000000000000000000100000000001000000000010000000000000 -010000000000000000000000000000000000000000000100000000 -100000000000000000000000000001000000000010000000100000 +010010100000000000000000000000000000000000000100000000 +000000000000000000000010110101000000000010000000000000 +101000000100000000000000000000000000000000000000000000 +100000000110000000000000000000000000000000000000000000 +110000000001010101000000000000000000000000000100000000 +100000000000000000100000001001000000000010000000000000 +000000000000000000010000000000000000000000000000000000 +001010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000111000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +110000000000000111100000000000000000000000000000000000 +111001000000000000000000000000000000000000000000000000 .io_tile 13 4 -000000000000011010 +000000000000000010 000100000000000000 -000000000000010000 -000000000000000001 +000010000000000000 +000010010000000001 000000000001000001 000000000001000000 001100000000000000 -000000000000000000 +000000000000001000 000010000000000000 -000111010000000000 -000000000011000010 -000000000001000000 -000001011000000000 -000000000001100001 +000110010000000000 +000000000000000010 +000000000011000000 +000000000000000000 +000000000000000001 000000000000000001 000000000000000000 .io_tile 0 5 @@ -1169,213 +1169,213 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000001100000 +000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 5 -010000000010001111000110010101001111001100110000000000 -001000000000010001100011100000001001110011000000000000 -000000000000000001000000000101100000000000000000000000 -000000000000000001000011100011000000000001000000000000 -000000000000001000000000000001100001000000010000000000 -000000000000100111000000001001001000000000110010000000 -010000000000000001000000000111011001001100110000000000 -101000001110000000100011100000111011110011000000000000 -010000000000000011000010000011000000000000000000000000 -000000000000010000100100000111100000000001000000000000 -000000000000000000000000000000000000000010000000000010 -000000000000000000000000000001000000000000000000000010 -000001000000000011000111100001000000000000000000000000 -000010000001001011100000000111100000000001000000000010 -000000000000100000000000000001000000000000000000000000 -000000001111010000000000000011000000000001000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 5 -000000000000001111000010000111101010111010100000000000 -000001000000000001100011000011001010111001010000000000 -101000000000000011000111100111101000001100110000000100 -100000000000001001000011110000011001110011000000000000 -110000000001010011000110000000000000000000000000000000 -011000000000001111000000000000000000000000000000000000 -000000000000000000000111101011111001111010100000000000 -001000000000000111000000000101011000111001010000000000 -000000001100100011100000001011000000000000000000000001 -000000000001001111000011110001001110000001000000000000 -000010100000000001100000001001000001000001100100000000 -000001000000000111100000001111001001000010100000000000 -000000000000000011100110000001000001000001100100000000 -000000000000000000100100001111001011000010100000000010 -000000000000000111000000011101000001000001100100000000 -000000000000000111100010010011101001000010100000000000 +000000000000000000000000000000000000001100110000000000 +000000000000000001000011100000001011110011000000000000 +101000000000001101000000000000001101001100110000000000 +100000000000000111000000000000011010110011000000000000 +010000000000000000000111000000000000001100110001000000 +010000000000001001000100000000000000110011000000000000 +001000000000001000000000001000000000000000000100000000 +001000000000000111000010101101000000000010000000000000 +000000001100001001100000001101001101101100000100000000 +001000000000000001000010110001001101111100100000000000 +000000000000000000000000010001001101101100000100000000 +001000000000000000000011011111001111111100100000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000011010000000000000000000000000000 +110000000000000000000000011000000000000000000100000000 +000000000000000000000011010001000000000010000000000010 .ramb_tile 3 5 -000000000000000111000011101101000000000000 -000000000000000111000000001111100000000001 -101000000000000011100010000000000000000000 -100000000000000000100100000001000000000000 -000000000000100000000000011001100000100000 -000000001000000000000011111011100000000000 -000000000000000000000000010000000000000000 -001000000110000000000011111001000000000000 -000000000000000000000000000101100000000000 -000000000000000000000000001111100000000001 -000000000000000101000000000000000000000000 -000010100000000111100010110111000000000000 -000000000000000000000111100001100000000010 -000000000000000001000000000001000000000000 -110000000000100000000010101000000000000000 -010000000001000000010100000011000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000001 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +010000000000000000000011100000000000000010 +110000000000000000000000000000000000000000 +000000000000000000000000000000000000100000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010 +000000000000000000000000000000000000001000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000100000 +000000000000000000000000000000000000000000 .logic_tile 4 5 -000000000000001001000000000001100000000000001000000000 -001000100000001111100010000000100000000000000000001000 -101001000000100000000010100101100001000000001000000000 -100010101001000000000100000000001101000000000000000000 -010000000000000000010111100101101001001100111000000001 -011000000000000000000010000000101101110011000000000000 -000000000000100101000000000000001000111100000001000000 -001000001011000000100010110000000000111100000000000000 -000000000000100000000000000000000000000010000000000000 -000000000000000000000000000001000000000000000001000001 -000000000000000000000010000000000000000000000110000000 -000000000000000000000010000001000000000010000000000000 -000000000000000000000000000000000000000000000100100000 -000000000000000000000000001101000000000010000000000001 -010010000000000000000000000000000000000000000100000000 -100000000000000000000000001101000000000010000010000000 +010000000000001111100000000000000000000000000000000000 +001000000000000011100011010000000000000000000000000000 +101000000000000000000000010001000000000001000101000000 +100000000000000000000011010001000000000000000000000000 +010000000000000111100000000000000000000000000000000000 +010000000000000000100000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +001000000000001011000000000000000000000000000000000000 +000000000000000000000000000101100000000001000100000000 +001000000000000000000000000101000000000000000001000100 +001000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111000000000001000100000000 +000000000000000011000000000101000000000000000001000000 +110010100000000000000000000001100000000001000101000000 +000000000000100000000000000001100000000000000000000000 .logic_tile 5 5 -000000000000000001100110110111101101000110100000000000 -000000000000000001000111010001111001001111110000000000 -101000000000011111100010101001101100000100000000000000 -100000001100001011000111101001001001001100000000000000 -110010100000001011000111101011001011000010000001000000 -111001000000001011000110001111111011000000000000000000 -000000000000000011100011001101001000110000000001000000 -001000000110000111100100000001111100100000000000000000 -000000000011011011000011110111101101100010000000000000 -000000001010100001000111101011011111001000100000000000 -000000000000000111100111001101001010110000000000100000 -000000000000001111100000000101101000100000000000000000 -000000000000000000000111001011101011100010000001000000 -000000000010000000000000000011111011000100010000000000 -000000000010001000000110101101100000000001100100000000 -000000001110000011000010000011001010000010100001000000 +000001001010000000000010000000000000000000000000000000 +001010000001111001000011100000000000000000000000000000 +101000000010000000000111010001111000100000000001000000 +100000000000000111000011010111011100000000000000000000 +110000000000000000000010100101111000000010000000000101 +010000000000000111010011100011111000000000000001000000 +000000000000000000000000000001000000000001000000000000 +001000000000000000000010100101100000000000000000000001 +000010000000000011100111000001000000000010000000000000 +001000001110001111000010101101100000000000000010000010 +000000000000000000000000000001111000100000000000000000 +000000000000000000000000000111011100000000000010000000 +000000000000000011000010000101000000000000000001100001 +000000100000000001000100000111100000000011000010000000 +110000000000000000000000000111000001000011000100000000 +000000000000000000000000001011101011000010010000000010 .logic_tile 6 5 -000010000000010001100111101001000000000001000000000000 -000001000000101001000011111101000000000000000010000010 -101001001100001001100000001101101100000010000000000000 -100011100000000101000010010101011111000000000000000000 -010000100000000000000110011001100000000000010000000000 -011001000000000000000010110001001011000000000000000000 -000000000000001111100011001011111011100010000000000000 -001000000000001011000011001011001010000100010000000000 -000000000000001000000000000001001010000110100000000000 -000000000000000011000011010011111111001111110000000000 -000001000001001000000111001001101100100010000000000000 -000000100000001101000000001111111111000100010000000000 -000000000000100000010010010000000000000000000100000000 -000000000001010000000011010001000000000010000000100000 -010010100000000000000000011000000000000000000100000000 -100000000000000000000011001101000000000010000000000000 +000000000000000011100110010101100000000000000000000000 +000000000000000001100010001101000000000001000000000000 +101000100000000000000111001001000001000011000000000100 +110000001010001101000010010101101100000001000000000000 +000000000000000001000110010111011111001000000000100000 +000000000000000000100010000101101100000000000000000000 +000000000000001111100000000111000001000000000000000000 +001000000000000001110010011101101001000000100000000000 +000000000000000000000010010011000001000000110000000000 +001000000110000000000011001011101001000000100000000000 +000000100000001101100000000001000000000000000000000000 +001000000000000111100000000001000000000001000010000000 +000000000000100000000010110001000001000001010000000000 +000010000001010000000011000001101001000010010000000000 +110000000000000000000000011101111001010100000110000000 +000000000000000111000010001111001011101100000100000010 .logic_tile 7 5 -000001000000000011000000000101001100010000000000000001 -000000100000000011100011100001111001110000000000000000 -101000000000001000000000000101100000000001000000000000 -100000000000000101010000001111100000000000000000000000 -110000000000000000000000010101001101000000100000000000 -011000000000001101010010100111001000000000110000100000 -000000000110000001100110000000000000000000000100000101 -001000000000101001100000000001000000000010000000000001 -000000000000000001100111001000000000000000000100000010 -000000001000000000000011110101000000000010000000000000 -000000000000000000000011111000000000000000000100000101 -000000000000000000000111111011000000000010000001000000 -000000000000000011000000011000000000000000000100000000 -000010000000000000100011011011000000000010000000000010 -010000000110000000000000001000000000000000000100000001 -100000000000000000000000000101000000000010000000000000 +000010000001010011000111001101011000000011010000000010 +001001000000100011100110100101011011000011000000000000 +101010100100000011100111110011011000000010000000000000 +100001000000000000100111110001011100000000000000000000 +110000000000100000000010101011011111101010000000000000 +010000000001011111000110100111111011010110000000000000 +000000001100000111000111100101100000000000100000000000 +001100000000000000100100000101001011000000110000000000 +000000000000000111100110000000000000000000000000000000 +001000000000001001000011110000000000000000000000000000 +000100100000000000000000000001000000000010100000000000 +001000000000000000000000000101101001000010010000000000 +000010000000000000000000000000000000000010000000000000 +000001000000000000000000000011000000000000000000000001 +110000000000000001100000011001000000000000000101000000 +000000000000000000000011000001000000000010000000000001 .logic_tile 8 5 -000010100000001000000011110111000000000001000001000000 -000000000010000011000111101101100000000000000000000000 -101000000000000000000111010001011101000110100000000000 -100000000000000000000111011011001001001111110000000000 -110000001010000011100010001101000001000001010000100000 -011000000000101011000100001001001010000010010000000000 -000010000000001111100111010001001011000110100001000000 -001000000000000111000110101011101001001111110000000000 -000000000000010000000111000101101111000110100000000000 -000001000000101001000111111111101011001111110001000000 -000001000000000101100000001011011100100010000000000000 -000010100000000111100000000101001110000100010000000000 -000000000110000000000111001011101001010000000000000000 -000000000000001001000111100111011111110000000000000010 -010010100000001001100110101000000000000000000101000000 -100001000001000011000100000111000000000010000000000000 +010000000000000011000000010000000000001100110000000000 +000000000000000000100010000000000000110011000000000000 +101000000000001000000110001111101110000001010001000000 +100000001000000001000000001001011111000010010000000000 +110000000000001011000110101011100001000001010000000000 +000100000000000001100111001101001100000010010000000000 +001000000000000000000110000111101010000110100000000000 +001000000000000001000000001101111100001111110000000010 +000000000000000111100000000101100000001100110000000000 +000000000110000000000000000000000000110011000000000000 +000000000000000011100000000001101010000110000000000000 +001000000100001001100000000101001110001010000000000010 +000000100000001000000010000000000000000000000000000000 +000001000000000101000110010000000000000000000000000000 +000000000001000011100111101001000000000001010110000000 +000000000000001001100100000111101000000001100000000000 .logic_tile 9 5 -010000000000001101100111000001011000001100110000000000 -001010100000001111100000000000000000110011000001000000 -101000000010101111000111110101100000000010000010000000 -100000000001010111000011011111001001000000000000000000 -010000100000100011100000011101100001000000000000000000 -000000000001000011100011011011101110000001000000000000 -000000001010000011100000011000000000000010000100100000 -001000001110000000100011101011000000000000000000000000 -000000000000000011000011000101000000000001010100000000 -000000000110001011100100000011001010000001100001000000 -000010100000000001000010001001100000000001010110000000 -000001000000000000100010001001001100000001100000000001 -000000000000000000000011011111101010111110100100000000 -000000000000000000000010001001011111111100010000000001 -000000000000001111000000000001000001000001010101000000 -001000000000001011000000001011001100000001100000000000 +000000000000000001100111100001011011111111000000100100 +000000000110000000000111011001001100101001000000000000 +101000000000000011100000011101101110101010100000000000 +100001000000000000100010010011111111011010010000000000 +110000100000000000000110100101011100010111100000000000 +101000000000000101000100000011011011101101010000000000 +000000000000001111000110111101100000000000000000100001 +001000001000000001100011101101001001000000010000000000 +000000000000001011100000001011011000101010100000000000 +000000000000000011100011101001001101011010010000000000 +000000100000001001000111000000000000000000000100000000 +001000000000001111000100000000000000000010000000000100 +000000001110000000000011100000000000000000000000000000 +001000000000000000000011100000000000000000000000000000 +000000100001010000000000000000000000000000000100000000 +001000000000100000000000001001000000000010000000000010 .ramb_tile 10 5 -000000000000000011100000000101000000001000 -000000001010000000000000001011000000000000 -101000000000100000000011101000000000000000 -100001000001010000000000001011000000000000 -000000000001011000000000001101100000000000 -000000000001001111000000001011000000100000 -000000000000001000000000001000000000000000 -001000000000000101000000000011000000000000 -000001000000000000000010000011000000000000 -000010100000000000000111100111100000000010 -000000000000000101000111000000000000000000 -000000000000000000000110010001000000000000 -000000000000000001000000001001100000000000 -000000000000000000100000001111100000001000 -110000000000000101100010101000000000000000 -110000000000000111100000000111000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000100000000000000000000000000000000 +000011001010000000000000000000000000000000 +000011100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 5 -010000000000001111100111101111000000000010110000100000 -001000000000001111100111001001101100000001010001000100 -101000001111000001000010000011000001000000110000000000 -100000000000000001000010001111001001000000100001000001 -010000000000000000000110000001000000000001010001000001 -000000000000000000000010000001001110000010010000000000 -010000000000000001100000011011000000000001010000000000 -011000000000000000000010001011101100000010010000000001 -010000000001010011100000000111000000000001010000000000 -001000000000100111000010111001001110000010010001000000 -010000001110000000000110001001100001000001010000000000 -100100000000000000000000001101001000000010010000000001 -010001000000100011100000001000000000000000000100100000 -100000000000011101000011000101000000000010000000000000 -000000000000000000000000000101000000000001010100000100 -001000000000000000000000001101101001000001100000000000 +000010100000000101100111111111101000000001010001000000 +000000000000000000100111110011111100000010010000000000 +000001000000000011000000000000000000000010000000000000 +000000100000000000100000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +001000000000000000000011001101000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000111000000001001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000011000000000000010000000000000 +001000000000000000000010010001000000000000000000000000 .logic_tile 12 5 -010000000000001101000000011101100001000010000000000000 -001000000100000001100010001101101010000000000000000000 -101000000010001011100000010001100000000001000000000000 -100000000001011111000011110101100000000011000000000000 -110000000000001101000111100001011001000100100000000000 -011000000000000001110000001111001000000000000000000000 -000000000000001001100110010001000000000000000000000100 -001010000000001111000010000011100000000001000000000000 -000001000000000001100000010101100000000011100000000010 -000010101100000000000011000001001011000001110000000000 -000000000000000000000000000101000000000001000100000010 -000010000000010000000000000101000000000011000100000000 -000000000000100000000110100000000000000000000100000100 -000000000001010000000100001111000000000010000100000000 -010000100000100000000000000000000000000000000101000100 -101000000001010000000000000011000000000010000100000000 +000000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +101000000000001000000000010001100001000000000000000010 +100000000000000001000010000011001110000001000000000000 +110000000000000000000000000101100001000000000000000100 +001000000000000000000000000111001100000010000000000000 +000001000000001000000000000001100001000000010000000010 +001010100000000001000000000011001110000000000000100000 +000000000000000111000000000011100001001100110000000000 +000000000000000000000000000000101001110011000001000100 +000001000000000000000111100000000000000000000000000000 +001000000000000011000100000000000000000000000000000000 +000000000000000111000000000000000000000000000100000000 +001000000000000000000000000001000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +001000000000000011000000000000000000000000000000000000 .io_tile 13 5 000000000000000000 000000000000000000 @@ -1406,476 +1406,476 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 6 -000000000000000101000011111111000001000010000000000000 -000000000000000001000110001011001011000000010000000010 -101000000000000001000000001101100000000000000000000000 -100000000000001001100000001111000000000001000000000010 -110000000000100000000010001111000000000010000000100000 -010000000001010000000100001011000000000000000000000000 -000000000000000111000111000001100001000001010110000000 -000000000000000101000100000011001100000010010001000000 -000000000000110001100000000001100001000001010100100100 -000000000000001011000010000011001110000010010000000100 -000000000000000011000000001101000001000001010110000000 -000000000000000000100011000101101100000010010010000000 -000000000001000000000000000001000001000001010110000000 -000000000000101111000000001001101110000010010000000100 -000000000000000011000000000001000001000001010111000001 -000000000000000000100011000001001100000010010000000000 +000000000000000000000000000101100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +101000000000000000000000000000000000000000001000000000 +100000000000000000000000000000001110000000000000000000 +010000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +000000000000001000000000001000000000000000000101000000 +000000000000001101000000000111000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110000000000001000000000001000000000000000000100000000 +000000000000001101000000000111000000000010000000000000 .logic_tile 2 6 -000000000000101001100000010001100001001100110001000000 -000000000001000101000011100000001111110011000000000000 -101000000000001111100011010101111010010110100000000000 -100000000000000001100010101101101110100001010000000000 -010000000000000000010011000101100001000000100010000000 -010000000000000000000011000011101001000001000000000000 -000000000000000001000011000000001101001100110000000000 -000000000000000000100011010000011100110011000000000000 -000000000010000011100011101111011000101110100000001000 -000000000000001111000011010111010000101101010000000000 -000000000001000001100110011001111100010001010100000000 -000000000000000000000111001011011101010010100000000000 -000100100000000000000011100001001100010001010100000000 -000100001000001111000000000001001111010010100000000001 -000001000000000111000110011101011100010001010100100100 -000011100000000000000010011111111101010010100000000000 +000000000000000001100000001001000001000001010000000001 +000001000000000000100011100001001011000010010001000000 +101000000000000011100110010001100001000001010000000000 +100000000000001111100010011101101010000010010001000000 +110000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000001000000110100000000000000000000000000000 +000000000010000101000000000000000000000000000000000000 +001001000000100000000000000001100000000010000000100000 +000000101001010000000011001001100000000000000000000000 +000000000000000000000000000011000000000000000001000000 +000000000100000000000000000111100000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010011000000000000000000000000000000000000 +110000000000100000010110001111100000000000000101000000 +100000000001000000000000000001100000000011000000000100 .ramt_tile 3 6 -000000000000000000000010001001100000000010 -000000010000000000000000001101100000000000 -101001000000000101100111111000000000000000 -100000110000101011000010100101000000000000 -000000000001000000000000001011100000100000 -000000001100100000000011001001100000000000 -000000000000001000010110100000000000000000 -000000000000000011000000001101000000000000 -000010000000000000000000011011100000100000 -000001000000000000000011100001000000000000 -000000000000000001100110001000000000000000 -000000000000000000100100001111000000000000 -000000000000000000000000000001000000100000 -000000000000000000000000001011100000000000 -110000000000000111000000011000000000000000 -110000000000000000100011110001000000000000 +000000000100000000000000001000000000000000 +000000010000000000000011010111000000000000 +101000000000000000000111101000000000000000 +100000010000000000000000001011000000000000 +000000000000000000000000010000000000000000 +000000000000000000000011001001000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000001101000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000001111000000000000 +000001000000000000000010101000000000000000 +000000100000000111000110111001000000000000 +000000000000000000000110001000000000000000 +000000000000000000000100001011000000000000 +110000000000001001100000001000000000000000 +010000000000001001100000000111000000000000 .logic_tile 4 6 -000000000000001001100000010001100000000001000000000000 -000000000000000001000010001001101101000000100000000100 -101001000001000001100110000111111110001100110000000000 -100000100000000000000000000000100000110011000000000000 -010000001101011111100111000101000001000010000000000000 -110000000000100001100110100111101010000000000000000000 -000000000000000101100010001011101011101000000000000000 -000000000000000000100000000011101110110100000001000000 -000000000000000000000000010111100001000011110100000100 -000000000000000001000011110001101010000010110000000000 -000000100000000111000000011111100001000000010100000000 -000000000000000000000011001111101000000010000001000000 -000000000001000001100000000111100000000011110100000000 -000000000000100000000000000001101100000010110000000000 -010000000000001111100110010101100000000011110100000000 -100000000010001111100011010101101000000010110000000000 +000000000000000001000110010000000000000000000000000000 +000000000000001001000011110000000000000000000000000000 +101000000000000011100010010101000000000000010000100000 +100000000000000111000111111011101001000000000000000000 +110000000000000011000010101101001001100000000000000010 +110000000000001001000110001011011010000000000000000000 +000000000000011111000110001011111001000000010000000000 +000000000000100111000000000001001001000000000000000000 +000000000000000111000111101011100000000001010000000000 +000010000000000001000011101101001010000010010000000100 +000000000000001111000111011001101001101100000100000000 +000000000000100111000010110101111101010100000001000000 +000000000000100000000011100001001100101000000110000000 +000000000001010000000011101011001100011100000000000000 +110000000001000011100011000001011110101000000100000000 +110000001000100000000000001111011011011100000000000000 .logic_tile 5 6 -000000000001011111100111111111011100111010100000100000 -000000000010100111100010001011101001111001010000000000 -101000000000000111000000000011100000001100110000000000 -100000000000001001000000000000000000110011000000000000 -010000000000000001100011101101011010110000000000000000 -110000000000000011000100001011001110100000000000000000 -000000000000000001100011011001011011000100000000000100 -000000000000000011000011111111001000001100000000000000 -000000000000001000000000010001011011101110100000100000 -000000000100001011000011100101011011101101010000000000 -000000000000000001000111000011001100000110100000000000 -000000000000000001100110110111101001001111110000000000 -001000000000000101100000001000000000000000000100000000 -000001000000100101000010100001000000000010000001000000 -010000000000000000000000011000000000000000000100000000 -100000000000000000000010001011000000000010000001000000 +000000000000000000000111101001001010100000000001000001 +000000000000000111000100001001101100000000000000000000 +101000001110001011100000011001011111000001000000000001 +100000000000001011100010000001001010000000000010000000 +110010100000000011000000010101100001000000000000000000 +010001000000000111000010000101101010000000100000000000 +000000000100000011000011011011111111100000000000000001 +000000000000001001000111110001001010000000000010000000 +000000000000001000000111111101000000000000010000000000 +000000000000000011000110101011101111000000000010000001 +000000100000000000000000011001111111001000000010000000 +000001000010001101000011110001001010000000000010000000 +000000100000000000000010101101100001000000000000000000 +000000000000000000000100001101001110000000100000000000 +110100000000000111100000000000000000000000000100100000 +000100001010000000100000001011000000000010000000000000 .logic_tile 6 6 -000000000000100101100010100111111101000110100000000000 -000001000000011101100110010001001001001111110000000001 -101000100000001001100111111011001000000100000000000000 -100000000000000001000011111111011001001100000000000000 -110001000000000001000110001101001101111010100000000000 -010010100000001101100010110101111000111001010000000100 -000000001000010111100110000101111001000110100000000000 -000000000000100111000000000111111010001111110000000000 -000000000000001011100011111111011001110000000000000000 -000000001000000101010011110101111101100000000000000000 -000000100000000011000000010111100000000001000000000000 -000001000000000000000010000101000000000000000000000000 -000000000000001000010000010001011000000000100000000000 -000000100000000101000010100101001011000000110000000000 -010000001100000011100000010000000000000000000101000000 -100000000000000111000010100011000000000010000000000000 +000000000000001000000010000011011000111100110000000000 +000000000000000011010100000001111010010100110000000000 +101000000000101011100111000011001101111010100000000000 +110000100000001111100110001011011000111001010000000000 +000000000001010001100000001011100001000011000000000000 +000000000110100000000010001111101001000011100010000000 +000000000000001001000000000011111111110100000000000000 +000000000110001111100000001111111010101100000000000010 +000000100100001011000110110111101011110100000000100000 +000001000000001011100011010111111000111100100000000010 +010000000110000011100110000101101110000000000001000100 +110000000000000000000011100011011001001000000001000000 +000000000001000011100110100000000000000000000000000000 +000000000000100000100111010000000000000000000000000000 +110000000001000000000010001000000000000000000100000000 +000000001010000000000011100011000000000010000000000000 .logic_tile 7 6 -000000000000001111000111111011111010000000110000100000 -000000001010101111000010111111111000000000000001000000 -101100000001000101000010101011001100000110100010000000 -100000000000000000100100000001101001001111110000000000 -110001000000000011000011101011111010001111110001000010 -110000100100000000000000001111111000111100110000000011 -000000001110000101000110001101101011000010000001000000 -000000000000001111100010110101101111000000000000000000 -000000000000001000000111001001101100000110100000100000 -000000000000000001000010000101111001001111110000000000 -000010100010000000000010000101001011100010000000000000 -000001000000001001010100000001101111000100010000000000 -000000000000000000010011101000000000000000000100000000 -000000000000000000000110100011000000000010000000000000 -011000000010000000000011010000000000000000000100000010 -100010000000000000000010001111000000000010000000000000 +000000100000001001100011000011101000010100000000000000 +000001000000000101000100000001111111100100000000000000 +101000000000000001100010111011101111111100000000000000 +100000000000000111000010000101101001111000000000000000 +000000000000101101000011011001011001000010000000000000 +000000000000010001000011110011001001000000000000000000 +000000000000001000000110000001111010110100000000000000 +000000000000000001000000001101011100101100000000000000 +000001000000000111100110000011100001000001000000000000 +000010100000000101000010100101001110000000000000000000 +000000000000001001000011011011101101111100000000000000 +000010100000000101000011100101101001101100000000000000 +000000000000000101000111000101100000000000100000000000 +000000000000000000000100001101001101000000110000000000 +000000000000100011100110000111100000000000110100000001 +000000000001000000100100001011101010000010110000000000 .logic_tile 8 6 -000100000000001111000110001111011110100010000000000000 -000100000000100001100000001001011110000100010000000000 -101000000000001001100111010001111011100010000000000000 -100000000000001011000111100101011010000100010000000000 -110001001100000011000111100001011111010000000001000000 -110010100000001001000100000001101100110000000000000000 -000000100000001101100110111101100000000000010000000000 -000001001000001111000011110001001100000000000000000000 -000000000000100001100011100111011110000010000000100000 -000000000000000000000111111101111110000000000000000000 -000000000000001000000110011111101101100010000000000000 -000100000000001101000010001001111011001000100000000000 -000000000000000111100010001011000000000001100110000000 -000000000000000001000111111011101010000010100001000000 -010000000000000000000010011011100000000001100101000000 -100000000000000111000011011011001000000010100000000000 +000000000000011001100111111111101111000100000000000000 +000000000000000001000111001001011000000000000000000000 +101000000000000001100011110011001110001000000000000000 +100000000000001111000011010011101010000000000000000000 +010000001110000111000111111111000000000000000001000000 +010000000000000111000110100001100000000010000000000000 +000000000000000001000110001101100000000001000000000000 +000010000000010000100000000001100000000000000000000100 +000000000000000001100000010011001110000100000000000000 +000000000000001111100010000001001010001100000000000000 +000000000000000101100010000101100000000000110000000001 +000000000000000000000100000001001011000000100000000110 +000000000000000001000110011011101000010101000101000100 +000010000000000001100011010111011100101001000000000100 +000000000000000011000000001111001011010101000100000000 +000000000000001001000000001001111101101001000000000001 .logic_tile 9 6 -000000000000001111100000011001111101000000000000000000 -000010000000000001100011001011001001000000010000000000 -101000000000101001000011001101101101000000000000000000 -100000000101000011100010111111001010000000010000000001 -110000000000001000000011111011100000000001010000000100 -100000000000001011000011000001101001000010010000100000 -000000000000000001000111011111100000000001010000100000 -000010000000001101100111101101001011000010010000100000 -000000000000001111000010000111001010001100110010000000 -000000000000000111000100000000100000110011000001000000 -000100000000000001000010010101100001000000000010000000 -000000000000000000100011101011101001000001000000100000 -000010100000000000000000000001001100000000100000000000 -000011000000000000000010000001001011000000000000100001 -000000000000100001010000010000000000000000000100000000 -000000000000010000000011100000000000000010000000000001 +000000000000010000000111000111100001000001000001000010 +000000001010100000000110101101101001000000000000000000 +101000000000000011100000010000000000000000000000000100 +100000000000000001000011100000000000000000000001000000 +110000000000000011100011001000000000000010000001000000 +000000001110001011100100000111000000000000000000000000 +110000000000010000000110100000000000000010000001000000 +000000000000100000000100001011000000000000000000000000 +000010000000010000000011101000000000000010000010000100 +000001000000100000000000000001000000000000000010000100 +000000000000000000000000000101100000000001000000000110 +000000000000000000000010001001100000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000001 +000001000000000011100000000001011101111110100100000001 +000000100000000000100011100101111000111100010000000000 .ramt_tile 10 6 -000000100000000000000000001011100000000000 -000001010000000000000000001111100000000000 -101000000000000000010110100000000000000000 -100000010000000000000000001111000000000000 -000010000000000000000010000011000000000000 -000001000001000000000100001101100000000000 -000000000001000111100000001000000000000000 -000001000000100000000011100011000000000000 -000000000000000011100010001111100000000000 -000000000000000000100100001011100000000101 -000000000000000101100110011000000000000000 -000000000000000000000110101001000000000000 -000000000000000000000111110101100000010100 -000000001100000000000011001111100000000000 -010000000000000000000111010000000000000000 -110000000000000000000011000011000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +000001100000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 6 -000000000000011000000000001111111100000000000000100000 -000000000000101111000000001111001000110000000001000000 -101000000000000001000000001001111110000000000001000000 -100000000000000111000000001011001010000000110000000100 -110000000000001000000000000011000000000000000000000000 -100000000000001111000000001011001100000000010001000000 -000000000100000000000011000111000000000000000001000000 -000000001010000000000111011111001110000000100000000000 -010000000000000101000000001101100000000001000000000000 -010000000000001101100010111101100000000000000000100100 -000001000000100111100111001000000000000000000100000000 -000000000000000000100100000001000000000010000000000000 -000000000000000101000000000000000000000000000100000000 -000000000000001101100010110000000000000010000000000100 -000000000000000111100111000000000000000000000100000000 -000010000000011001100100000000000000000010000001000000 +000010000000000101000010100001000000000000001000000000 +000001000000000000000010000000100000000000000000001000 +000000000000000000000000000000000000000000001000000000 +000000000000000101000000000000001011000000000000000000 +000000000000010000000000000000000001000000001000000000 +000000000000101011000011010000001011000000000000000000 +000000000000000000000010100000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001010000000000000000000 +001000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000100 .logic_tile 12 6 -000010000000000000000000011101100000000011000000000100 -000000000000000000000011101101100000000000000000000000 -101000000000000000010000000111001001001100110000000000 -100000000000001111000000000000011100110011000000000000 -110000000010000000000000001000000000000000000100100000 -100000000000000000000000000001000000000010000000000000 -000000000000000011110010101000000000000000000100000000 -000000000000000000000010101111000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 +000000000000000001100000001101100001000001010000100000 +000000000000000000000000001001001000000010010000000000 +101100000001000000000011001101100000000000100000000010 +100000000000000001000010100111001101000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110001100000000011100000001101100000000000000000000110 +010011100000000101100000000111001101000000100000000000 +000000000000000000000011001101100000000010000000000000 +000000000000000000000000000011001111000000000001100000 +000000000000001000000000001000000000000000000100000000 +000000000000000011000000001001000000000010000000000000 +000000000000000000000011000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000011000011000000000000000000000000000100000000 -000000000000100000000000001011000000000010000000100000 -010000000000000000000000000000000000000000000000000000 -110000000000000000000011010000000000000000000000000000 +110000000000001111100000000000000000000000000100000000 +110000000000000011100000000001000000000010000000000000 .io_tile 13 6 000000000000000010 000100000000000000 -000000011000000000 -000000001000000001 -000010000000000001 -000011110011000000 +000000000000000000 +000000000000000001 +000000011010000001 +000000001001000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000010000010 -000000000001000000 +000000000011000010 +000000000011000000 000000000000000000 000000000000000001 -000000000000000001 -000000000000000000 +000000011000000001 +000000001000000000 .io_tile 0 7 000000000000000000 -000000000001100000 000000000000000000 -000000000001100000 000000000000000000 000000000000000000 -000100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 +000100000000000000 +000000000000000000 000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 7 -000000000001000000000000000101000000000000001000000000 -000000000000000000000000000000000000000000000000001000 -101000000000010000000111100101000001000000001000000000 -100000000000000000000000000000001100000000000000000000 -110000000000000111100000000011001000001100111000000000 -010000000000000001000000000000100000110011000000000010 -000000000000000000000000000011101001001100111000000000 -000000000000000000000000000000001100110011000000000000 -000000000000000000000110110001101001001100111000000000 -000000000000000000000011010000101110110011000000100000 -000000000000001111000000010011101001001100111000000000 -000000000000000101000010100000101100110011000000000010 -000000000000000000000000000000001000111100000000000010 -000000000000000000000000000000000000111100000000000000 -000000000000001111000000001000000000000000000101000000 -000000000000000011000000000111000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000010000000000000000000000000000000 +101000000000000000000000000101011100001100110000000000 +100000000000000000000000000000010000110011000000000110 +010000000000000101100000000000000000000000000000000000 +000000000000001101100000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000010000101000000000001000001100000 +000000000000000000000100000001000000000000000000000000 +000001000000000000000000010101101000000001000000000000 +000010000000000000000011001011011110000000000000000001 +000000000000000000000110100000000000000000000100000000 +000000000000001001000100001111000000000010000000000010 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 7 -000010100000000000000110010011000000000000001000000000 -000000000000000000000010000000100000000000000000001000 -101000000001011001100000010000000001000000001000000000 -100000000000100001000010000000001100000000000000000000 -110000000000000000000000000000001000001100111110000000 -010010100000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000100 -000000000001010000000010000000001001001100111100000000 -000000001110000000000111100000001000110011000010000000 -000000000000000001000000000000001001001100111110000010 -000000000000000000100000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000010000000000000000001001110011000000000100 -010000000000000000000000000000001000111100000000000100 -100000000000000000000000000000000000111100000000000000 +000000000000000001100111110001101110100000000010000000 +000000000000000000000010001111111110000000000001000000 +101000000000001011000011111111100000000000000001000000 +100000000000001111100011100101101100000010000000000000 +110000000000000011100110000111101110000000000000000000 +010000000000000000100010000011111110100000000000000000 +000010000000100011100000011111000001000000000000000000 +000000000001010101100011010011101001000000100000000000 +000000000000100011000111000101000001000001010000100000 +000000000000010111000111100101101010000010010000000000 +000000000001010101000000000101001000100000000000000000 +000000000000000000100000000001111101000000000000000001 +000100100000100011100011001101101001001100110100000000 +000000000001010000000010011001111000101100100000000000 +110000000000000000000110111000000000000000000100000000 +000000000000000000000110110001000000000010000000000000 .ramb_tile 3 7 +000010100010000000000000000000000000000000 +000001010000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000001100100000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -001010000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 -000001001110000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 .logic_tile 4 7 -000000000000000001000000010001100000000000001000000000 -000000000000001001000011110000100000000000000000001000 -101010100110000001100010010000000001000000001000000000 -100000000000000000000110000000001111000000000000000000 -110000000000000001000000000011100000000000001000000000 -010010100000000000100000000000101000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000011010000001101000000000000000000 -000000000000000101100110100000001000111100000000000000 -000000000000000000010010010000000000111100000000100000 -000000001101000000000010001101000000000001100100000000 -000000000000100000000100000001001100000010100010000000 -000000000000000000000000011001000000000001100100000000 -000000000000000000000010101001001001000010100000000000 -010000000001000000000000011101000000000001100100100000 -100000000000100000000010101101001111000010100000000100 +000000000000000111000011100001011111000000000000000000 +000000000000000000010000001101001000000001000000000010 +101000000000001001000110011101000001000010100000000000 +100000000000000111100010000101001000000010010000000000 +010000000000001111100011101101100001000000010000100000 +000000000000001111100000001101101001000000000000000000 +000000000000000111000011000101101100000000000000000000 +000000000000001011000111001001001010000000100000000000 +000000000000011000000000000001011111000100000000000000 +000000000000100111000000001101001000000000000000000000 +000000000000000000000110101111000001000001010000000100 +000100000000000000000000001011101001000010010000000000 +000000000000000000000111000001011111010000000000000000 +000001000000001001000100001101001000000000000000000000 +111000000000000000000000000000000000000000000100000000 +000000000000000001000000000111000000000010000000000000 .logic_tile 5 7 -000000000000010111000000000000000000000000000000000000 -000000000000100000100011100000000000000000000000000000 -101000000000001001100000010101100000000000100000000000 -100000000001010001000011100011101000000000000000000000 -010000100000000111000010100101000000000001000000000000 -010001001000000000100110000111101010000000000000000000 -000000000000001000000010001000000000000010000000000000 -000000000000001111000000000101000000000000000000000000 -000000100001000111000000001000000000000010000010000000 -000000001000000000100000001111000000000000000010000000 -000000000000000000000111000011101011001100110010000000 -000000000000000000000111100000111101110011000000000000 -000100000000000111000000001000000000000010000000000000 -000000000010000000100000001001000000000000000000000000 -010000000000000000000010101000000000000000000100000100 -010000000000000000000100000001000000000010001000100000 +000000000000000111100110100011000000000000000000100000 +000000000000000011000010010001001101000000100000000000 +101000000000000001100000010101000000000000010000100000 +100000000000000011000011010101101001000000000000000000 +011110000000110101100110010111011110010100000000000001 +010101000110100011000011110101001110100100000000000000 +000000000000001111000011010001100001000000010000000000 +000010100000001001000010111011101011000000000000000000 +000001000000000001000011101111100001000000000000100000 +000000000000000000000011101101001000000001000000000000 +000100000000000101000000000111100000000000110000000000 +000000000000000111000011011111001000000000010000000000 +000000000000001011100000011101101011000000000000000010 +000000000000001011100011001101011111000001000000000000 +010101000000001101000111001011111101101100000100000000 +110100000000000011000000000001011100010100000000000000 .logic_tile 6 7 -000100001100000000000111101011011110001100000000100000 -000100001110100000000000000001111100000000000000000000 -101000000000000111000000000000000000000000000000000000 -110000000001011001000000000000000000000000000000000000 -011000000000000000000111101011001101000000000000100000 -110000000000000000010100000001101010000010000000100010 -000000000000001111100000001011100000000001000000000000 -000000000010000001000000000101000000000000000000000000 -001000000000000111000111101011011110000000000000100010 -000000000010000111000100000001111100001100000000000010 -000000000001001000000000000000000000000000000100000010 -000000000000001101000000001001000000000010000000100000 -000000001010000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -010000000000000000000000011000000000000000000100000010 -100000000000100000010010100001000000000010000000000000 +000010000001001011100011000111111001000000100000000001 +000000000000001111000110010001011000000000000000000000 +101000000000000000000011000111100000000001000001000000 +100000000000000101000010010101100000000000000000000001 +000010100000001011100000001101100001000001000000000000 +000000000000001011010000000101001011000000000010000100 +000000001100000001100011000001100000000001000001000000 +000100000000000101000010010001000000000000000000000110 +000000000001000001100110100101100000000010010000100000 +000010100000000000010000001111101110000011110000000000 +000000000001001000000000000001100000000000100000000000 +000000000000100001000000001011101111000000000000000000 +000000000000010011000110101101111000000000000001000000 +000000000000100000100011100011011001000000010000000000 +000000000000001000000110000101011000111000110100000000 +000000001110000001000000001001001100010100110000000000 .logic_tile 7 7 -000000000000001011000110001001101010100010000000000000 -000000000000000011000010000101011101001000100000000000 -101000001000000011000111111111011011100010000000000000 -100001000000000000000010001001011110000100010000000000 -010000000000000111000111100001000000000000010000001000 -110001001000000001100111100011100000000000000000000000 -000000100000000111000010010101011101100010000000000000 -000000000000001011100011110001011101000100010000000000 -000100100000001000000011111111011111000010000000000000 -000100000000000001000010110011111111000000000010000000 -000000000000001000000110001001011000000011000000000000 -000000000010001101000010000101111101000000000000100010 -000001000000000111000000010111001010001100110000000000 -000010000000001111100011110000001000110011000000000001 -010010000000001000000110001000000000000000000100000000 -100001001000001101000010011101000000000010000000000000 +000000000000001000000111111101001000010000000000000000 +000000000000010001000110001111011011000000000000000000 +000000000001000001100110011101100000000001000000000000 +000000000000100001000011101001101011000000000000000000 +000000001110001001100111000001001110000110100000000000 +000000000000001011000110000011111000001111110000000000 +010000000000000001000111110001111010101001000000100000 +110000000000000111100110001101101101010110100000100000 +001000000000000000000010011001111010001100000000000010 +000000000000001101000110100011011001001000000000000001 +000000000000010000000111001011001010111110010000000000 +000000000000000001000000001011111010111101010000000000 +000010000000000000000000000101111111000000000000000000 +000000000000001101000010100101001000010000000000000000 +000000000001000000000111001011100000000011000000000000 +000000000000100111000000001011001110000011010000000000 .logic_tile 8 7 -000000000001010111010000011101000000000001000001000000 -000000000010100000000010100001100000000000000000000000 -101000000000000101100111011001011000010000000000000000 -110000100000001011000111100111111101110000000000000000 -110000001110000111000111000111000000000000000000100000 -010000000000001011100011111111100000000001000000000000 -000000000000000111100110011101011011000110100000000000 -000000000000001011000010000101011000001111110000000001 -000010100000001000000110111101011011100010000000000000 -000001000000001111000111100101011101000100010000000000 -000000000100000000000000011101011101000010000000000000 -000000000001011111000011011001101111000000000000100000 -000000000000000000010110100000000000000000000110000000 -000000000000000000000111110011000000000010000000000100 -010001000000001000000000010000000000000000000100000100 -100000100000000001000011010001000000000010000000100000 +000000000000000111000110000001000001000001000000000000 +000000000000000000000000001101001011000000000000100000 +101000000000000001000110000101000000000000000000000000 +100000000000000001000011001001001100000000100010000000 +110000000000000111000110000001000001000000000000100000 +110000000000000000000000001101001011000010000000000000 +010100000001000000000010101011000000000000000000000000 +000100000010101101000110111101100000000001000000000100 +010000001110000011000000001000000000000010000000100000 +000000000000001011100010011001000000000000000000000000 +000000000001010000000000011000000000000010000001000000 +000000000000100000000010001101000000000000000000000000 +000001000000000000000111101001100000000001100100000000 +000000000100000000000100001011001100000010100001000000 +000001000000110000000000001001100001000001100100000010 +000010000001100000000000001001001010000010100000000000 .logic_tile 9 7 -000000100000000111100110010001011010000010000000000000 -000000000000100000100010001001111010000000000000000110 -101101000000001111000111111101001111100010000010000000 -100010000010000001000011101011101001000100010000000000 -110010100000000101100011001011000001000000000000000000 -100001000010000011000110111011101000000000010000000000 -000000000000001111000000010111000001000000000000000000 -000000000000011111000011110111101000000000010000000000 -000000000000001011100011110001000001000010000000100000 -000001000000000111000111010011001010000011000000000000 -000000000000001000000110101011011000000010000000100000 -000010000000001011000010010101111100000000000000000000 -000001000000000011000011101101000000000001000001000000 -000000001110001001000100000001000000000000000000000000 -110000000000000000000000000000000000000000000100000010 -010000000000000000000000001101000000000010000000000000 +000000000000000000000011011000000000000010000000000000 +000000000000000000000011111111000000000000000010000000 +101001000000000000000110001000000000000010000001000000 +100010000000000000000000001111000000000000000000000000 +000000000000000000000110010001100000000001000001000100 +000000000000100000000010001101100000000000000000000000 +001000000001000011000000011011000001000011110010100001 +000000000000000000100010000011101011000011010001000000 +000000000010000000000000000000000000000010000001000000 +000000000000000000000011111001000000000000000000000000 +000000001110000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000100000100000000000000000000000000000000000100000100 +000100000000000000000000001011000000000010000000000000 +000010000000010000000110010101100000000001110100000000 +000001000000100000000110011001001011000010100000000000 .ramb_tile 10 7 -000000000000000000000000000000000000110000 +000000000000000000000000010000000000000011 +000000000000000000000010110000000000000010 +000010100000000000000000000000000000010000 +000001000000000000000000000000000000000000 +010000000000000000000000000000000000001000 +010000000000000000000000000000000000010000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000100000 +000000000000000000000000000000000000001001 +000000000100000000000000000000000000000000 +000010000000000000000000000000000000000100 +000001000000000000000000000000000000000000 +000011000000000000000000000000000000010000 +000000000000000000000000000000000000000010 +000000000110000000000000000000000000001000 000000000000000000000000000000000000000010 -000000000001000000000000000000000000100000 -110000000000000000000000000000000000100010 -110000000000000000000000000000000000000000 -000000000000000000000000000000000000010100 -000000000000000000000000000000000000011000 -000000000000000000000000000000000000100010 -000100001110000000000000000000000000010000 -000010000000000000000000000000000000000000 -000011000000000000000000000000000000001000 -000000000000000000000011100000000000000100 -000000000000000000000000000000000000001000 -000000000000100000000000000000000000010010 -000000000000010000000000000000000000000000 .logic_tile 11 7 -000010001000000000000111000001101111000010000000000000 -000011000000000000000000000011111111000000000000000000 -000000000000000001100111000101000000000000000000000000 -000000000000001011000011010011101010000000010000100000 -000000000110010001100111011111000001000010000001000000 -000000001010100011000010001001001010000011000000000000 -000001000000000011100000010101011011000000000000000000 -000000000000001011100010000011101010100000000000000000 -000000000000100111000010010001111010000000000000001000 -000000000000010001000011110101010000000001000000000110 -000000000000000000000000000101011000010110100010000000 -000000000100000000000000001011101100101000010000000000 -000010000000001111000000000111101000010000000000000000 -000001000000000001000010001011011110110000000000000000 -000000000000001000000111100001101010111011110010000000 -000000000000000101000000001101011100110011110000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +101100000000000111000011000000000001000000001000000000 +100100000000000000000100000000001010000000000000000000 +111000000000000000000000000000000001000000001000000000 +100000000000001011000000000000001010000000000000000000 +000000000000001001100000000000000001000000001000000000 +000000000000001101000000000000001000000000000000000000 +000000000000000000000000000000001000111100000001000000 +000000000000000000000000000000000000111100000000000100 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000100 +000000100000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 7 -000000000000000001100111000101100000000000000000100000 -000100000000000111000011000001000000000001000001000100 -101000001110100111100000010101001100100010000000000000 -100000000001000011000010001101011110000100010000000000 -110000000000000111110111100000000000000000000000000000 -110000000000000111100011010000000000000000000000000000 -001000000000001111100000000001101111100010000000000000 -000110000100000111100011011111001110000100010000000000 -000001001110000000000000001001000000000000010000001000 -000000100000000000000011011001000000000000000000000000 -000000000000000000000000000001000000000000000000000010 -000000000000000000000000000101100000000001000001000000 -000000000000100011100111001101111000100010000000000000 -000000001010000011000011110101101011001000100000000000 -000001000001100000000000000000000000000000000110000000 -000010000000100011000000001001000000000010000000000100 +000000000000000011000000000001100000000000001000000000 +000000000000000000100000000000000000000000000000001000 +101001000001000001100000000000000000000000001000000000 +100000000000000000000000000000001000000000000000000000 +110000000000000000000000000011000001000000001000000000 +100000000000000000000011000000001110000000000000000000 +000100000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000111100000000000001000111100000000000000 +000000000000000000000000000000000000111100000001000000 +000000000000000000000111101000000000000010000000000000 +000000001010000000000100001011000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000111100000001000000000000000000100000000 +100000000000000000000011001111000000000010000001000000 .io_tile 13 7 000000000000000010 -000100000000001000 -000000000000000000 -000000000000011001 -000000000000100010 +000100000000000000 +000010000000000000 +000011110000000001 +000000000000000010 000000000000110000 001000000000000000 000000000000000000 000000000000000000 000100000000000000 -000001011000000000 -010000000000000000 -000000000001100000 +100000000000000000 +000000000000000000 +000000000000000000 000000000000000001 000000000000000000 000000000000000000 .io_tile 0 8 000000000000000000 000000000000000000 +000001011000000000 000000000000000000 +000000000000001100 000000000000000000 -000001010000000100 -000000001000000100 001100000000000000 000000000000000000 000000000000000000 @@ -1887,216 +1887,216 @@ 000000000000000000 000000000000000000 .logic_tile 1 8 -000001000000000000000010100111101011000001000000000000 -000000100000000000000010011001111110000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001100001000000110011000000000000010000000000100 -000000000000000001000010010011000000000000000000000000 -000000000000001001100000011011100001000010000000000000 -000000000000001001000010010101101011000000000000000000 -000001000000001000000000001000000000000010000000000000 -000000000000000001010000000111000000000000000000000100 -000000000000000000000011001101001100100001010000000010 -000000000000000001000010011011101111010110100000000000 -000000000000000000010000011001000000000000000000000010 -000000000000000000000011100101100000000001000000000000 -001000000000000101000000000101000000000000010001000000 -000000000000000000000010100101101111000000000000000010 +000100000000000000000000000011001100001000000000000000 +000100000000001001000011100011011101000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000001001000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000111011000000000000000000000 +000000000000000000000000000111001111001000000010000000 +000000000000000101000010100000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 +000000000000000000000000000001000000000001000000000000 +000000000000000000000000001111000000000000000000000000 +000000000010000101000011000000000000000000000100000100 +000000000000000101000010101111000000000010000010000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 8 -000000100000000111100000000101100000000000001000000000 -000000001010000000100010000000100000000000000000001000 -000010100000000000000111000000000000000000001000000000 -000001000000000000000000000000001000000000000000000000 -000000000001000000000000000000001001001100111000000000 -000001000000000000000000000000001110110011000000000010 -000000000000000111100000000000001001001100111001000000 -000000000000000000000011100000001001110011000000000000 -000010100000000000000000000000001001001100111000100000 -000000000000000000000000000000001010110011000000000000 -000100000000000000000000000000001000001100111000000000 -000100000000000000000000000000001110110011000000000100 -000000000000001000000000000000001000001100111000000000 -000000000000001011010000000000001001110011000000000010 -000000000000000001000000000000001000111100000000000010 -000000000000000000000000000000000000111100000000000000 +000000000000000000000110010011100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +101000000000001001100110010000000001000000001000000000 +100000000000000001000010000000001011000000000000000000 +110000000000000000000000000000001000001100111110000100 +000001000000000000000000000000001001110011000010000000 +000000000000000000000000010000001000001100111100000110 +000000000000000000000011000000001001110011000000000000 +000000000000000000000000000000001001001100111110000010 +000000000000000000000000000000001000110011000000000000 +000000000000000111000000000000001001001100111110000000 +000000000000000000000000000000001000110011000000100000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000100001 +110000000000000000000000000000001001001100111100000101 +100000000000000000000000000000001001110011000010000000 .ramt_tile 3 8 +000000000000000000010000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000010000000000000000000000000000000000000 000001000000000000000000000000000000000000 -000001001100000000000000000000000000000000 -000010000000000000000000000000000000000000 .logic_tile 4 8 -000000000000000111000110000111111001111111000000000000 -000000000000001001100011101111111000111111010000000100 -101000000001010001100110001101000001000010100000000000 -100001000000000001000000000101001110000001100000000000 -010000000000001111100010011101101010111100000000000000 -000000000000001011000011101011101000111000000000000000 -010000100000000011000110010011101011000001000000000000 -100000000000001011100011110101111011000000000000000000 -000000100000000000000000000001100001000001100010000000 -000000000000000000000000001001001010000010100000000000 -000010000000000000000000010111000000000010010000000000 -000001000000000000000010101101001000000001010000000000 -000000000000001001100111111111001111110000000001000000 -000000000000000001000110001101101000100000000000000000 -000000000000001000000000011111011010001100000110000001 -000000000000001101000011011111111001001110100000100000 +000000001100000001100110011101111010101100000000000000 +000000000000000001000011110011011001010100000000000010 +101000000000000001000111110001000001000000110000000000 +100000000000000001000011110011101111000000010000000000 +110000000110001111110000011101000000000010100000000001 +110000000100100011100011110101001100000010010000000000 +010000100000000101000000011111100001000000000000000000 +000001000110000001000011111011101001000000010000000000 +010010100001010101000000000101000001000000110000000000 +000001000010101001000000000111101101000000010000000000 +000000000000001000000010010001011000101100000000000000 +000000000000000001000110000001011011010100000000000010 +000000000000000101000010001001100000000001100100000000 +000000000000000000000100001011001010000010100000000000 +000000000000000000000111111001100000000001100100000000 +000000000000000000000111111111001001000010100001000000 .logic_tile 5 8 -000000000000000111000111100001000000000000001000000000 -000000000000000000000011010000100000000000000000001000 -101000000000000000000000000001000000000000001000000000 -100000001000000000000011000000101111000000000000000000 -110000000000000000000111100011101000001100111000000000 -110000000000000000000011010000101101110011000000000100 -001000000000001001100000000000001000111100000000000000 -000000000000000001000000000000000000111100000000000000 -000000001010000000000010111011000000000010000000000000 -000010001110000000000011001001100000000000000000000000 -000000000000000000000110100000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 -000000000000000000000110110101000000000010000000000000 -000010100000000000000111001101100000000000000000000000 -010000000000000000000000001000000000000000000110000000 -110000000001000000000000000101000000000010001000000000 +000010100110000000000011110111000000000000001000000000 +000001000000001001000010000000100000000000000000001000 +101010000000000000000000000011000000000000001000000000 +100001000000000000000000000000101001000000000000000000 +010010100000000000000010000000001000111100000000000000 +000001000100000011000000000000000000111100000000000000 +000000000000000000000000000001001000001100110010000001 +000000000000000000000000000000010000110011000000000100 +000000000001011000000010010000000000000010000000000000 +000000000110001101000111010011000000000000000000000000 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000001011100000000000000000000000 +000010100000000000000000010000000000000000000000000000 +000001000000000000000010110000000000000000000000000000 +110000000001010000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000010 .logic_tile 6 8 -000000000000000111000010110111100000000000001000000000 -000000000000000000100110000000001100000000000000000000 -101000000000000101000111110000001001001100111000000000 -100000000000000000100110000000001101110011000001000000 -010000000000000000000111110000001001001100111000000000 -110000001000000000000110100000001110110011000000000000 -000001000000000000000000000000001001001100111000000000 -000010100000000000000000000000001001110011000000000001 -000000000001001000000000010000001000111100000000000000 -000000000000000111000010100000000000111100000001000000 -000100001010001000000010100001100000000001100000000000 -000000000000001001000000000001101001000010100000100000 -000000000000000000000000000001100000000010010000000000 -000000000000000000000000001001001101000001010000000000 -110000000000001001000000000000000000000000000100000000 -010000000000000011100000001101000000000010000001000000 +000000001100001011100011111011100000000000000000000000 +000000000000000001000011000111100000000010000000000000 +000000000000001101100000000111000001000010000000000000 +000000000000001011000011001011101011000011000000000000 +000000000000001111100011110001001101000000010001000000 +000000001100000001000010000001101000000000000000000000 +000000000000001101100000011101000000000000010001000000 +000000101110001011000010000001101000000000000000000000 +000010000000000111000110001101100000000000000000000000 +000001000000000000100000000101001110000000100000000010 +000001000000000000000010000101001111000001000010000000 +000010000000000000000100000101101010000000000011000000 +000001000000000111000010000111100000000011010000000000 +000010100000000000100100000101101001000010100000000000 +000000000000000001000000000001100000000010000000000000 +000000000000000000100010011101100000000000000001000000 .logic_tile 7 8 -000000000000000101100000001001101010101010010000000000 -000000000000000001100010010101011001010110010000000000 -101000001100001111100010000000000000000010000000000010 -100000000000001111100100001111000000000000000000000000 -010100000000000000010011010111111001000010000000000010 -110000000000000000000010001111001000000000000001100100 -000000000000000001100000011011000000000001100010000000 -000000000000001001000010001001001101000010100000000000 -000000000000001000000010100000000000000000000000000000 -000000000000000001000100000000000000000000000000000000 -000000000000000000000110000001000001000010100000000000 -000000000000000000000000000001001010000001100000000000 -000000000000000000000011001011100000000000000000000011 -000100000000000000000011101111100000000011000000000000 -110000000000000011100110101000000000000010000100100000 -110000000000000111100000001101000000000000000000000000 +000000000000001001100000001011011001001100000000000000 +000000000000000101000011100001011010101100000000000000 +101000100000000001100011011001000001000000100000000000 +100000000000001111000011100001101110000000000000000000 +010000000001011000000111110001000000000000010000000000 +000000000000100001000110011111001000000000110000000000 +000000000000001001000110011111100001000000110000000000 +000000000000000111000010110011001010000000010000000000 +000000000000000111100000011111000001000011110010000000 +000010000000000000100010101101101001000011100001000000 +000000000000000101000000001111000000000001000000000000 +000000001000000000000000001001001011000011000000000000 +000000000000000011000110011011001010101100000000000000 +000000000000000000000011100001001101001100000000000000 +110000000000001011000000001101000000000001000110000000 +000000100000000111000000001101100000000000000000000000 .logic_tile 8 8 -000000000000000001100000011011000000000000000000000000 -000000000000001001000011111011000000000010000000000000 -101000000000000000000000000011011010001100110000000000 -100000000000000000000011100000110000110011000000000110 -011100000000001000000000000101011101000000010000000100 -010110000010000001000000000001011001000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000010111100000000000000000000000000000 -000000000000001111000010010101011101000001000000000000 -000000000000000001000111110001011001000000000000000000 -000000000000100000000011100011011111001000000000000101 -000000000000011001000011101011011011000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110101000000000000000011100000000000000000000100000000 -010000100000000000000000000001000000000010001001000000 +000000001110101001100111110011100000000001000000000000 +000000001101010001000111001001100000000000000000000000 +101000000000001111000110110011100000000001000000000000 +100000000000001111000011111101100000000000000000000000 +110000000000000001100111111011100000000001000000000000 +010000000000000000000111000101100000000000000000000010 +000000000001000101000010011011111000000010000000000000 +000000000010100000100111011001011101000000010000000100 +000000000000000101000011111111100001000000000000000000 +000000000000000000000111000111001000000010000000000000 +000000000000000000000110011101000000000010000000000000 +000000000010001001000010001101000000000000000000000000 +000000000000000101000000001001001000101001000100000000 +000000000000000000000000001101011110010101000000000000 +000000000000001001000000001001001010010101000100000010 +000000000000000111100000000001011100101001000000000001 .logic_tile 9 8 -000000000000000111100010001101000001000001100001000000 -000000000000000000100011100001101001000000110000000000 -101000000001000000000000000000000000000000000000000000 -100000000000000001000011000000000000000000000000000000 -110000000000000000000110000001000000000000000000000010 -100000000000000000000111011001001111000000010000000000 -010000100000000000000000000101000000000000000010000000 -000000000000000000000000001001100000000001000001000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000000000000000010000000000010 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000001000000 -000000000000000000000111100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001101010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001111100111001001100000000001000000000000 +000000000000000101000011100001100000000000000010000000 +000000000000000101100010011001011011011100000001000000 +000000001000000001000010001111011000001100000000000000 +000000000000001001100111000001000001000010000000000000 +000000000000000101000010110011101100000011000000000000 +110000000000000101100110001101111000011101000000000000 +010000000000000000000000001111011010011100000000000100 +000000000000001000000000010011101010000000000000100000 +000000000000001001000010001111011010001100000000000100 +000000000000001001100000000001001101011100000000000001 +000000000000001011000011000101111000011100100000000000 +010001000000001000000110000001000001000010000000000000 +110010000000001001000000000011101101000011000000000000 +000000001110000000000000010101000000000000100000000000 +000000000000000000000010110111101000000000110000000000 .ramt_tile 10 8 -000000000000001000000000000000000000000000 -000000010000101011000000000001000000000000 -101000001100000000000010001000000000000000 -100000010000000000000100001001000000000000 -000000001100000000000000001000000000000000 +000000000000000000000111001000000000000000 +000001010000000000000100001101000000000000 +101000000000000000000000000000000000000000 +100000010000000000000000001001000000000000 +000000000000000000000000001000000000000000 000000000000000000000000000101000000000000 -000000001010000111100000001000000000000000 -000000000000000000000000001111000000000000 -000000001110000101100000010000000000000000 -000000001100000000000010101111000000000000 -000000000000100000000000000000000000000000 -000000000001011001000000000011000000000000 -000000000000001000000110100000000000000000 -000000000000000101000000001011000000000000 -110001000000000000000000010000000000000000 -010000100000000000000010100111000000000000 +000001000000000111000111000000000000000000 +000000100000000000000000001111000000000000 +000000000010000000000110100000000000000000 +000000000000000000000000000111000000000000 +000001000000000000000000000000000000000000 +000010100000000111000000001011000000000000 +000000000000000101100000011000000000000000 +000000000000000000000010100111000000000000 +110000000000000101100000011000000000000000 +010000000000000000000010101111000000000000 .logic_tile 11 8 -001000000000000111100000010000000000000000000100000000 -000000000000000000100011110101000000000010000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 101000000000000000000000000011100000000001000100000000 -100000000000000011000000000001100000000011000000000000 -010000000000000111100000000101100000000001000100000000 -000001000000000000100000000101100000000011000000000000 -000000000000000000000000000001100000000001000100000000 -000000000000000011000010000001000000000011000000000000 -000000000000000000000000000000000000000000000110000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000011000000000000000000100100000 -000000000000000000000011100101000000000010000000000001 -000000000010000000000000010111000000000001000100000000 -000000000000000000000010100101100000000011000000000000 -000000000000100000000000000000000000000000000101100001 -000000000001010000000000001111000000000010000000000000 +100000000000000000000000000011000000000011000000000000 +110000000000000001000000000001000000000001000100000000 +000000000000000000000000000101100000000011000000000000 +000000000010000000000000000111100000000001000100000000 +000000000000000000000000000011000000000011000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000001000000000001000100000000 +000000000000000101000000000011100000000011000000000000 +000000000000010000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000000001000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 .logic_tile 12 8 -000010100000000000000000000011100000000000000000000100 -000000000000000011000000000001100000000001000001100000 -101000000001000001000111101011000001000001000000000010 -100000000000100001000110000011001001000000000000000000 -110000000000000011000000000111000001000001010000000000 -100000000000000000000000000101001000000010010000000000 -010000000100100000000111101001100000000001000000000000 -000000000011000000000100000001100000000000000000000000 -010000000000000000000000001111000001000000000000000100 -000000000000000011000000000111001011000000100000000100 -010000000000000101000011001000000000000000000110000000 -100000000000000000100100000011000000000010000000000000 +000000001000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +101000000000000001100000000000000000000000000000000000 +100000000000000001000000000000000000000000000000000000 +110000000000000000000000000001100000000000000000100010 +000000000000000000000000001011000000000011000000100000 +110000000000001001100000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 +000000000000000000000000001111000001000001100000000000 +000000000000000000000011100001101010000000110000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000010000000000101000000001000000000000000000100000000 -000000000000000000100000001001000000000010000010000000 .io_tile 13 8 -000000000000000010 +010000111000000010 000000000000000000 -000000011000000000 -001000001000000001 -000001110010001101 -000000001001000000 +000000000000000000 +000000000000000001 +000001111000000101 +000000000001000100 001100000000000000 000000000000000000 000000000000000000 @@ -2109,9 +2109,9 @@ 000000000000000000 .io_tile 0 9 000000000000000000 -000000000001100000 000000000000000000 -000000000000001000 +000000000000000000 +000000000000000000 000000000000001100 000000000000001000 000100000000000000 @@ -2125,226 +2125,226 @@ 000000000000000000 000000000000000000 .logic_tile 1 9 -000000000000000000000010000111100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -000000000000000111000000000011000001000000001000000000 -000000000000000000000000000000101000000000000000000000 -000000000000000000000000000111100000000000001000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000111000000000001000001000000001000000000 -000000000000000000000000000000101000000000000000000000 -000000000001010000000000010001000001000000001000000000 -000000000000000000000011000000101010000000000000000000 -000000000000001000000110100011100001000000001000000000 -000000000000001111000000000000001000000000000000000000 -000000000000000000000000000011100000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000000000000000000010000001000111100000001000010 -000000000000000001000010100000000000111100000000000001 +000000000000000011000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101010100000001000000000000101000000000000010000000000 +100001001010000001000011110101001011000000000010000000 +110000001110001111100000010000000000000000000000000000 +000000000000001101000011010000000000000000000000000000 +000100000000000001000000010001100000000000100001000000 +000100000000000000100010000001001001000000000000000000 +000000000000000000000000000000001001001100110000000000 +000001000010000000000000000000011001110011000000000000 +000000000000000000000110111011111111000100000000100000 +000000000000000000000010100111101011000000000000000010 +000000000000000000000000000000011101001100110100000001 +000000000000000000000000000000011010110011000000000000 +110010000000001101100000000000000000000000000000000000 +100001000000000101000000000000000000000000000000000000 .logic_tile 2 9 -000000000000000000000000001000000000000010000010000000 -000000001010000000000011100011000000000000000000000000 -101010100001010001100110000000000000000010000000000100 -100000000000000000000011100011000000000000000000000000 -010000000000000000000010000000000000000010000000000100 -010000000000000000000100001011000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000100111000000010000001110001100110000000000 -000000000001010000100011010000000000110011000000100000 -000000100000000000000000010101000001001100110100000000 -000000000000001001000010000000101000110011000000000010 -000000000000000000000000001001100000000000000100000000 -000001000000001001000000001011000000000011000010000110 -010000000000000000000000000000011001001100110100000000 -100010000000000000000000000000011001110011000010000001 +000000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000000110000 +101000000000001001100000010000001000001100111100100100 +100000000000000001000010000000001000110011000000000000 +110000000100000000000000000000001000001100111100000000 +000000000001010000000000000000001001110011000000000000 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001001110011000000100000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000000000 +110011100000000000000000000000001000111100000000000000 +100011100000000000000000000000000000111100000000000000 .ramb_tile 3 9 +000001000000000000000000000000000000000000 +000000110000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001101000000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001001000000000000000000000000000000000000 -000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -.logic_tile 4 9 -000000000001001011110011000001000000000000000000100000 -000000000000000001000111000101100000000010000000000000 -101010101100000011100111111111011000000000110000000000 -100000000000000001100110111011011011000000100000100000 -110000000000000111100011010011011011001111110000000000 -010000000000000000100110001001111000011111110000000000 -010000100000000000000011100000000000000010000000000000 -100001000000000000000011110011000000000000000000000010 -000000000000011011100011110001100001000000000100000100 -000000001000001011000011110001001011000000100000000000 -000010000000000000000111000111001010111000000100000000 -000001000000000000000100001001101000010100000000000100 -000000000000000101000110100001111000111000000101000000 -000000000000000000000100001101011100010100000000000000 -110010000001010000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000000111100000000001000000000000001000000000 +000000000000000111110011010000000000000000000000001000 +101000000000001000000011010001000000000000001000000000 +100000000000001001000010000000001101000000000000000000 +010000000000000000000000000001101000001100111010000001 +010000000000000000000000000000101111110011000010000010 +000000000000000000000000000001101000001100111001000000 +000000000000000000000000000000001101110011000000000010 +000001100000000111100111100000001000111100000000100000 +000100100010000000100100000000000000111100000000000000 +000000000000000111000000000001100000000000000000100000 +000000000000000000100000000111101100000010000000000000 +000001000000001000000111100001000000000000000000000001 +000000100000000101000100000001000000000011000001000000 +000000000000000000000000001000000000000000000100000001 +000000000000000000000000000011000000000010000000100100 .logic_tile 5 9 -000000000000100101000111111101000000000000000000000100 -000000000000000000100110001101100000000001000000000000 -000000000000000001000110000101001010010110100001000000 -000000001000000001000011000011011111101000010000000000 -001000000100000101000111100001000001000000100000000000 -000000000000000000100011001101001010000000110000000000 -011000000000000001100110001001000000000000010000000001 -100000000000000101000010111101101110000000000000000000 -011000000010000101100000000001100000000000000000100010 -100000000000000000000000001001100000000010000000000100 -000000000110000111100000001001101110000011100000000000 -000000000000000000100000000011001001000011110000000000 -000000000001010101100000001101100001000010110000000010 -000000000000101011000011110001001001000001010000100000 -000010000000000000000000001101000000000010110000000001 -000001001110000000000000000001001111000001010000000000 +000000000000000001000010001101100000000001000001000000 +000000000000000000100110000011101010000000000000000000 +101010100000000001100111000001000000000011110000100100 +100000000000000001100111011001101101000001100000000000 +010000000000000111100111111101100000000001010000000000 +110000001100000000100110101011001101000010010000000001 +010000000000001001100010000001100000000000010000000000 +110000001000001111100011010001101011000000000001000100 +000000000000001000000111011111100000000000010001000000 +000001000000000101000011110001001110000000000000000010 +000000000000000111100000010000000000000000000000000000 +000000100000000001000010000000000000000000000000000000 +000110000000000111100011000111011101101100000100000000 +000101000000000000110111000011101010010100000000000000 +110000000001000011100000001111011011101100000100000000 +110000000000000101100000001001111000010100000000100000 .logic_tile 6 9 -000100000000001000000011100101000000000010010000000000 -000100000000000111000010001001101010000001010000000000 -101010000000001011100110001101100000000000100001000000 -100001000010001011100000000011101100000000000000000100 -010000000000001001000110010011000001000010100000000000 -000000000000000001100010001111101000000001100000000000 -000000000000001011000110001111000000000011000001100011 -000000000000001011100010001001000000000001000000000000 -001000000000001011000111100101100001000000000000100000 -000000000000001011100000000011101100000000100000000001 -000000000000010101000000001101100001000010100000000000 -000000000000001001100000000001001010000001100000000000 -000000000000000011000111100101000000000001100000000100 -000000000000000000110100000001001011000010100000000000 -000000000000000000000000000000000000000000000100000010 -000000000000000000000000001001000000000010000000000000 +000000000000000101100111110001100000000000110000000000 +000000000000001011000110000101001000000000010000000000 +101000001110001101000111010101100000000000110000000000 +100010000000001001000011000001001101000000010000000000 +010000000000001101100110110001100000000000110000000000 +110000000000000011000110110101001100000000010000000000 +000000000000000101000111000111100000000000000000000001 +000000000000000101010000000111101010000000010000000100 +000000000000000001100011100101001000001100110010000110 +000000000000000001000100000000110000110011000000000001 +000001100010000000000010001001100000000001000010000010 +000010100000000000000000000101100000000000000000000001 +000000000001011000000011000011111001101100000100000000 +000000000000100111000000001001111010010100000000000100 +010000000001000000000011100111011011101100000100000000 +010000000100000000000100000011111000010100000000000000 .logic_tile 7 9 -000000000000000111000010010001001010111100000000000000 -000000000000001001000010000111011111011100000000100000 -101101000000001001100000011001011101010110100001000000 -100000100110011011000010110001111010101001000000000000 -110000000000000001000010001001000001000001000000000000 -010000000000001011100111001101101010000000000000000001 -000010100001011001000111011011011010000000110000000000 -000000000000101001100110111101001001000000100000000000 -000010100000000111000000000111100000000000010000000000 -000001000110000101000011111101101000000000000000000000 -000000000000000000000010010001111100110000000000001000 -000000000000001101000110000011100000100000000000000000 -000000000000000101100110000011111111000000000000000000 -000000000000000000000000000101101000001000000000000100 -010000000000001000000000000000000000000000000110000000 -100000000000001011000010100101000000000010000000000000 +000000000000000101000010001001100001000011000000000000 +000000000000001101100110101101101000000011100010000000 +101000000000000000000110001101101001010110000000000000 +100001000000001001000000000111011010101001010000100000 +010010000000000000000111010111011011101100000000000000 +110001000100000000000010001111011110001100000000000000 +000001000010001001100010000111000001000000100000000100 +000010000000000001000010100001101100000000110000000000 +000000000001000000000010000001000000000000110000000000 +000000000010000000000111101011101110000000010000000000 +000000000000000101100000000001000000000000110000000000 +000000000000000000000000001001001011000000010000000000 +000010000000001001100111001001100000000001000000000000 +000001000000000011100000001101100000000000000010000000 +000000000000001101100000000000000000000000000100000000 +000000000000001001000000001011000000000010000001000000 .logic_tile 8 9 -000000000000000000000011011101000001000000010000000000 -000000001000101101000011101011101010000000000000000000 -101000000000000101000111010001000000000010000000000000 -100000000001011011000010100101001111000000000000000000 -110000000000001111100110001111011001110100000000000000 -010000000000000001000010100001001011101000000000000000 -000000000001010001100111111011001001101000010000000000 -000000000000100101000111111101011001010110100000000000 -000000001100001000000010010101100000000010000010000000 -000000000000000101000111111111000000000000000000000000 -000100000000000000000000011111101010110110100000000000 -000000000000000000000010001101101101101101010000000000 -001000001011001000000110111001000000000011000000000000 -000000000000100101000110001001101011000001000000000000 -010000000000100000000111001000000000000000000100000000 -100000000001000000000110101111000000000010000000000001 +000000000000001001100000011111100001000010000000000000 +000000000000000001000010000101001001000000000000000000 +101000000000000011100010010011100001000000000000000000 +100001000100000001100011110011001010000000100000000000 +110000000001010011100000000101100000000001000000000000 +010000000000100101100000000011100000000000000000000000 +010001000000001001000010010001001011000000010000100001 +000000100000001111100011010101101000000000000000000000 +000000000011000001000000010101011001011100000000000000 +000000000000100111100011001001001011111000000000000100 +000000001010000000000110011101000000000001000000000000 +000000000011011001000010001101000000000000000000000000 +010000000000000000000000001001100000000001100100100000 +000000000000000000000000001011101000000010100000000000 +000000000000000000010000001001100000000001100100000000 +000000000000001001000000001011001000000010100010000000 .logic_tile 9 9 -000010100000001000000110011011100001000000000001000000 -000001001100000011000011110101101101000010000000000000 -000000000000000111100111110111100000000001000001000000 -000000000000000000100111110101000000000000000000000000 -000000000000001000000111111001000001000000010000000000 -000000000000000001000111110011101110000000000000000000 -000000000000000111100000011111100001000000100000000000 -000000000000000111100011111111101000000000110000000000 -000000000000000000000111000101011001000000000000100000 -000000000010001011000100001111111001000010000000000000 -000000000000001001100111110001001011000010000000000000 -000001000000001001000011111011111011000000000000000000 -000000000001000000000111000101100000000000110000100000 -000000000010000000000100000011001000000001100000000000 -000000000000101001100111111101111111000000010000000000 -000000001101001001000011110111011100000000000000000010 +000000000000001000000110010101101010000000000000000000 +000000000000000101000011110001101001000010000000000000 +101000000000000001000110011001100000000000000000100001 +100100000000000001000011100111100000000010000000000000 +110000000000000111100000001101000000000000000000000001 +000000000000001001100011000011100000000001000000000001 +110000000000000000000000001001100001000001010000000000 +000000000000000000000010001001001000000010010000000001 +110000000000000000000000000000000000000000000100000100 +010000000000000000000000000101000000000010000000000000 +000001000000001000000000000000000000000000000000000000 +000010100000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000101000000 +000000000000000111000000001001000000000010000000000000 .ramb_tile 10 9 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000010000000000000000000000000000000000000 -000001000010000000000000000000000000000000 +000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000011000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 .logic_tile 11 9 -000000000000000000000000010011000001001100110000000001 -000000000000000000000011010000001101110011000000000001 -101000000000000000000010101011001111010000000000000000 -100000000000000011000010011111111011000000000010000000 -010000000000000000000000001111000000000010000000000011 -010000000000001111000000000101101100000000000000000000 -000000000000000001100000000000000000000000000000000000 -000000000000001011010010000000000000000000000000000000 -000001000000000011100000011101100000000001000000100000 -000010100000000111000011110001000000000000000000000100 -000010100000000000000000001001100000000000000010000000 -000001000000000000000010001001100000000011000000000000 -000000100000000000000111100000000000000000000000000000 -000001001010000000000110100000000000000000000000000000 -000000001010000000000000010000000000000000000100000000 -000000000000000000000011010101000000000010000010000000 -.logic_tile 12 9 -000000000000001000000000000000000000000000000000000000 -000000000010001011000000000000000000000000000000000000 -101000000010000001000000001000000000000010000000000000 -100000000000010000000000000001000000000000000000000000 -010000100000000000000111100000000000000000000101000100 -110001000000000101000100000001000000000010000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000001010000000000000000000000000000000101000000 +000000000000000000000000000001000000000010000001000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000111000000001000000000000000000100000000 -100000000100000000000000000011000000000010000011000000 -000001000000101000000000000000000000000000000100000000 -000000100001010011010000000101000000000010000000000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010001100000000000000000000000000000000000000100000010 -100001100000110000000000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000101000000100000000000000000000000000000000100000000 +000000000001010000000000001101000000000010000001000000 +.logic_tile 12 9 +000000000000000000000110000011100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +101001000000000101000000000000000001000000001000000000 +100000100000000001000000000000001000000000000000000000 +110000000000000000000000010000001001001100111000000100 +000000000000000000000010000000001011110011000000100000 +110000001100001011000110000000001000111100000000000000 +010000000000000001000000000000000000111100000000000000 +000000000000000000000000001101000001000001010000000000 +000000000000000000000000001101101000000010010000100000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001001001100110000000000 +000000000000000000000000000000011010110011000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 .io_tile 13 9 -000000011000000000 -000100001000000000 -000001011000000000 -000000000000000000 -000000000000000100 000000000000000000 -000100000000001000 +000100000000000000 000000000000000000 000000000000000000 +000000000000001100 +000000000000001100 000100000000000000 -000000000000000010 -000000000000110000 000000000000000000 -000000000001101001 -000000000000000010 000000000000000000 +000100000000000000 +000000000000110010 +000000000000010000 +000000000000000000 +000011110000000001 +000010000000000010 +000010010000000000 .io_tile 0 10 000000000000000000 000000000000000000 @@ -2363,209 +2363,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 10 -000000000000000011000000000000000000000010000000000010 -000000000000000000100000001101000000000000000000000000 -101000000000000001000000001000000000000010000000000010 -100000000000000000000000000011000000000000000000000000 -010000000000000000000010000101111001010000000000000000 -000000000000000000000000001111101011110000000010000000 -000000100000000000000010100000000000000000000000000000 -000001000000000000000011000000000000000000000000000000 -010000000000000000000000001000000000000010000000000000 -100000000000100000000000001011000000000000000000000100 -000000000000000001000000010000000000000000000000000000 -000000000000000000100010100000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000001100000000000010000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000110100000000000000000000000000000 +000000000000001011000100000000000000000000000000000000 +000000000000000000000000001111100001000000000000000000 +000000000000000000000000001111001100000000100001000000 +000000000000000000000011001000000000000000000110000000 +000000000000000111000100001011000000000010000000000010 .logic_tile 2 10 -000000000000000000000000000011000000000000001000000000 -000000000000001111000011100000100000000000000000001000 -101000000000001111100011100011100001000000001000000000 -100000000000001011110000000000001000000000000000000000 -010000000000000000000000000001101001001100111100000100 -010000000000000000000000000000101010110011000000000001 -001000000000000111100000000001101001001100111100000100 -000000000000000000100000000000001000110011000000000000 -000000000000000000000011100111101001001100111100000000 -000000001000000000000000000000101010110011000000000000 -000000000000000000000010000001001001001100111100000000 -000000000000000001000100000000001000110011000000000000 -000000000000000000000000000011101001001100111100000000 -000000000000000000000000000000101010110011000000000000 -010000000000000000000000000000001000111100000000000000 -100000000000000000000010010000000000111100000000000000 +000000000000000001000010000001000001000000110000000000 +000000000000000000000011011001001011000000010000000010 +101000000000001000000000000001100000000000100000000000 +100000000000000111000000001101001001000000000000000001 +111010100000000000000000001000000000000000000110000000 +010001000000000000000011111011000000000010000000100000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 10 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001100000000000000000000000000000 +000000100001000000000000000000000000000000 +000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 10 -000100000000001111100010000101011101001000000000000000 -000100000000000111100010010111001100001100000000100000 -101000000001010001000111000001111000000011000000000000 -100000000000000001000010011011011111000010000000000000 -010000000000001101000110011001100000000000000000000000 -110000000000001111000011101011000000000001000000000001 -010000100000000000000010011101000000000001000000000000 -100001000000000001000010001101001011000000000000000000 -010000000000001001100011100111011101110000000000000000 -100000000000000111000000000111111000010000000000000001 -000000000000001001100010011001000001000000010000000000 -000000000000000001000011000101001001000000000000000000 -000000000000000011000000010111101100110100000000000000 -000000000000000000000010100011101001111100000000000000 -010000000000000001000000000101111110110011110100100000 -100000000110000000000011101001101000110111110000100000 +000000000000001000000110000000000000000000000000000000 +000000000000001011000111100000000000000000000000000000 +101000000000000111100011100011000000000010100000000000 +100000000000000001000010101111001110000010010000000000 +110001001110000000000111111101100000000000110000000001 +010000100000000000000111010001101101000000010000000000 +010000000000000111100111010001100001000000000010000000 +110000000000000000100011101001101100000010000000000000 +000000000000001111100111010011000000000001010100000000 +000000000000001111100011111101101001000010011001000000 +000000000000001001000000001101100001000001010100000000 +000000001110001111100000000001101001000010011000000100 +000000000000100000000000011101000000000001010100000000 +000000000001000011000011000011101001000010011000100000 +010100000000000000000000000001000001000001010100000000 +110100000000000000000000000011001001000010011000000000 .logic_tile 5 10 -000010100000111101000010010111011010001000000000000000 -000001000000000001100010001001001011000000000000000000 -000000000000000001000010000101100000000001000000000000 -000000000000000001000100001001100000000000000001000000 -000000000000001001100110110101000000000001000000000000 -000000001100000011000110100101000000000000000000000000 -110000000000001000000010011011100001000010100000000000 -010000000000000001000110000001001001000001110000000001 -010000001110001000000000001111100000000000000000000000 -100000000000001001010000000001100000000001000000000000 -000000000000100000010000000101100000000010000000000010 -000000000101011001000000001101100000000011000011000000 -000000000000001000000000000101100000000011010000000000 -000000000000001001000000000011001001000011000000000000 -001000000000000000010000001001000000000000000001000000 -000000000000000000000010001101001000000000100000000000 +000010000000001101000111000011100000000010100000000000 +000000000000000001000000000101001101000010010000000000 +101000001110000111000110010001100001000000110000100000 +100000000000001001100011110001001110000000010000000000 +010000000000000111100000000000000000000000000000000000 +000000000000100101100010100000000000000000000000000000 +000000000000001000000000000011100000000001000000000000 +000000000000000001000000000101101100000000000000100000 +000000000110001111000000010101000000000010100000000000 +000000000000000101000010010011101101000010010000000000 +000000000000000001100000010001100001000001010000000000 +000100000000000000000010011001101001000010010000000000 +000000100000000000000000000101101101000010100000000000 +000001000000000000000000000001001101000001100000000010 +110000100000001000000000001000000000000000000100000000 +000000000000001111000000000001000000000010000000000000 .logic_tile 6 10 -000000000010000111000110110101011000000010000000000000 -000000000010001001000010001101111000000011000001000000 -101001000000000111100111001101100000000000000000000000 -100010100000000000100100000001001000000010000000000000 -010000000000000101100111110001000000000000000000000000 -010000001000000000000010010101100000000001000000000000 -000000001100001011100111111101100000000000010000000000 -000000000000001101100110001101101001000000110000000000 -000000000000000000000010100101111101000000000000000000 -000010000000000111000010101111011110010000000000000000 -000100000000100011000111001111001100000000010000000000 -000000000001010000000000000111011101000000000000000010 -000000000000000001100111000111100000000011000000000000 -000000000000000000000000000011100000000000000000000100 -010000100000001011100000001000000000000000000100000010 -100000000000000001100011000011000000000010000000000000 +000000000000000111000111101011100000000001010000000000 +000000000000001111100011011101001000000010010000000000 +101000000000000001110000000001111010000000000000000000 +100000000001110001000000001001001100100001000000000010 +010000001110000001100010110001000000000000000001000000 +010000000000000000000010000101000000000010000000000000 +010000000000001011000010100101100000000011000000000100 +110000000000000001000000000011000000000010000001000000 +000000000000000011100111010111000000000000000000100001 +000000000000000000000010111011000000000010000000100011 +000000000000000001000000001001100000000010000000100000 +000000001100000000100000000101001111000011000000000000 +000000001110001000000110010101011001110000000000100010 +000000000000000111000010101101101000010000000000000000 +110000000000000000000000000111000000000011110101000000 +000000000000000000000000001001101101000010110000000000 .logic_tile 7 10 -000000000000000001100111011001001001000000010000000000 -000000000110000000000110000011011000000000000000000100 -101000000000001001100010010111000000000000110000000000 -100000001010000111000111011101101010000000100000000000 -110000000000000000000110111001100000000001000000000000 -010000001110001001000010000111000000000000000000000000 -000000001110101000000010011011111010000000000010000000 -000000000000001001000110000101111111000010000000000000 -000000000000000011000000010011011010101100000000000000 -000000000000000111100011000011011001001100000000000000 -000100000000001000000010011111011001000000000000000000 -000000000000000001000110101111011101001000000000000000 -000000000000000001000110000101111001000001000000000000 -000000000000001001000010001101011101000000000000000000 -000000000000000101100000000000000000000000000100000100 -000000000000000000000010000111000000000010000000000000 +000000000000011011100111000101011100000000010000000000 +000000000001011011100011110111011000000000000000000100 +000001000000001001000111110001011101010000000000000000 +000010100000000111000111100011111101000000000000000000 +000000000000000101100110100101100000000001000000000100 +000000000000001101000000000101000000000000000010000000 +000000000000001001100111000011100001000000100000000100 +000000000001110111000000000111001111000000110000000000 +010001100001000011100000001111000000000011000000000000 +110001100000000000100011000001001010000011100000000010 +000000000000001000000011110101101101101001010000000000 +000000000000001101000111100001111001010110000000000000 +000000001101000101010110001111111000000000000000000000 +000000000000100001100100000101001011100000000001000000 +000000000001001000000000011111011000001100000000000010 +000000000000000001000010100101111001101100000000000000 .logic_tile 8 10 -000010000000100011100000001101100000000000000001000000 -000000000100011001000010001001100000000010000001000000 -101000000001001011100111010000000000000010000000100100 -100000000000000001000110001101000000000000000000000000 -010000000000000001100011110000000000000000000000000000 -010000000000000000000011010000000000000000000000000000 -000010000000010001100000001001000000000011000000000000 -000001100000100000000011100001000000000000000000000100 -000000000000000111000000000000011100001100110000000000 -000000001000000000000011100000011010110011000000000000 -000000000001000001010111101011101101000010000000000000 -000000000010000001000010010011111001000000000000000010 -000000000000100000000011101111100000000001000000000000 -000001000001010000000000000101101011000000000000000000 -110100000000100000000000000111011000111001110100000000 -010100100111000000000011000011101000111010110000000100 +000000000000010111100111110001000000000000000000100000 +000000000000001011100110101001100000000010000000000000 +101000001001000001010111111101011000101100000000000001 +100000000000000001000010001101111000001100000000000000 +110000000000001011100111111011100000000000000000000000 +110000000000000111100110010101001010000000010000100000 +010000000000000011100111110011000001000000010000000000 +110000000000001011100111010011101001000000000000000010 +010001000000000011100011100001101011000000000000000010 +110010000000000000100111100001001100100001000000000000 +000000000000000001100000001001100000000000100000000000 +000000000001001111000000000101001101000000110000000000 +000000000000001000000010001111011100001100000000000000 +000000000000000101000000001101001000101100000000000000 +110000000000000000000000000000000000000000000100000001 +000000000000000000000000001101000000000010000000000110 .logic_tile 9 10 -000001001100000111100000000011100000000000010000000000 -000000000000010111100010100111101001000000110000000000 -101000000000001011000111100001100001000001010011000000 -100000000000001111100010110001001011000010010000000000 -110001000000001111000010100001111001010110100000000001 -010000000010000001000111011001011101001001010000000000 -000010100000000011000000000101101111010110100000000001 -000000001100000000100010110101011110001001010000000000 -000000000000000101100000010101000001000001010000100001 -000000000100000000110011110101101001000010010000000000 -000000000000000011000010001001000001000000000000000000 -000000000010010000000110001111001100000010000001000000 -000000100110000001000010010111000001000001010000000000 -000000000000000000100011011101101001000010010000000001 -000100000000000000000000000000000000000000000100000000 -000000000000000001000011000011000000000010000010000010 +000000000110000111000000001101111101000000000000000000 +000000000000000101100010100101001011000000010000000000 +101010000000001001000000000101111001111111000001000000 +110001000000000111000000000001001000111111010000000000 +010000000000001000000010000011000000000011110000000000 +000000000000000011000010100001001101000001110010000000 +000000000000010011000110110000000000000000000000000000 +000000000000010011000011010000000000000000000000000000 +010000001000000111100000010000000000000000000000000000 +110000000000000000000011110000000000000000000000000000 +001010100000000011100000001001000000000010000100000011 +000001000000000000000000001101100000000011000000000000 +000000000000000000000000000101000000000010000100000000 +000000000000000000000000001101100000000011000000100100 +110000001010000000000000000000000000000000000101000000 +000000001110000000000000000101000000000010000000000000 .ramt_tile 10 10 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000100000000000000000000000000000 -000001000001010000000000000000000000000000 +000010000010000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 .logic_tile 11 10 -000000000000000000000010100101000000000000001000000000 -000000000000000000000110010000100000000000000000001000 -101000000000000111100011100001000000000000001000000000 -100000000000000000000011000000101101000000000000000000 -110000000000000111000000000000001000111100000000000000 -010000000000000000000010010000000000111100000000000010 -000000000000000111100000000011100000000010000000000000 -000000000000001011100000001111100000000000000000000001 -000000000000000011100011111001001100010110100000000000 -000000000000001111100111100101101010001001010001000000 -000100000000000000000000011011000001000000010000000100 -000000000000000000000011100001001001000000000000000000 -000000000000000000000000001000000000000000000110000001 -000000000000000000000011111001000000000010000001000000 -010000000000000000000000001000000000000000000101100000 -100000000000000000000000000101000000000010000000100000 +000001000000000011000111100000000000000000000000000000 +000010000000000001100100000000000000000000000000000000 +101000000000000001100110000001111001001111110010000000 +100000000000000111000010001001011001101011110000000000 +110000000000000011000000010001101010001100000100000000 +000000000000000000100010000101011000001110100001000101 +000001000000000000000000000000000000000000000000000000 +000000100000000111000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100100000 +000000000000000000000000000011000000000010000000000001 +010000000000000000000000000000000000000000000110000000 +110000000000001111000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100100000 +000010000000000000000000000101000000000010000000000000 .logic_tile 12 10 -000000000000000000000000011101000000000000100000000010 -000000000000000000000010000111101100000000000000000000 -101000000000001000000000001001000000000000010000000010 -100000000000000001000000000011101110000000000000000000 -110010100000001000000000010111100001001100110000000100 -100001000000000001000010000000101011110011000000000000 -000000000100000000000111000000000000000000000000000000 -000000000010000000010000000000000000000000000000000000 -000000000000001000000000001101000000000000000000000100 -000000000000000011000000000111101100000001000000000000 -000000000000001001100000001001000000000000000000000100 -000000000000000111100000000011101110000010000000000000 -000000000000001000000000001000000000000000000100000010 -000000000000000011000000000001000000000010000000000000 -110000000000000001100000010000000000000000000100000000 -010000000000000000100011100101000000000010000001000000 +000010100000001000000000010000000000000000000000000000 +000001000000000011000011000000000000000000000000000000 +101000000001000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011110001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001011000000000010000000100000 +000001100000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 .io_tile 13 10 000000000000000000 000100000000000000 @@ -2574,7 +2574,7 @@ 000000000000000000 000000000000000000 001100000000000000 -000000000000000000 +000000000001100000 000000000000000000 000100000000000000 000000000000000000 @@ -2585,7 +2585,7 @@ 000000000000000000 .io_tile 0 11 000000000001100000 -000000000001100000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2601,222 +2601,222 @@ 000000000000000000 000000000000000000 .logic_tile 1 11 -000000000000000000000000000101100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000010011100000000000000001000000001000000000 -000000001110000000100000000000001111000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001110000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000001101000000000000001110000000000000000000 -000000000000000000000000010000000000000000001000000000 -000000000000000000000010100000001101000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000101100000000000000000000000001000000000 -000000000000000000000000000000001110000000000000000000 -000000000000001101100000010000001000111100000000000000 -000000000000000101000010100000000000111100000000000100 +000000000000000011100000001000000000000010000000000000 +000000000000000111100000001101000000000000000000000100 +101000000000000000000000000000000000000010000000000000 +100000000000000000000000000001000000000000000000000100 +110000000000000000000000010000000000000000000000000000 +010000000000000001000010010000000000000000000000000000 +000000000000000000000010100000000000000010000000000000 +000000000000000000000000001101000000000000000000000001 +000000000000000000000000010000000000000000000100100000 +000000000000000000000011100001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000001000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 11 -000000000000000111100010110001011000000000100000100000 -000000001010000001000011110111001011000000110000000000 -101000000000000111000110001000000000000010000000000000 -100000000000000101000000001001000000000000000000000000 -010000000000000000000110101000000000000010000000000000 -010000000000000000000111010101000000000000000000000000 -000000000001000101000010101101111111000010000010000000 -000000000000100101000010100101011011000000000000000000 -000010000000000000000000011000000000000010000000000000 -000000000010000000000011010001000000000000000000000000 -000000100000000000000000000000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000111000000000000000000000010000000000000 -000000001010000000100000000001000000000000000000000000 -010010000000000000000110000011011010001100110100000000 -100001000000000000000000000000111011110011000000000000 +000000000000001000000111011101100001000000000000000000 +000001000000001111000011111101001101000001000000000000 +101000000000000001100010010000000000000000000000000000 +100000000000000001000010000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010010100000000000000000000000000000000000000000000000 +011000000000000000000111110001000000000001000001000000 +000000000000000001000111110011001001000000000000000000 +000000000000101011100000000000000000000000000000000000 +000000000001010001100010000000000000000000000000000000 +000000000000000000000110001011000001000001000000000000 +000000000000000000000010001101101110000000000000000000 +010001000000000000000000001001100000000001100100000100 +000000100000000000000000001001101100000010100000000000 +000000000000000000000000001001100001000001100100000000 +000000000000000001000000001011001000000010100000000000 .ramb_tile 3 11 -000001000001000000000000000000000000000000 -000000010000100000000000000000000000000000 -000000000110000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010110000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 11 -000000000000001111100111001111101010000000100000000000 -000000000010000001100110010101101001000000110000000000 -000000001100011111000011110101100000000001000000000000 -000000000000001101000010001101100000000000000000000100 -000000000100001011000110010011111100000010000000000000 -000000000000000111100010001101011000000000000000000001 -000000100000001111100011011001111000010100100000000000 -000001000000000001000011110101101001101000100000000000 -000000000000000001000000001001100000000001000000000000 -000010101000000000100000001011100000000000000000000000 -000000000000000001100110011011101010010000000000000000 -000000000000000000000011111101101100110000000000000000 -000000000000000000000000001001100000000001000000000000 -000000000000001001000000001011000000000000000000000000 -001010100010000000000000010011100000000000100000000000 -000000000000000000000011110111101000000000110000000000 +000100000000001101000111111000000000000010000000000000 +000100000000001111000110001001000000000000000000100001 +101000000110000001000000010000000000000010000000000100 +100000000000000000100011000101000000000000000000000000 +110000000000001011100111110111000001000010100000000000 +110000000000000111000111110101001111000010010000000000 +000000000000001001100010110101100000000010100000000000 +000000000000000001000011100001001001000010010000000001 +000001000000000000000000000101000001000010100000000000 +000000101110000000000000000001101111000010010000000000 +000000000000000000000110101101100001000010100000000000 +000000000000000000000100001101101101000010010000000000 +000000000000001000000111100101100000000010100000000000 +000000001000001101000100001001001011000010010000000000 +000000000000000000000110101000000000000000000110000100 +000000000000000000000100000001000000000010000000000000 .logic_tile 5 11 -000000001100000111100110001011100000000000000001000000 -000000000000000000100110000011101001000000100000000001 -101000001110010001100010001101000000000000110001100000 -100000000000101101000010111101001001000000100000100000 -110001000000001101000110001001100000000001000000000000 -010010100000000111000110011101000000000000000000000000 -000000000001010001100010011101000000000001000000000000 -000000000110100000000011100111100000000000000000100000 -000001000000000000000000011011100001000001000000000000 -000000000000100000000010001001001010000000000000000001 -001100000010001111100111101111001010001001010000000000 -000000000000000101000100001001101010010110100000000000 -010000001100000000000110101101000000000010000000000010 -100010100000000000000000000001100000000011000000000001 -000000000000001000000000000000000000000000000100000011 -000000000000000101000000000001000000000010000000000000 +000000000000001111100010001001100000000000000000000000 +000000000000100111100111011001001100000000100000100000 +101000000000000011100110111001011100100010000000000000 +100000000000000000000011010011011101000100010000000000 +111001000000000000000111101001100000000000010001000000 +010000100001010000000111111001001100000000000000000000 +000010000001101000000000001101011100000000000000000000 +000100000000100001000000000101101000000100000000000010 +000000101100101000000010011001111110000000000000000000 +000000000001010011000011100111101001000000100000000000 +001000101100001111100000010000000000000000000100000000 +000001001110001111000011101101000000000010000000000010 +000001000000100000000000000000000000000000000000000000 +000010100001000000000000000000000000000000000000000000 +010000001010100111100000000000000000000000000100000000 +000000000001110111100000001001000000000010000000000110 .logic_tile 6 11 -000000000000000001100010001011111111000100000001100000 -000000000000000000000010110111111100000000000000000000 -101000000001000000000010010011000000000000000000100000 -100010000000100000000110100011001101000000100000000000 -010000000000001001000110011001000001000010000000000000 -010000000000000111000011011101001001000000000010000000 -000000000000000001100011100101100000000000000010000000 -000000000000000000000010011011000000000010000000000001 -000000000000101001100111100001101010000011000000000000 -000000000001010101100100001101101110000011100000000000 -000000000000011000000110101111100000000000000000000000 -000000000000000101000000001101100000000010000000000010 -000000000000100001100110000011001010000000100000000000 -000000000000000000100100000101011111000000110000000100 -010001000100000101100111010000000000000000000101000000 -100000101110000000000010101001000000000010000000000000 +000000000000000011100000010000000000000000000000000000 +000000000001010000110011110000000000000000000000000000 +101011100000000101100000010001100001000000000000000000 +100011100110000000100011001101101101000010000000000010 +110000000001010000000000011001000000000010000000000000 +110000100000000101000010001111000000000000000000000000 +000000000001000011100000001011000000000001010100000000 +000000000110100000100000001011001111000010011001000000 +000010000000000000000000011101000000000001010100000000 +000001000000001011000011101001001101000010011001000000 +000000000000000001100110101101100000000001010100000000 +000000000000000000100000000001001111000010011001000000 +000010000000000000000011010011100000000001010101000000 +000001000000000000000011101001001101000010011000000000 +110010100001000101100010100000000000000000000000000000 +110001000000100000100000000000000000000000000000000000 .logic_tile 7 11 -000000000110000011100111010011000000000011000000000011 -000000000000000000000110110111100000000010000001000000 -101000000000001001100111100000000000000010000001000000 -100000000000000111100100000011000000000000000000000000 -110000000000000001000000010001101010001000000010000010 -110000000000001001100011011011011100000000000010000010 -000000000100010101100000000101100000000001000000000010 -000000000000100000000000000001000000000000000001000000 -000000000000000111000011110111001001101001000100000100 -000000000000101001100111001001111111010101000000000110 -000000000000000000000111001101011011010101000100000000 -000010000000000000000011111001001101101001000001000000 -000000000100000001000111111101001001010101000100100000 -000000000000001001100111100001011111101001000000100000 -000000000000000000000111000011111011010101000100100010 -000000000000000000000000000111101101101001000000000010 +000000000000000101100011111011000000000010000000100000 +000000000000000000000010101011100000000000000000000000 +101000000000000011100111110101011011100000000000000000 +100001000000001101100010111111001000110000000000000000 +110100000000001101100000010001100001000000000000000000 +010100000000000001000010101001101111000000100000000000 +000000000000000001100111110101100000000001000000100100 +000000001100001111000010110001000000000000000000000000 +000000000000000000000111111101011101110111100000000000 +000000000000000000000111110101111011111111110010000000 +000000000000000111000110000001100001000000000000000000 +000000000000000000100011100101101100000010000000000000 +000000100000000001100000010001011011010110100000000001 +000000000000000000000010000111111000001001010000000000 +000000001100000000000110001000000000000000000100100000 +000000000000000000000000000101000000000010000000000000 .logic_tile 8 11 -000000000001001001100010100001000000000001000010000000 -000000001110000001000100001101000000000000000000000000 -101000000000000001100000011011111001100010000000000000 -100000000000001101000010001011111010001000100000000000 -110010000000100101000110010101100001000000110000000000 -010001000101000000100010000001001010000001100000100000 -000000000000001001100110001111111011100010000000000000 -000000000000000001000010011101111000001000100000000000 -000010000000000000000000001000000000000000000100000001 -000000000000000000000011100001000000000010000000000000 -000000000000000000000111000000000000000000000100000000 -000000000000000000000111011101000000000010000000000001 -000100000001000000000000000000000000000000000100000000 -000100000000100000000000000101000000000010000000000010 -010000000000000000000010000000000000000000000101000000 -100000000000000000000000001001000000000010000000000000 +000000000000000011000110101001000000000000110000000000 +000000000000000000000011100101101001000000010000000000 +101000000000000101010000010101100001000011000000000100 +100000000000000001100011001101001011000001000000000000 +010000000000001001000110101011000001000000010000000100 +110000000000000001100111101111101000000000000001000000 +010000001110001111100000011111001011000100000000000000 +110000000000000001100010000101001000001100000000000000 +000010000000001000000110001111100001000000010000000010 +000001000000001111000000000111001101000000000000000000 +000000000000000000000111000011111001010110100000000000 +000000000000001101000011110101011101001001010000100000 +000000000000000101100000001011100000000000010000000000 +000000000000000000000000000011101100000000000000000000 +000000000010000111100010000000000000000000000101000000 +000000000000000001100100000001000000000010000000000000 .logic_tile 9 11 -000010000000000000000000000011000000000000001000000000 -000000000000000011000000000000000000000000000000001000 -000000000001000001100111110000000001000000001000000000 -000000000011010000000010100000001111000000000000000000 -000000000000010011000110010000001000001100111000100000 -000000000000000000000010000000001100110011000000000000 -000000000000001000000110010000001001001100111000000000 -000000000000000101000011010000001011110011000000000000 -000000000000001111000011100000001000111100000001000000 -000000000000000111000000000000000000111100000000000000 -000000000000000000000000011101001011100010000000000000 -000000000000000000000011001001101010001000100000000000 -000001000011000000000000001011001101001000010000000010 -000000000000000000000000001101011101010010000000000000 -000000000000000000000000011001011000000000000000000000 -000000000000000000000011000001011011010010000000000000 +000000000000100000000000010101100001000001000000000000 +000000000000010001000010000001101101000000000000000000 +101000000001000011100010100001100000000000010000000000 +100000000010010000110110010101101011000000000000000000 +110000000000001101000000000001101110001100110000000000 +100000000000000001100010000000100000110011000000000000 +000000000000000000000000001101000000000000000000000000 +000001000000000000000010000011000000000001000000000010 +000000000001010000000000000000000000000000000000000000 +000000000000100111000000000000000000000000000000000000 +000000000000000001100110101001000000000001000000000000 +000000000000000000000110010001100000000011000000000100 +000000000000001000000000000000000000000000000100000010 +000000000000000011000000000011000000000010000000000000 +010000000000010000000000001000000000000000000100000000 +100000000000000000000000001011000000000010000000000001 .ramb_tile 10 11 -000000000000100000000000000000000000000000 -000000010000010000000000000000000000000000 -000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000011000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 000001000000000000000000000000000000000000 -000000000100000000000000000000000000000000 +000010100000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 .logic_tile 11 11 -000000000110001000000110001000000000000010000000000000 -000000001100000011000011010111000000000000000000000000 -101000000000000111100010110011000001000000010001000000 -100000000000000000100111101001001100000000000000000000 -110000000000000000000000000000011010001100110001000000 -010000001100000000000000000000000000110011000000000000 -000000000000001000000000001001000001000000110000000010 -000000000000001101000000001001001101000000010000000000 -000000000000001111100000000000001110001100110000000100 -000000000000001111000010000000011001110011000000000100 -000000000000000011000010101000000000000010000000000001 -000000000000010000100000000001000000000000000000000100 -000000000000000000000000011000000000000010000000000000 -000000001100000000000010101001000000000000000000000000 -000000000000000011000000000000000000000000000110000000 -000000000000000000100000000011000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000001100000000000000001000000000000000000101000000 +000000000000000000000000000011000000000010000000100100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 11 -000010000000001011000000000001000000000000001000000000 -000000000000000011000000000000000000000000000000001000 -000000000000001000000000000000000000000000001000000000 -000000000000010111000000000000001100000000000000000000 -000000000000001000000000000000001000111100000000000000 -000000000000000011000000000000000000111100000000000000 -000000000000011000000000000000000000000010000000000000 -000000000000000111000000001011000000000000000000000000 -000000000000000111000111000011100001000000010000000000 -000000000000000000000100000001101000000000000000000100 -000000000000000000000111100111100001000001000000000000 -000000000000000000000100000101101010000000000000100000 +000000000000000011100000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +101000000000000000000000001000000000000000000100000000 +100000000000000101000000001101000000000010001000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000011000001000000000010001000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000111100000000000000000000000000000 -000000100000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010001000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 11 000000000000000010 000100000000000000 -000000000000000000 -000011110000000001 -000000000000000010 +000000011000000000 +000000001000000001 +000000000000010010 000000000000110000 -001100000000000000 -000000000000000000 +001110000000000000 +000010010000000000 000000000000000000 000100000000000000 -000000000000100010 -000010110000110000 +000000000000000010 +000000000000110000 000000000000000000 000000000000000001 000000000000000010 @@ -2824,12 +2824,12 @@ .io_tile 0 12 000000000000000010 000100000000000000 -000010000000000000 -000000110000000001 -000000000000000010 +000000000001100000 +000000000000000001 +000000000000010010 000000000000110000 000100000000000000 -000000000000000000 +000000110000000000 000000000000000000 000100000000000000 000000000000000000 @@ -2839,222 +2839,222 @@ 000000000000000000 000000000000000000 .logic_tile 1 12 -000000000000000000000000000001100000000000001000000000 -001000000000000000000000000000000000000000000000001000 -000000000000000011100000000000000001000000001000000000 -000000000000000000100000000000001100000000000000000000 -000000000000000000000000010000001000111100000000000000 -000000000000000000000010000000000000111100000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000010000000000000 -000000000000000000000100000111000000000000000000000000 -000000000000000001000111001000000000000010000000000000 -000000000000000000100100001011000000000000000000000100 -000000000000000001000000000000001011001100110000000000 -000000000000000000100000000000011111110011000000000001 -000000000000000000000010000000000000000010000000000000 -000000000000000000000000001011000000000000000000000100 +010000000000000101000011000001000000000000001000000000 +000000000000000101000011010000000000000000000000001000 +000000000000000000000111100101100001000000001000000000 +000000000000000000000100000000001001000000000000000000 +000000000000000000000110100000000000000000001000000000 +000000000000100000000110010000001000000000000000000000 +000000000000000011100000000000000000000000001000000000 +001000000000000000100000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +001000000000000000000000000000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +001000000000000000000000000000001011000000000000000000 +000000000000000000000000000000001000111100000001000000 +000000000000000000000000000000000000111100000000000000 .logic_tile 2 12 -000000000000000000000111000111011101000100000000000000 -000000000000000000010111000011111001000000000001000000 -101000000000001000000000000000000000000000000000000000 -100000000000000001000011110000000000000000000000000000 -010100001110001000000110000111011101000000000000000000 -111100000000000011000000000011111001000100000000000001 -000000000000000000000110011001100000000001000000000000 -001000000110000000000011111101000000000000000000000000 -000000000001001000000000010101011111000011100000000000 -000000000000001101000010111001001011000001110000000000 -000010100000000001000011001011011110000000000000000000 -000001000000000000000000000011111001000000010000000001 -000000000001000000000000010111011101000000000010000000 -000000000000000000000010110011111001000000100000000000 -010000000000000000000011000000000000000000000100000000 -100000000000000111000000000101000000000010000010000000 +010000000000000000000000010101100000000000001000000000 +000000000000000000000011010000100000000000000000001000 +101010001110000000000010100101100001000000001000000000 +100001000000000000000110110000101110000000000000000000 +010000000000000000000110010011101000001100111000000000 +010000000000000000000010000000101110110011000000000001 +000000001000000001100010100000001000111100000000000000 +001000000000000000000110110000000000111100000000000000 +000000000000000000000000001001100000000010000000100000 +000000000000000000000000001001100000000000000000000000 +000010100000000000000110010011101011001100110000000000 +001000000000000000000010000000111110110011000000000000 +000000001100000001000000001000000000000000000110100000 +001010101110000000000000000101000000000010001000000000 +110000000000000111000000000000000000000000000101000000 +110000000000000001100000001101000000000010000010000000 .ramt_tile 3 12 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 12 -000000000000000111000111000101000000000000001000000000 -001000000000000000000010000000000000000000000000001000 -101000000000101000000000000000000000000000001000000000 -100000000000011101000011010000001001000000000000000000 -110000000000001101000000000000001001001100111001000000 -011000000000000011000010100000001001110011000010000000 -000000000000000000000110000000001000111100000010000000 -001000000000000000000000000000000000111100000000000000 -000000000000010000000010110011011110000001000010000000 -000000000000100101000011110101101100000000000000000000 -000000000001000011100000000011100000000001000010000000 -000000000000100111100000001101000000000000000000000001 -000000000000000000000000001001011101000000000000000001 -001000001110000000000000000001001011000010000000000000 -010000000000000001000000000111101000001100110110000000 -100000000000000111100000000000010000110011000000000000 +000000000000000000000110000000000000000010000001000000 +000100000000000000010010000101000000000000000000100000 +101000000000000111000011101000000000000010000000000100 +100000000000001011000110001011000000000000000010000000 +110000000000000011000110100000011111001100110000000000 +010000000001000000100111000000011011110011000001000000 +000000000000100111000111001011101011111100000000000000 +001000000000011011100110100101111001111000000000000000 +000000000110001111000000010000000000000010000010100000 +000000000000001101100011101111000000000000000000000000 +010000001010001011000111010001101100000001000000000000 +111100000000000111100011100011001011000000000010000000 +000000000000000000000111001001011101110000000010000000 +000000000000000000000100001111101001100000000000000000 +010000000010000000000111001001011101111001110100100000 +110000000000000000000010001101101000111010110000000000 .logic_tile 5 12 -000000000000000011100111000101000000000000000000000000 -001000000000000001100010011011101110000000010000000000 -101000000000001011110000001011100000000001000000000000 -100000000000000001100000000001000000000000000000100010 -010010000000001000000110011011101001000000000000000000 -011001000000000001000010000011111011000100000000000000 -000000000000000000000110011001001110000000010000100000 -001000000000000001000010000001011010000000000000000000 -000000000000000101000010100101100001000001110000000000 -000000000000000111000010100011001101000000110000000000 -000110100100001000000111011001101011000001000010000100 -000100000000001111000010111101011111000000000010100000 -000010100000000111100010101001001101000011000000000000 -001001000000000000100000001111001110000011010000000000 -010000000000100101100111010000000000000000000100000000 -100000000000000000000010111011000000000010000000000000 +010000000000000000000010101011111111000010000001000000 +001000000000001001000100001101101010000000000000000000 +101000000000000101000110000101000000000001000000000010 +100000000000000000100000001011100000000000000000000000 +110000000000000000000011101011011000010000000000100000 +110000000000001101000000001111101000110000000000000000 +000000000000100101000110001111111111100010000000000000 +001000000001000000000000001111011001000100010000000000 +000000000000000000000010101011001010010000000000000000 +001000000000001011000000000011101110110000000000000100 +000000000000000111000011000101100000000001000000000000 +001000000000001001100100001011100000000000000000000000 +000000000000100011000010001011001000010000000000000010 +000000000001010000100000000101111100110000000000000000 +010000000000000111000011001000000000000000000100000000 +000000000010001001100111000011000000000010000000000000 .logic_tile 6 12 -000000000000000000000110000101100000000001000000000000 -001000000000000000000010000101001011000000000000000000 -101000000000000111000011100000011101001100110000000010 -100000000000100000000110010000011000110011000000000000 -110000000000001101100010100001000000000001000000000000 -011000000000000001010100001111100000000000000000000000 -000000000000001001100000001001111010000010000000000000 -001010000000000001000000001011101101000011000000000000 -000000000110000111100110110011000000000001000000000000 -000000001000001001000110001001000000000000000000000100 -000000000000000000000111010011000001000000000000100000 -000000000000000000000111110101001001000000100000100110 -000000000000000000000110001000000000000000000101000000 -000000000000000000000100001111000000000010000000000000 -010000000000000000000110111000000000000000000100000100 -100010000000000000000010101111000000000010000000000000 +010000000000000000000010101011111001100010000000000000 +000000000000000111000111100101101001001000100000000000 +101000000000001001100110011111111111000010000000000000 +100000000000000001000010001101011001000000000000000000 +010000000000001000000110111001001111010000000000000100 +010000000000000001000011001001101101110000000000000000 +000000000000010001000110010001101010100000000000000000 +001000000000000000100010000101011100000000000000000000 +000000000000000001100000000111101011100010000000000000 +001000000000000000100000000001111011000100010000000000 +000000000000000000000111011000000000000000000100000000 +001000000000000000000011001011000000000010000000000000 +000000000000001000000000001000000000000000000100000000 +000000000000010011000000000101000000000010000000000000 +010000000000000001100110011000000000000000000100000010 +000001000000000000000111011111000000000010000000000000 .logic_tile 7 12 -000000000000100001000011100001000000000000001000000000 -000000000001111001100111110000000000000000000000001000 -101000000000000000000000000000000001000000001000000000 -100000000000000000000000000000001110000000000000000000 -010000000000000101000000000000000000000000001000000000 -011000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -001000100000000000000000000000001111000000000000000000 -000000000000000000000000000000001000111100000000000001 -000000000000000000000000000000000000111100000000000000 -000000000001000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000011000001000000000010000000000000 -000000000000001000000000000000000000000000000100000000 -000000000000001101000000001001000000000010000000000000 +010000000000000000000000001001111000100011110000000010 +000000000000000000000011011011011011010111110000000000 +101010100000000001000010000101100000000001000000000010 +100000000000000111000000001011000000000000000000000000 +110010100000001101100110100000000000000000000000000000 +110000000000001011000000000000000000000000000000000000 +000010000000000101100000000000000000000000000000000000 +001000000000100011000000000000000000000000000000000000 +010000000000000111000010101101000000000000110000000000 +000000000000000000000100000001001001000000010000000010 +000000000000000000000000011101000001000010000000000000 +001000000000000000000010100101001111000011000000000000 +010000100000000000000111100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000010100011000000000010000000000101 .logic_tile 8 12 -010000000000000000000000000001100001001100110000000000 -001010100000000101000000000000101100110011000000000000 -101000000000000001000010000001100000000001010000000010 -100011000000001011000110101111101010000010010000000000 -010000000000000000000000000000000000000000000000000000 -001000000000000101000000000000000000000000000000000000 -000000000000000000000010111101100000000001010000000000 -001000000000000101000010001101101010000010010000100000 -010000000000001000000110100101100000000001010000000000 -000000000100000001000000000011001000000010010000000100 -000000000000000001100110001001100001000001010000000000 -000000000000000001000000001011001000000010010000100000 -001000000000000000000000010000001101001100110000000000 -000000000000000000000010100000011011110011000000000000 -000000000000000000000000001000000000000000000101000000 -000011000000010000000000000011000000000010000000000000 +010000000000000000000010110011000000000000001000000000 +000000000000000001000011000000000000000000000000001000 +101000000000000000000000000001000000000000001000000000 +100000000000000000010000000000001110000000000000000000 +010000000000000000000000000011000000000000001000000000 +010000000000000000000000000000100000000000000000000000 +000000000000000000000000000111100000000000001000000000 +001000000000000000000000000000100000000000000000000000 +000000000000000111000111100111000000000000001000000000 +001010100000000000000010010000100000000000000000000000 +000000000000000000000000000000001000111100000000000000 +001000001010000000000000000000000000111100000000000000 +000000000010000000000000010001000000000000000000000100 +000000000000000101000011011011100000000010000000000000 +000000000000000000000011000000000000000000000100000000 +000000000000010000000000000000000000000010000000000100 .logic_tile 9 12 -000000000000001000000000000111100000000000001000000000 -000000000000000011000000000000000000000000000000001000 -101000000000000000000000000000000001000000001000000000 -100000000000000000000000000000001111000000000000000000 -010000000000000000000010000000001000001100111000000000 -110000000000000000010000000000001000110011000000000000 -000000100000000000000000000000001000111100000000000000 -001000000000000000000000000000000000111100000000000000 -000000000000000000000000001000000000000010000000100000 -000000000000000000000011001011000000000000000000000000 -000000000000000011000000000000000000000010000001100000 -000000000000000000100010001111000000000000000000000000 -000000000000000011000000000111000000000001000000000000 -000000000000000111000011011011001110000000000001000000 -000000000000001000000000011000000000000000000100000000 -000000000000001001000011000011000000000010000000000011 +000000001110101000000110001011100001000010000000100000 +001000000001010001000011111101001001000000000000000000 +101000100000000111100111010011001010000100100000000000 +100001000100000000000110001001111010000000000000000000 +010000000000000000000111010101111001001100110000000000 +011000000000001001000010000000101010110011000000000000 +000000000000000011100110011111100000000011000000000000 +001000000000000000100010001011000000000000000000000000 +000000000000000000000000010101000000000011100000000000 +001000000000000000000010000001001011000001110000100000 +000000000000000001100110000000000000000000000110000000 +001000000000000000000000001001000000000010000100000000 +000010100000000000000000000101000000000001000100000000 +001000000000000000000000000001100000000011000100000000 +110000000000000001100000001000000000000000000100000000 +001000000000000000000000001001000000000010000100000010 .ramt_tile 10 12 -000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 12 -000000000000000000000110000001000000000000001000000000 -000000000000000101000100000000100000000000000000001000 -000000000000000101000111000000000001000000001000000000 -000000000000001011100111010000001000000000000000000000 -000000000001010000000000000000000000000000001000000000 -001000000000100000000000000000001000000000000000000000 -000001000000000000000000000000000001000000001000000000 -001000000000000000000010100000001011000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000010100000000000000000000000000001000000001000000000 -000001000000000000000000000000001001000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 +000000000000000000000000000011100000000000001000000000 +000000000000000000000010010000100000000000000000001000 +101000000000001111000110000101100001000000001000000000 +100000000000000001000000000000101000000000000000000000 +010000000000000000000000000101101001001100111000000000 +010000000000000000000000000000101010110011000000000000 +000000000010001111000110010000001000111100000000000000 +001000000000001011000010000000000000111100000000000000 +000000000000000000000000010011100001000001000000100000 +001000000000000000000010001111101011000000100000000000 +000000000000000000000110100000000000000000000000000000 +001000000010000000000000000000000000000000000000000000 +000000000000000000000110101101100000000011110100000000 +000000000000000000000000000001001101000010110000000000 +110000000000000000000110101111100001000000010100000000 +000000000000000000000000000101101001000010000000000000 .logic_tile 12 12 -000010000000000000000010100001000000000000001000000000 -001001000000000000000000000000100000000000000000001000 -000000000000000000000000000111100001000000001000000000 -000000000000000000000000000000001100000000000000000000 -000000000000000011000000000000000000000000001000000000 -001000000000000000000000000000001100000000000000000000 -000000000000000000000011010000000001000000001000000000 -001000000000000000000111010000001111000000000000000000 -000000000000001000000000000000000001000000001000000000 -000000000000001001000000000000001011000000000000000000 -000000000000000101000000000000000001000000001000000000 -000000000000000000100000000000001011000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000101100000010000001000111100000000000000 -001000000000000000100010010000000000111100000000000000 +000010100000000001100000010001111010001100110000000000 +000001000000000000000010100000110000110011000000000000 +101001000000000000000110000111101011001100110000000000 +100000100000000000000000000000011011110011000000000000 +110000000000001000000110101000000000000010000000000000 +010000000000000001000000000011000000000000000000000000 +000000100000001011100000000001000000000010000000000000 +001000000000000101100011100101101000000000000000000000 +000000000000000000000000010000000000000000000000000000 +001000000000000000000011000000000000000000000000000000 +000000000000001000000000001101100000000011110100000000 +001000000000000111000000000101001000000010110000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +110000000000000001100000001101100000000011110100000000 +000000000000000000000000000101001010000010110000000000 .io_tile 13 12 -000000011000000010 -000010110000000000 +000000000000000010 +000000000000000000 000000000000000000 000000000000000001 -000000000000000010 +000000000000100010 000000000000010000 000100000000000000 000000000000000000 -000000000000000000 +000001010000000000 000100000000000000 -000000000000000010 -000000000000010000 +000010000000100010 +000010010000110000 000000000000000000 000000000000000001 000000000000000010 @@ -3063,7 +3063,7 @@ 000010000000000010 000101010000000000 000000000000000000 -000000000000011001 +000000000000000001 000000000000000010 000000000000010000 000100000000000000 @@ -3077,209 +3077,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 13 -000000001010000000000000010000000000000000000000000000 -000001000000000000000011100000000000000000000000000000 -101000000000000000000000011011100001000011110010000000 -100000000000000000000011001011101100000011100000000000 -010000000000000001000000001000000000000010000000000000 -011000000000000000000000000101000000000000000000000001 -000000000000000001000000000000000000000000000000000000 -001000000000010000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000010101011111001000010000000000000 -001000000000000000000010101011111100000000010000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -001000000000000000000000000000000000000000000100000000 -000000000000000000000000000000000000000010000000000000 +000100000000001111100000000101000000000000001000000000 +000100000000001011000000000000100000000000000000001000 +000000000000001000000000000000000000000000001000000000 +000000000000000111000000000000001000000000000000000000 +000000000000000000000000010000000000000000001000000000 +000000000000000000000011010000001000000000000000000000 +000000000000000000000111100000000001000000001000000000 +000000000000000000000100000000001100000000000000000000 +000001000000000000000000000000000001000000001000000000 +000010100000000000000000000000001000000000000000000000 +000000000000000001000010000000000001000000001000000000 +000000000000000000100000000000001101000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000001000111100000010000000 +000000000000000000000000000000000000111100000000000000 .logic_tile 2 13 -000000000000000111100000010011001010010110000000000000 -000000000000001001000010000101101110101010000000100000 -101010000000001101000010100111000001000000100000000100 -100001001010000111100111110101101001000000000000000000 -010000000000001011000011111101011111101001010000000000 -111000000000000111000010111001111010011001010000000000 -000000000001000101100000000001000000000000000000000000 -001000000000100000000010000001101100000010000000100000 -000000000100000111000011100000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000111001000000000000000000100000000 -001100000000000000000000001101000000000010000000000000 -000000000000000011100011100001100001000011000100000000 -000000000010000000000000000111001000000010010000000000 -010000000000000000000000011000000000000000000100000000 -100000000000000000000011001011000000000010000000000000 +010000000000000000000111110000000000000010000001000000 +000000000000000000000110001001000000000000000001000000 +101000000000000101000000001000000000000010000010000000 +100000000000000000000000000001000000000000000000000000 +110000000000000000000000010101101000001100110000000000 +110001001010001001000010000000110000110011000000000000 +000000000000000011000110010000000000000010000010000000 +001000000000000000100010000101000000000000000000000000 +000000000000000000000000001101000000000011000000000001 +000000000000000000000000000111100000000000000000000000 +000000000000000001100000001101100000000000000000100000 +000000000000000000000000001101100000000011000000000000 +000000000000000000000011100000000000000000000100000000 +000000000000000000000000001001000000000010001000000000 +010000000000000001100000001000000000000010000100000000 +110000000000000000000000000011000000000000000000000000 .ramb_tile 3 13 -001100000000000000000000000000000000000000 -000100000000000000000011100000000000000000 -000000000000000000000000000000000000000010 000000000000000000000000000000000000000000 -010000000000000000000000000000000000000010 -010000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000100 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001100000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 .logic_tile 4 13 -000001000000001011100111010001101110000001000000000000 -000010100010000011100011011111001001000011000001000000 -101000000000000101000111011001101101010110000000000001 -100000000000000101000111010001001101101010000000000000 -110000001110000001000111000000000000000010000000000001 -011000001110000000000000000101000000000000000000000000 -000000000000000000000011110001100001000000000100000000 -001000000000000000000011011001101001000000100000000001 -000000000001001000000111000101100000000000000110000000 -000000000000000001000010001101101000000000100000000000 -000000000000000000000011100001100000000000000100000001 -001000000000000000000100001001101101000000100000000000 -000100000000001000000110100101100001000000000100000000 -001000000000000101000000001101101011000000100000100000 -110000000000000000000000000001100000000000000100000000 -100000000000000000000000001001101000000000100000000000 +010000000001010000000000010101100000000000001000000000 +000000000000100000000011110000000000000000000000001000 +101000000100000000000000000000000001000000001000000000 +100000000000000000000000000000001011000000000000000000 +010000000000000000000000000000000001000000001000000000 +010000000000000000000000000000001101000000000000000000 +000000000000000000000000000000000000000000001000000000 +001000000000000011000011010000001111000000000000000000 +000000000000000011100000000000001000111100000000000000 +000000000000000000000000000000000000111100000000100000 +000000000000000000000000010000000000000000000000000000 +000100000000000000000011110000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +010000000010000000000000000000000000000000000110000000 +000000000000000000000000000011000000000010000000000000 .logic_tile 5 13 -000000000000000111000000001011100000000001000000000010 -001000000000000000000010000101000000000000000000000000 -101000000000001011100111001001101001000000010000000000 -100000100000001001100000001001011110000000000000000000 -111001000000000111100000010011100000000000000000000000 -111010000000000000100010000101000000000010000010000000 -000000000000000011100000001011000000000001000001000000 -001000000000000000100000000001000000000000000000000000 -000000000000000001100000010000000000000000000000000000 -000000000000001001000011000000000000000000000000000000 -000000000000001111000000000111101100000000010000000100 -000000000000000011100000001101001100000000000000000000 -000000000100000000000011100001000000000001010110000000 -000000000000000000000000001011001001000010011000000000 -110000000110001001100000000000000000000000000000000000 -010000000000000101110000000000000000000000000000000000 +010000000000000111000111010011001010000110100000000000 +000000000000001001000010000101111100001111110000000000 +101000000000000001000010011101101011000000000000000100 +100000000001000000100110001001011010000000100000000000 +110010000001000111000010010001100001000000010000000000 +010000000000000000000010000001001101000000000000000000 +000000000000001101000110000101101001000110100000000000 +001000000000000001010011110001111011001111110000000000 +000000000000001000000111110011101011000110100000000000 +000010000000001011000111100101111100001111110000000000 +000000000000000011100110110001101000000110100000000000 +000000000010001001000011000001111001001111110000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000010000000 +010001000000000011010000001000000000000000000101000000 +000000000000000000000000000011000000000010000000000000 .logic_tile 6 13 -000000000000000000000000010011111010011100000000000100 -000000000000000101000010000101111011111000000000000000 -101000000000000000000110000011100000000001000011000011 -100000000000000101000000000101000000000000000000000000 -110000100000000111100110101001100000000000000000000001 -011001000001000101100000000111100000000001000000000000 -000000000100001001000110011101100000000000000000000001 -001000000000000001100010000011100000000010000000000010 -000000000110000001100000010111000000000001000000000000 -000000000000000000100011011001000000000000000000000000 -000000100000001101000111000001111010000000010000001000 -000011100000000001100100000011000000000000000010000000 -000000000000000000000000000101100001000001000000000000 -000000000000001111000000000001001111000000000000000000 -010001000000000101000010101000000000000000000100000000 -100000000000000000100000000011000000000010000000000000 +010010100000000101000110001001000001000000100000000000 +000001000000000001100010110101001000000000110000000010 +101000000001010101000111011001011001100000000000000000 +100000000000100001100110000001111010000000000000000010 +111000101111001011000111011001001100010000000000000001 +010000000000100011000111010111101111110000000000000000 +010000000000001001100110010011000000000000000000000000 +111000000000000001000010101011001011000001000000000000 +000000000000001011000111001001101010100010000000000000 +000000001000101101000110001011101010000100010000000000 +000000000000000000000110011111001010000010000000000000 +001000000000000000000011001011011111000000000000000000 +000000000000000111000010100111011011100010000000000000 +000000000000001001100011110001011011000100010000000000 +010000000001000011000000000000000000000000000100000000 +000000000000100000000000000111000000000010000000000000 .logic_tile 7 13 -000100000100000001100010000101100000000010100000000000 -000100000000001111000111101111001000000010010000000000 -101010100000000111100010100001100000000010000000100000 -100001000000000111000110011001100000000000000000000000 -110000000000000011100110010101001010000000000000000000 -011000001100001011000011101101101010000010000000000000 -000000000000001111100010100111101100000000000000000000 -001000000000010011100100000001001101000010000000000000 -000010000000000000000111001011100000000010100000000000 -000000000000001111000111101011101000000010010000000000 -000000000000000000000110011001100001000001010100000000 -000010100000000011000010000001001001000010011000000001 -000000000000001000000010011111000001000001010100000000 -000000000000001111000110110101101011000010011000000000 -110000000000000111100000000001000001000001010100000000 -010000000000110000100000000111101001000010011000000000 +010000000000001000000110001101000000000001000000000000 +000000000000000101000000000101000000000000000000000100 +000000000000000001000010011011111110000000000010000000 +000000001010000001010010011011111001000100000000000100 +000000000000001011100111001101101011000000000000000000 +000000000000000101000000000001101010001000000010000101 +010000001010000101000000011001100000000000000000000000 +001000000000000000000010010001101110000000010000000000 +010000001110000000000000000001111001110000000000000000 +000000100000000000000000001101011001010000000000000000 +000000001110001000000110011001111100111100000010000100 +001010101000000001000010000001101010011100000000100000 +010010000000001000000000001101111110000000000000000000 +110001000000000001000000000001111000100000000000000000 +000100000100000000000011011001101011111100010000000000 +000000001110000000000010101001101000111110100000000100 .logic_tile 8 13 -000010100000011111100011101001100000000010100000000000 -000000001100001111000010001011101000000010010000000000 -101000000110001111000110010101111010000000000000000000 -100000000000000101000011011111101100000000100000000000 -010100000000001011000111000101000000000010100000100000 -010000000000000101000000000011001011000010010000000000 -000000000000100011100110011001100000000010100000100000 -001000001000001101000110110101001000000010010000000000 -000000000000011111100000010101111001000000000000000100 -000000001100100001000010100111101111000100000000000000 -000000000000100101100010111011001111000100000000000000 -000000000001010111000110001111111100000000000000000010 -000001000000000001100110111001000001000001010100000000 -000010100000000000000010111001101110000010011000000000 -110000000000010011100010111101000001000001010100000000 -010000000000100000100010101101001101000010011000000000 +010000000000011011100111100011101110000010000000000000 +000000000000000011000100000101111111000000000000000000 +101010000000000001000010100001001011000100000000000000 +100000000100000001000110000001001110000000000001000000 +110000000000001111100000011001011100100010000000000000 +010000000000000001000011110011111010000100010000000000 +010000100000000000000110101001111001100011110000000000 +001000000000000000000010101101011001010111110000000000 +010000000000000000010010011011000000000000000000101100 +000000000000000101000011111101100000000000100000000000 +010000000000000001000110011111011100000000000000000000 +111000000000001001100010000001011011000000010000000010 +000000000000000011100110100101000000000001000000000000 +000000000000000000100010010011000000000000000000000000 +010000001110000000000000001000000000000000000100000000 +000000000000001111000000000011000000000010000000000000 .logic_tile 9 13 -000000000000000001100000010000011010001100110000000000 -000010000000000000000011010000011011110011000000000000 -101001000000000000000000010001100001001100110000000000 -100010100000000011000011010000101111110011000000000000 -110010000000100000000110010111100001000001000101000000 -011001000001000011000011011001101000000000100000000000 -000000000000000101100000010101100000000000000100000001 -001000000000000000000011101101001110000000100000000001 -000000000000000000000000010000000000000000000000000000 -001010100000000000010011110000000000000000000000000000 -000000000000000000000000000101100000000000000100100010 -000000000000000000000000001101001010000000100000000001 -000000000000001000000000001001000000000011000101000010 -000000000000000001000000000101001001000011010000000000 -011000000000000000000000010000000000000000000000000000 -101000000000000000000010000000000000000000000000000000 +010000000000000000000010000000000000000010000011100000 +000000000110000000000000000000000000000000000001000001 +101000000000000000000000000000000000000000000000000000 +100010100000000000000000000000000000000000000000000000 +010000000000000000000011000000000000000000000110000000 +111000000000000000000100001011000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000011000000000010000000000001 +000000000000000011100000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +000000001000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .ramb_tile 10 13 -000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010001100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 .logic_tile 11 13 -000000000000000000000000000101000000000000001000000000 -000000000000000000000000000000000000000000000000001000 -101101000000000000000000000000000001000000001000000000 -100000001000000000000011010000001010000000000000000000 -110000000000000000000000000000001001001100111001000000 -100000000000000011000000000000001001110011000000000000 -000000000000001000000010000000001000111100000000000000 -001000000000011111000000000000000000111100000001000000 -001000000000000000000110100000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000011000000000000000000000000000000000010000000000000 -000000100000000000000000001011000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001101000000000010000010000000 -110000001110000000000000001000000000000000000100000000 -001000000000000011000000000111000000000010000000100000 -.logic_tile 12 13 -000000000000000000000000001101000000000000000000000000 -000000000000000000000010011011001100000010000000000001 -101000000000000000000000000000000000000010000000000000 -100000000000000000000000001011000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000011000000000000000000000000000000000000 -000000000000000000000000001000000000000000000101100000 -001000000000000000000000000011000000000010000000100000 -000000000000001000000111100000000000000000000000000000 -001000000000001111000100000000000000000000000000000000 -000000000001000111100000000000000000000000000000000000 -000000000000100000000010110000000000000000000000000000 +010000000000000000000000000001100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +101000000000001111100000000011000001000000001000000000 +100000000000000111100000000000101010000000000000000000 +010000000000000000000000000000001000111100000000000000 +010000000000000000000000000000000000111100000000000001 000000000000000000000000000000000000000000000000000000 +001000000110000011000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +001000000000100000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -001000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000110100000 +000000000000000000000000000001000000000010001100000110 +110000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 13 +000000000000000001100000011001100000000011000000000000 +000000000000000000000010001101000000000000000000000000 +101000000001000001100011010001001110000100100000000000 +100000000000100000000010100101101100000000000000000000 +010000000000001111000110000011111000001100110000000000 +111000000000000001000000000000110000110011000000000000 +000000000000000000000110001001100000000011100000000000 +001000000000000000000010111101101101000001110000000000 +000000000000000111100011100001000000000000000000000010 +001000000000000000100100000101000000000001000000000000 +000000000000000000000110000000000000000000000100000010 +000000000000000000000000000101000000000010000100000000 +000000000001010111000000000000000000000000000100000000 +000000000000100000100000000101000000000010000100100000 +110000000000000000000000001001000000000001000100000000 +001000000000000000000000001101100000000011000100000000 .io_tile 13 13 000000000000000000 000000000000000000 @@ -3288,236 +3288,236 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000010000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 .io_tile 0 14 -000000000000000010 +000001011000000010 000100000000000000 -000000000000000000 -000000000000000001 -000000000000110010 +000010000000000000 +000000110000000001 +000000000000000010 000000000000110000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000110010 -000000000000110000 +000000000000000010 +000000000000010000 000000000000000000 000000000000000001 -000001010000000010 -000000110000000000 +000000000000000010 +000000000000000000 .logic_tile 1 14 -000001000000000111000111010001000000000000001000000000 -000010100000000001100011000000100000000000000000001000 -000000000000000000000000000101100000000000001000000000 -000000000000000000000000000000101000000000000000000000 -000000000100000000000000000000000000000000001000000000 -000000000000001111000000000000001001000000000000000000 -000000000000000000000011100101100000000000001000000000 -000000000000000000000110100000101110000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000011000000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001011000000000000000000 -000100000000000000000000000000000000000000001000000000 -000000000000000011000000000000001101000000000000000000 -000000000001010000000000000000000000000000001000000000 -000000000000100000000000000000001010000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 14 -000000000000101101000111101011000000000001010000000100 -000000000000011111000100001111101111000010010000000000 -101000000000000000000000000000000000000000000000000000 -100000000000000000000011100000000000000000000000000000 -110100000000001000010000001101000001000001010001000000 -000100000000011001000000000111001001000010010000000000 -000000000000000011100000000000000000000000000000000000 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000101000000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000010110000001000111100000000000000 +000000000000000101000010000000000000111100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001010001100110000100000 +000000000000000000000000000000011011110011000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000011000000000000000010000000000000000000000100100000 -000001000000000111000000000001000000000010000000000000 -000001000000000000000000000011000000000010000100000100 -000010000000000000000000001001000000000011000000000000 -000100000100100000000111001111000000000010000100000100 -000100000001010111000000000101000000000011000000100000 -010000000000100011100011000011000000000001000100000000 -100000000000000000100000000001000000000000000000000000 .ramt_tile 3 14 -000000000000001000000000000000000000000000 -000000010000001111000000001101000000000000 -101000000000001101100111101000000000000000 -100000010000001011100100000111000000000000 -000000000000000000000111101000000000000000 -000000000000000000000100001111000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000000001000000000000 -000000000000000000000000001000000000000000 -000000000000100000000000000111000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000001111000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000001000000000000 -010000000000001001100110011000000000000000 -010000000000001001100110011001000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 14 -000000000000001011100111100111100001000001010001000000 -000000000000001011010100000011101100000010010010000000 -101000000000000101000010100111100000000001010001000000 -100000000000001011100010110001101100000010010000000000 -111000000000000101000000001101100001000001010001000000 -010000000000000000000010011101101001000010010000000000 -000000000000000101100111011001000001000001010001000010 -000000000000001001100111001001001000000010010000000000 -000100000000001111100111100011001001000000000000000000 -000100000000001011100011110101111010001100000001000000 -000000000000000000000000000111100001000001010000100000 -000000000000001001000010001101001010000010010000000000 -000000000000000000000000001111100001000001010000000000 -000000000000000000000000001011001000000010010010000000 -010000000000001001100110010000000000000000000100100000 -100000000000001001100110011001000000000010000000000001 -.logic_tile 5 14 -000000000000000111100110100000000000000000000000000000 -000000000000000001100111010000000000000000000001000010 -101000000010000001000000000000000000000010000001100010 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000001001100101001000010000000 -000000000010001001000000001001101000010110100000000001 -000000000000000000000110000101100001000011000000000000 -000000000000000000000000000001101000000011100001100000 -010000000000000011100000000000000000000000000000000000 -100000000000000000100000000000000000000000000000000000 -000001000010000000000000000111000000000001000000000010 -000000000000000000000000000101000000000000000000000000 -000000000010000011100000000000000000000000000000000000 +000000000000000000000000000101100000000001000000000000 +000100000000000000000000000101100000000000000000000010 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 000000000000000000100000000000000000000000000000000000 -010000000000000000000000000000000000000000000100000000 -100000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001101000010110000000000000000000000000000 +000000000000000000000000000101100000000001000000100000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 14 +000000000000000101000011010001101011000110100000000000 +000000000000001001100110001001111111001111110000000000 +101000000000001011000110011101111011000100000000000000 +100000000000000001000011011111011011000000000000000001 +110000000000001011100110100111000001000001000000100000 +010000000000000001000110100111001001000000000000000000 +000000000000001001000010010011101010000000000000100000 +000000000000000001100111010001111010000000100000000000 +000000000000000001000000000111000001000000100010100000 +000000000000000000100011100111001001000000000000000000 +000000000000000000000010000001101011000010000000000000 +000000000000000000000111000011001000000011000000000010 +000000000000000001000000001101101000000010000000000010 +000000000000000001000000000111011000000011000000000000 +010000000000000001000010001000000000000000000111000000 +000000000000000000000110001011000000000010000000000000 .logic_tile 6 14 -000000000000000111000111100001000000000000100000000000 -000000000000000001000110011001001000000000000000000000 -000000000000000001100110001101001110010100000000000000 -000000000000000011000011010001001100100100000000000010 -000000000000000101000110001101000001000010100000000000 -000000000000001011100011010001101101000010010000000000 -000000000000000111100000010101111111101000010000000000 -000000000000001011000010000001011011010110100000000000 -000000000000001111100000011101000001000010100000000000 -000001000000000101010010001001001101000010010000000000 -000000000000001000000000010101001101010110100000000000 -000000000000000111000011000111101001101001000000000000 -001000000000001111000000001101100001000010100000000000 -000000000000000001100000000011001101000010010000000000 -000000000000001000000010001011011110101101110000000000 -000000000000000001000000000111111001011101110000000000 +000000000000000000000000010001101110000110100000100000 +000000000000001001000010101001111100001111110000000000 +101000000000000011000110011101101011000010000000000000 +100000000000000000100011011001001111000000000000000000 +010000000000000011000010011101101101100010000000000000 +110000000000000000000110101111111101000100010000000000 +000010100000000011000111010011101100000110100000000100 +000000000000000000100011011101111100001111110000000000 +000000100000000011000111110001101110000110100000000000 +000000000000011011100110011001011000001111110000000010 +000000000000000000000111111101111011100010000000000000 +000000000000000000000110001011101001001000100000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000010011001000000000010000000000000 +010000000000000000000111011000000000000000000100000000 +000000000000000000000110001011000000000010000000000000 .logic_tile 7 14 -000000000000000000000011000001011010100000010000000000 -000000000000000000000000000101101101010000010000000000 -101000000000001000000000010101100000000010000000000010 -100000001000000001000011011011000000000000000000000000 -000000000000000011000111001011111111110100000000000000 -000000000000000000100010100011011111111100100001000100 -000000000000000001000000010011000000000000000000000000 -000000000000001011100010001101000000000001000000000000 -000000000000001000000110011011111011110100000000000000 -000100001100000101000010100011011111101100000000000100 -000000000000000011100000000111011000000000000000000000 -000010000000000001100000001011011111100000000000000000 -000010100000001011100000011111100000000000110100000010 -000000000000001011100010000001101011000010110000000000 -000000000000001001100010111111100000000001010101100001 -000000000000001111000011010111001110000010110000000000 +000000000110001011100010111111001011000100000000000000 +000000000000000001100110001111001011000000000000000000 +101000000000000111100000010011101010000000000000100000 +100001000000001011100011011001101000000000100000000000 +110000000000001111100000011111000001000000000000000101 +010000000000001111100010001111001011000000100001000000 +000000000000010001100010001001111010000010000000000000 +000000000000001011000100001011001101000011000000000000 +000000000000000011100110100011000000000001000000000000 +000010100000000001100011101001000000000000000000000000 +000000000000000000000010100001100000000001000000000000 +000000000000000000000011001101100000000000000000000000 +000000000000001000000000000101111110000010000000000000 +000000000000000011000000000011001000000011000010000000 +010000000010001000000110101000000000000000000100100000 +000000000000000001000100000001000000000010000000000000 .logic_tile 8 14 -000000000000001101100000001111000001000011110001000001 -000000000000001111100010110111101011000011010000000100 -101000100001000101000110100000000000000000000000000000 -100001000000000011100010110000000000000000000000000000 -010000000000001011000010000011000000000000000000000000 -110000001100000001000111011001000000000001000000000000 -000000001110000000000010101001100000000001000000000100 -000000000000000000010100000001100000000000000000000000 -000110100000000101000000001011100000000010100000000000 -000101000000000000000000001011101000000010010000000000 -000000100000000000000110010001100000000001000000000000 -000000000000000001000010000101100000000000000010000000 -000010000000000000000000001101000000000001010100000000 -000001000000100000000010011111101010000010011000000000 -110000000000000011000110100001100001000001010100000000 -010000000000000000000000000001001001000010011000000000 +000010000000000001100111101011111011000100000001000000 +000001000000000000000110100011001101000000000000000000 +000001000000001001100011000011001011100010000000000000 +000010000000000001100000001001001001001000100000000000 +000000000000001111100011001011111001000100000000000001 +000000000010000011000100000011001101000000000000000000 +000000000000001011000010101111101011000100000000000000 +000000000000000011100000000111011101000000000000000000 +000000000000001000000011001011011010100010000000000000 +000000000000000111000000000101101011000100010000000000 +000010100000001011100010001111111011000100000000100000 +000000000000001011100010000111011101000000000000000000 +000000000000000000000000011011101011000100000010000000 +000000001000000000000010100011001101000000000000000000 +000000000000001011100000000001101000100000000000000000 +000000000000011011100000000001011111000000000000000000 .logic_tile 9 14 -000010000000100000010110111001111100100000000000000000 -000001000001011011000010101101011111000000000000000000 -101101000000000011100000001001101100100000000000000001 -100000100000000000100010110101101001000000000000000000 -110000000000000000000000010111100000000001000000000000 -010100000000000000000010101001100000000000000000000000 -000000100000000101100111100011100000000001000000000100 -000000000000001101000000001011000000000000000000000010 -000000000000001011000010110101000000000001000000000000 -000000000000000001110110000001100000000000000000100000 -000001000000001000000010000000000000000000000000000000 -000010100100000011000000000000000000000000000000000000 -000000000000000000000011101000000000000010000001000000 -000000000000000000000000000101000000000000000000000000 -000000000000000000000000010000000000000000000100000010 -000000000000000000000010110011000000000010000000000000 +000000000000000000000110001101001001000010000000000000 +000000000000000000000000000001011001000000000000000000 +101010000001011101000000010000000000000000000000000000 +100000000000000001000010000000000000000000000000000000 +110000000000001000000010101101111000100010000000000000 +010000000000001101000000001011001011000100010000000000 +000000000000001000000000001000000000000000000110000000 +000000000000001011000000001011000000000010000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000011100111000000000010000001000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000111000000001000000000000000000100100000 +000000000000000000100000001111000000000010000000000000 +011000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 14 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000101000000000000000000000000000000000000 000001000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 14 -000000000000000000000000001000000000000010000000000000 -000000000000000111000000000011000000000000000000000010 -101000000000001001100011011000000000000010000000000000 -100000000000001111000011100001000000000000000000000000 -110000000000000000000110001000000000000010000000000000 -010000000000000000000000000001000000000000000000000000 -000000000000101111000000001001100000000001000000000101 -000000000000001101000000001001100000000000000000000000 -000000000000000000000011100000000000000010000000000000 -000000000000000000000011111101000000000000000000000000 -000000100000000000000000001101100000000001000000000000 -000001000000000001000000001001000000000000000000000100 -000000000000000000000000000101100000000000000000100000 -000000000000000000000000000001000000000010000000000010 -000000000000000000000000000000000000000000000101000010 -000000000000000000000000001011000000000010000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +101000000000001000000110000101100000000000001000000000 +100000000000001111000100000000001100000000000000000000 +010000000000000000000010000000001000111100000000000000 +110000000000000000000100000000000000111100000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000101000000 +000000000000000000000000000001000000000010000001000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 14 -000000000000000101100011000001000000000000001000000000 -000000000000001101000000000000100000000000000000001000 -000000000000101000000000000000000000000000001000000000 -000000000001000101000000000000001011000000000000000000 -000000000000000011100000010000000000000000001000000000 -000000000000000000000010100000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001100000000000000000000 -000000000000000101000000000000000001000000001000000000 -000100000000000000100000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000100000000000000000001000111100000000000100 -000000001000000000000000000000000000111100000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000001110000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000011100000001001100000000001000000100000 +000000000000000000000000001001000000000011000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111000101001001001100110000000000 +000000000000000000000100000000011101110011000000000000 +000000000000000000000000001011000000000010000000000000 +000000000000000000000000001101001010000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 14 000000000000000000 000000000000000000 @@ -3536,11 +3536,11 @@ 000000000000000000 000000000000000000 .io_tile 0 15 +000000000001000000 000000000000000000 +000000000000010000 000000000000000000 000000000000000000 -000000000001100000 -000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -3553,44 +3553,46 @@ 000000000000000000 000000000000000000 .logic_tile 1 15 -000000000000000001000000000000001000111100000000000100 -000000000000000000000010010000000000111100000000010000 -101000000000000101100010010000000001001100110000000000 -100000000000000000100110110000001000110011000000000000 -010000000000000001100111100001111011001100110100000000 -110000000000000000000011011001101001101100100000000001 -000000000000000000000000000000000000000000000100000010 -000000000000001011000000001101000000000010000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000001001001011101100000100000000 -000000000000000000000000000001101001111100100000000001 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000001001101101100000100000000 -100000000000000000000000000001101001111100100000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 15 -000000000000000000000000010000000000000000000000000000 -000001000000000000000011110000000000000000000000000000 -101000000000000000000000010000000000000000000000000000 -100000000000000000000010110000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000001011000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +101000000000000000000000001000000000000000000100000000 +100000000000000000000000000101000000000010000010100000 +010000000000000000000111100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000010000000 +000000001010000001000000001000000000000000000100100000 +000000000000000000000000000011000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000001101000000000011000110000010 -000000000010000000000000001001000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -010000000000000000010000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 .ramb_tile 3 15 000000000000000000000000000000000000000000 -000100010000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3600,162 +3602,160 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -001000000000000000010000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 15 -000010000000000000000000000001100001000000001000000000 -000001000000000000000000000000001111000000000000000000 -101000000000000001000000000000001001001100111000000000 -100000000000000101000000000000001011110011000000000000 -110000000000000000000000000000001001001100111000000000 -000000000010000000000000000000001010110011000000000000 -000000000000000101000000000000001000111100000000000001 -000000000000000101100010110000000000111100000000000000 -110000000000000000000000001000000000000000000100000001 -000100000000000000000000000001000000000010000000000000 -000100001000000000000000001000000000000000000100000000 -000100000000000000000000000101000000000010000000000000 -001000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -010000000000000000000010101000000000000000000110000000 -100000000000000000000000000101000000000010000000000000 +000000000000000000000000000001100000000001000000000000 +000000001110000000000000001011100000000000000000000010 +101000000000001000000110000101111011100010000000000000 +100000000000000001000010011011011010000100010001000000 +010000000000000000000110101101111001000010000001000000 +110000000000000000000100001001111000000000000000000000 +000000000000001001000110010011011101100010000001000000 +000000000000000001000010000111011101000100010000000000 +000000000000000000000111101000000000000000000100000000 +000000000000001111000011100101000000000010000000000000 +000000000000000000000110110000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000110000000000000001000000000000000000100000000 +000000000000000001000000001111000000000010000000000000 +011000000000000000000010000000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 .logic_tile 5 15 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000000001100000000000000001000000001000000000 -000000000000001101000000000000001100000000000000000000 -000000000000001000000000000000000001000000001000000000 -000000000000000111000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000011000000000000001010000000000000000000 -000000000001010000000000000000001000111100000010000101 -000000000000100000000000000000000000111100000000000000 -000000000000000101100000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000111000111010011111001000010000010000000 +000000000000001001100111111011111110000000000000000000 +101000000000000111100111011001111000000110100000000000 +100000000000000101100110000001101011001111110000000000 +010000000000000011100000000101011100100010000000000000 +010000000000000001010011010111011101000100010010000000 +000000000000100000000110001101111000000110100000000000 +000000000000000000000000000001101111001111110000000010 +000000000001000000000000011001011001100010000000100000 +000000000000100000000011101011111110000100010000000000 +000000000001000000000111111001101010000010000000000010 +000010100000100000000011111001001000000011000000000000 +000000000000000011000000010000000000000000000100000000 +000000000000000000000011100001000000000010000001000000 +010000000000000111100000000000000000000000000100000000 +000000000000000000100000000101000000000010000000000100 .logic_tile 6 15 -000000000000001000000110011011011100000000100000000000 -000000000000000001000010010001001001000000000000000000 -101100100000001000000010101111101101000011010000000000 -100100100000000111000100001001011010000011000000000000 -000000000000000111100000001011100000000000000000100000 -000000000000000000100000001101000000000010000000000000 -000000000000001000000010101001000000000001000000000001 -000000000000001001000110111101100000000000000000000000 -001000000000000111000000000111100000000001000000100000 -000000000000000000100010100101100000000000000000000000 -000000000000001111100011101000000000000010000000000000 -000000000000000001000100000111000000000000000000000000 -000000000000000000000000000001000001000001110100000000 -000000000000000000000000000001101100000010100000000000 -000000000000001111100000011000000000000000000100000100 -000000000000000001000010001011000000000010000010100000 +000000000000000001100111010101000000000001000000000000 +000000000000000000000011011101000000000000000000000010 +101001000000000001000010010101101011100010000000000000 +100000000000000000000010001111101100000100010000000000 +110010100000000111100110000001111001100011110000000000 +110000000000001011000011011011011001010111110000000000 +000010000000000101000011100111001011000010000000000000 +000001000000000000100100000011001100000000000000000000 +010000100000010001000000000001111001100011110001000000 +000001000000100000100011111011011010010111110000000000 +000000000000000101000000010011101110100010000000000000 +000000001100000000000011010111101100001000100000000000 +010000000000000101000011111001001010100000000000000000 +110000101100001001000111000111011001000000000000000000 +010000000000000001100000000000000000000000000100000010 +000000000001010000000000001001000000000010000000000000 .logic_tile 7 15 -000000000000000000000000011111001101110100000000000000 -000000001100001001000010001101111111101100000000000000 -101000000110000001000010011111011001010111110001000000 -100000000000000101100111000111011101011011110000000000 -000001000000001011100010100011101011110000000000000000 -000011000000000001000110100001111001100000000000000000 -000000000001000001100010010001101101000000000000000000 -000000000000000000000011000011011011010000000000000000 -000010100000000101000111001001100000000001000010100000 -000001000000000000100111110111000000000000000010000000 -000000000000001000000010001101000001000000000000000000 -000000000000000001000100001001001011000001000000000000 -000000000000010101000000001111101011111000110100000001 -000000001000100000100011110101111100010100110000000000 -000000000000000001000110100001001010000001010100000000 -000000000000001101000010110101111100000010110001000101 +000000000000001011100010001101101011000000000001000010 +000000000000001011000000001001011010000100000000000000 +000000000000000001000110011011001010000000000000000001 +000000000000000001000011001101111110000100000000000010 +000010100000001011100110111011011000000000000000000000 +000000000000001011000110100001011000000000010000000000 +010000000000001001100111010101111110000110100000000000 +000000000000001011000111001011101001001111110000000000 +010001000000001001000110111011011110000000000010000000 +000000100000001111000111010001011000000000010000000000 +000000000000000111000000001111101010000000000000000000 +000000000000001101100000000001101010000000010000000000 +000000000000000000000000000111100000000000000000000000 +000000000000001111000000000101000000000001000000000000 +001000000000000011100111110011101101010000000000000000 +000000000000001101100010000001001100000000000000000000 .logic_tile 8 15 -000000000000001001100000000101000001000001000000000000 -000000001110000001100000001011101001000000000000000000 -101000001110000101000010010101100000000001000000000100 -100000000000001011000111100101000000000000000000000000 -000000000000000001100110101101100000000000000000000010 -000000001000000101100110110001000000000011000001000011 -000000000000001001100111001001011011000000000010000000 -000000000000000101000000001011011111001000000000000000 -000010100000000001100000010111000000001100110000000000 -000001000000100000000010010000101110110011000000000000 -000000000100000000000111000101001010111001010100101000 -000000000000000000000100000011010000111010100000000100 -000000000000000001100000000001011000111101010101000010 -000000000000000000000010011101001110101100100000000000 -000000000000000000000000011000000000000000000100000000 -000000000000000000000011101001000000000010000000000000 +000000000000000011100110010001001101100000000000000000 +000000000000000001100010100101101011000000000000000000 +101000000000000011100110001011111101100010000000000000 +100000000000010001100010001011011011001000100000000000 +110000000000001001100111100001111100000000000000000000 +010000001110000001000100001101001001000100000000000010 +010001000000000000000011000011001111100010000000000000 +000000000100000000000110111011001001000100010000000000 +000000000000000111100011110111101111000010000000000000 +000000000000000011100010000001111111000000000001000000 +010100001000101001100010010001111000100011110000000000 +110000000000001111000110000001011010010111110000000000 +000000000000000011000000011000000000000000000100000000 +000000000000000011000011100011000000000010000000000100 +010000000000000000000110010000000000000000000100000000 +000000000000000000000010000111000000000010000000000000 .logic_tile 9 15 -000100000000000111100111100101000000000001000011000000 -000110100000001111100100000001000000000000000000000000 -101000000000001001000111100011001100001100110000000100 -110001000000011101100111100000010000110011000001000000 -000000000000001101000000000001000000000001000010000000 -000000000000001101000011010001000000000000000000000000 -000000000100000000000000010000011001001100110010000000 -000001000000000000000010000000011011110011000000000000 -000000000000001000000000000101000000000001000010100000 -000000000000000111000011100001100000000000000000000001 -001000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000000000000000001100000000001000000000000 -000000000000000000000000000001000000000000000010000000 -000000000000010000000000001101011000111001010100100000 -000001000000100000000000001001001001111010100000000101 +000000000000000011100010000101000000000000001000000000 +000000000000000000000010000000000000000000000000001000 +000000000011001000000000000001100000000000001000000000 +000000000000101011000000000000000000000000000000000000 +001000000000000000000010000001000000000000001000000000 +000000000000000001000010000000000000000000000000000000 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000001000000000001000000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000000000000000011100000000000001000000000 +000000000000000000000010010000101100000000000000000000 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000001000010000000000000000001000000000000001000000000 +000010000000000000000000000000100000000000000000000000 .ramb_tile 10 15 -000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 15 -000000000000000001000111100011000000000000000001000000 -000000000000000000100111011001000000000001000000000000 -101000000000000000000000000000000000000010000000000000 -100000001110000011000010110111000000000000000000000000 -000000000001011000000111101001001011111001010100000010 -000000000000100001000110110011101101111010100000000000 -000000000001000101000000001101001100111001010101000000 -000000000000001011000000000111101000111010100000000001 -001000000000001011100000001001001011111001010100100000 -000000000000000001000000000101101001111010100000100010 -000000000000001000000000010000000000000000000000000000 -000000000000000111000011110000000000000000000000000000 -000000000000001000000011001001001010111001010101000000 -000000000000001111000000000001101111111010100000000100 -000000000000001000000000001101001100111001010100100000 -000000000000001111000000000101101011111010100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 15 -000001000000000000000000000000000000000010000000000000 -000010001100001111000000000001000000000000000000000000 -101000000001000000000000001000000000000000000110000000 -100000000000000000000000001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 110000000000000000000000000000000000000000000000000000 100000000000000000000000000000000000000000000000000000 -001000000000000011100000001000000000000000000101000000 -000000000000000000000000000011000000000010000000000000 -000000000000000011000000001000000000000000000100000000 -000000000000000000000000000101000000000010000000000100 -000001000000000101100110100000000000000000000100000000 -000000100000000000100100000011000000000010000000000100 -000000000000001000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -110000000100000000000000000000000000000000000100000000 -000000000010000000000000000111000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +010000000000000000000000000000000000000000000100000010 +100000000000000000000000001111000000000010000000000000 .io_tile 13 15 000000000000000000 000000000000000000 @@ -3769,8 +3769,8 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000001100000 000000000000000000 +000000000001000000 000000000000000000 000000000000000000 .io_tile 0 16 @@ -3793,46 +3793,46 @@ .logic_tile 1 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000100000000 -100000000000000000000000001011000000000010000000000010 -110000000000000000000000000000000000000000000100000000 -000000000000000000000000001111000000000010000010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000111000000000000000000000100000000 -000000000000000000000100001111000000000010000000000100 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 16 -000000000000000000000000001001100000000011000000000000 -000000000000000000000010010101101100000011100000000001 -101000000000000000000110000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000111000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 16 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3842,142 +3842,130 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 16 -000000000000000101000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -101000000000000001100110010000000000000000000000000000 -100000000000000000000010000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000001101001100110000000000 -000000000000000000000000000000011001110011000000000000 -000000000000000000000000001001111101100000000000000000 -000000000000000000000010001111011001000000000000000100 -000000000000000111100110101001000000000000000100000000 -000000000001000000000000000011100000000001000000000000 -000000000000000000000000001001000000000000000100000000 -000000000000000000000000001101000000000001000000000000 -000000000000000000000110001101000000000000000100000000 -000000000000000000000000000011100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 16 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -101000000000000001100111000001100001001100110000000000 -100010000000000001000000000000001101110011000000000000 -000000000000000001100110011001100000000001000001000000 -000000001100000000000110000101000000000000000000000000 -110000000000000111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000110100000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000110000101000000000001110100000000 -000000000000000000000000001011101011000010100000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000001101000000000000000100000000 -000000000000000000000000001001000000000001000000000000 +000000000000000000000000001011111011100010000000000000 +000000000000100000000000001011001001000100010000000000 +101000000000000001100110011011011011100010000000000000 +100000000000000000000010001111011000000100010000000000 +010000000000000000000111101001111011000010000000000000 +110000000000000000000100000101101001000000000000000000 +000000000000001001100110001000000000000000000100000001 +000000000000000001000000001011000000000010000000000001 +000000000000000000000000001000000000000000000100000100 +000000000000001111000000001011000000000010000000000000 +000000000000000111000010000000000000000000000100000000 +000000000000000000000010010111000000000010000001000000 +000000000000000000000000001000000000000000000100000000 +000000000000001111000000000111000000000010000000100000 +010000000000000111000000011000000000000000000100000000 +000000000000000000000010111111000000000010000000100000 .logic_tile 6 16 -000010100000001001100011111101000000000000000000000000 -000001000000001111000010000101100000000010000000000000 -000000000000001001100110000101000001000000000000000000 -000000000000001011000000000101101100000000100000000000 -000000000000001000000111001011001001000011010000000000 -000000000000001111000010100001111110000011110000000000 -000000000000001011100110011011111001000000000000000000 -000000000000001111100010111101001010000000010000000000 -000000100000001000000111000001100000000000000000000000 -000000000000000001000100000001100000000001000000000010 -000000000000000011100000000101101001011100000000000000 -000000000000000001100000000101111110111100000000000000 -000000000000000000000000000001011001000010110000000000 -000000000000000000000000000011001000000001010000000000 -000000000000001011100000000111100000000000000000000000 -000000000000001001100000001011000000000010000000000000 +000000000000001000000110101011101001000010000000000000 +000000001110000001000000001001111011000000000000000000 +101000000000000001000111011000000000000010000000000000 +100000000000000000000110000001000000000000000000000000 +110000000000001101100110011011011101100010000000000000 +110000000000000101000010100101111001001000100000000000 +000000000000000001000010101011011111100010000000000000 +000000000000000000100000001001111100000100010000000000 +010000000000000000000111000101000000000001000000000000 +110001000010000000000011100001100000000000000000000000 +000000000000000011000110000101001010100000000000000000 +000000000000000000000000001111101010000000000000000000 +000000000000000000000111111000000000000000000100000010 +000000000000000000000010000101000000000010000000000000 +010000000000000000010111000000000000000000000100000000 +000000000000000000000100001011000000000010000001000000 .logic_tile 7 16 -000000000000000000000010110001101100000011010000000000 -000000000000000001000010000001001001000010100000000000 -101000000000001001100110101011100000000000010000000000 -100000000000000001000010011001001010000000110000000000 -000000001110001000000010101101100000000000000000000000 -000000000000000101000010011011001100000000010000000000 -000000000000001000000110110101011001001100000000000000 -000000000000000101000010000101111011001000000000000000 -000000000000000001100000001101100000000000010000000000 -000000000000000111000000001011001100000000000000000000 -000000000000001000000000000101100001000000100000000000 -000000000000000101000011000111101110000000110000000000 -000000000000000000000000001001101010000011100000000000 -000000000000000111000000000011111100000011110000000000 -010000000000001000000000000001111000010100000100100000 -100000000000000101000000001001011011100100000100100000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +101000000000000000000000000000000000000000000100100000 +100000000000000000000000001001000000000010000000000000 +010000000000000000000011101000000000000000000100000000 +110000000000000000000000000111000000000010000010100000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010001000000000000000000100000100 +000000000000000000000000001011000000000010000000000000 +000000100000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +010000000000000011100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 16 -000000000001011111100010010111101000000000000000000000 -000000000000100101100011000101111111000001000000000000 -101000000000000001100010010011100000000010010001000000 -100000000000000000000110001111101100000011110000100000 -000000000000001001100110000001100001000000100000000000 -000000000000001011000000001111001100000000000000000000 -000000000000000000000000000001100000000000000000000000 -000000000000000000000000000101100000000010000000000001 -000000000000001011000000000011100000000001000000000000 -000000000000000001000000001001100000000000000001000000 -000000000000101000000110000000011101001100110000000000 -000000000000000101000010010000001001110011000000000000 -000100000000000000000110110001000000000011000100000000 -000100000000000000000011001101001100000001000000000000 -000000000000000000000000011001000001000001100100000000 -000000000000000000000011001011001111000010100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000010100000000000000000000000000000 +101000000000000000010000000000000000000000000101000000 +100000000000000000000000000011000000000010000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000110 +000000000000000000000000001111000000000010000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000000001000000000010000000000010 +010000000000000000000000010000000000000000000000000000 +000000000000010000000011000000000000000000000000000000 .logic_tile 9 16 -000000000000000000000110100011100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -101000000000000000000000000011100001000000001000000000 -100000000000000000000000000000001101000000000000000000 -110000000000000000000000000101101001001100111000100010 -100000000000000000000000000000001111110011000001000000 -000000000000000001100000000101101001001100111000000000 -000000000000001011010000000000001101110011000000000000 000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 -000000000000000011100111000000000000000010000000000000 -000000000000000111100000001001000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000111100000000110000 +101000000000001000000000000000000000000000000000000000 +100000000000000111000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000001000000111001000000000000000000110000000 -000010000000001101000000000111000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .ramt_tile 10 16 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 16 -000000000000000001000000010101100000000000001000000000 -000000000000000000100011000000100000000000000000001000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001100110011000000000000 -000000000000000000000000010000001001001100111000000001 -000000000000000000000010110000001101110011000000000000 -000000000000000000000000000000001001001100111000000010 -000000000000000111000000000000001111110011000000000000 -000000000000000000000010000000001000001100111000000000 -000000000000000000000100000000001111110011000000000001 -000000000000000000000000010000001000001100111000000000 -000000000000000000000010110000001001110011000000000000 -000000000001000000000010000000001000111100000010000000 -000000000000000000000100000000000000111100000000000000 -.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3989,11 +3977,23 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000100000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000011000000000000000000110000000 +000000000000000000000010111111000000000010000000000000 +010000000000000000000110100000000000000000000100000000 +100000000000000000000100001111000000000010000000000000 .io_tile 13 16 000000000000000000 000000000000000000 @@ -4029,7 +4029,7 @@ 000000000000000000 000000000000000000 .io_tile 2 17 -000000000000000000 +000000000000001000 000000000000000000 000000000000000000 000000000000000000 @@ -4070,7 +4070,7 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000011000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -4097,8 +4097,8 @@ 000000000000000000 000000000000000000 .io_tile 6 17 -000000110000000000 -000000000000000000 +000000011000000000 +000000001000000000 000000000000000000 000000000000000000 000000000000000100 @@ -4114,12 +4114,12 @@ 000000000000000000 000000000000000000 .io_tile 7 17 +000000110000000000 +000000001000000000 000000000000000000 000000000000000000 +000000000000000100 000000000000000000 -000000000000000000 -000000000000001100 -000000000000001100 000100000000000000 000000000000000000 000000000000000000 @@ -4128,13 +4128,13 @@ 000000000000000000 000000000000000000 000000000000000000 -000010000000000000 -000001010000000000 +000000000000000000 +000000000000000000 .io_tile 8 17 000000000000000000 000000000000000000 000000000000000000 -000000000001100000 +000000000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -4150,72 +4150,72 @@ .io_tile 9 17 000000000000000010 000100000000000000 -000001011000000000 -000000000000000001 -000000000000000001 -000000000011000000 -001100000000000000 000000000000000000 +000011110000000001 +000000000001000001 +000000000001000000 +001100000000000000 000000000000000000 -000100000000000000 -000001111001000010 +000010000000000000 +000111010000000000 +000000000000000010 000000000011000000 000000000000000000 000000000000000001 000000000000000001 000000000000000000 .io_tile 10 17 -000000111000000010 -000100000000000000 +000001111000000010 +000100001000000000 000000000000000000 000000000000000001 -000000000000000001 -000000000001000000 +000010000000000001 +000010110001000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000001010001000010 -000000001011000000 +000000000010000010 +000000000001000000 000000000000000000 -000000000000000001 +000000000001110001 000000000000000001 000000000000000000 .io_tile 11 17 -000001110000000010 -000100001000000000 +000000000000000010 +000100000000000000 000000000000000000 000000000000000001 -000000000000000001 +000001111010000001 000000000001000000 -001110000000000000 -000011110000000000 +001100000000000000 000000000000000000 +000000110000000000 000100000000000000 -000000000010000010 -000000000011000000 -000000000001100000 +000000000001000010 +000000000001000000 +000000000000000000 000000000000000001 000000000000000001 000000000000000000 .io_tile 12 17 000000000000000010 000100000000000000 -000010000000000000 +000000000000000000 000000110000000001 -000000000001000001 -000000000001000000 -001100000000000000 +000000000010000001 +000000000011000000 +001100011000000000 +000000001000000000 000000000000000000 -000010000000000000 -000100110000000000 +000100000000000000 000000000000000010 000000000011000000 000000000000000000 000000000000000001 000000000000000001 000000000000000000 -.ram_data 3 13 +.ram_data 3 5 000000040000000a00000003000000020000003f000100000000001e00000000 000000000000000000010000000100010004009f000000060000000500000003 0000001500e7002900e0001900070005000100a1000600090001000500000000 @@ -4232,7 +4232,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 10 5 +.ram_data 10 3 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4266,4684 +4266,3954 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 5 -0000000000000000000000000000000000000000000000000000000000005555 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -.sym 1 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 2 RESET$2 -.sym 3 $logic_not$top.v:66$10_Y$2 +.sym 1 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 2 $auto$dff2dffe.cc:175:make_patterns_logic$51864$2 +.sym 3 $auto$wreduce.cc:310:run$36598[3]$2 .sym 4 CLK$2$2 -.sym 5 $auto$wreduce.cc:310:run$57529[3]$2 -.sym 6 $auto$dff2dffe.cc:175:make_patterns_logic$81361$2 -.sym 7 $auto$simplemap.cc:250:simplemap_eqne$76135[8]$2 -.sym 8 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 -.sym 39 KEYBOARD.COLS_SHADOW[1] -.sym 46 KEYBOARD.COLS_SHADOW[3] -.sym 53 $auto$alumacc.cc:484:replace_alu$57637[7] -.sym 178 $add$top.v:89$20_Y[0] -.sym 179 report_data_wr[1] -.sym 180 report_data_wr[4] -.sym 184 report_data_wr[0] -.sym 293 $add$top.v:89$20_Y[2] -.sym 294 $add$top.v:89$20_Y[3] -.sym 295 $add$top.v:89$20_Y[4] -.sym 296 $add$top.v:89$20_Y[5] -.sym 297 $add$top.v:89$20_Y[6] -.sym 298 $auto$alumacc.cc:484:replace_alu$57662[6] -.sym 405 $sub$top.v:88$19_Y[7] -.sym 406 $3\report_data_adr[7:0][3] -.sym 407 $auto$dff2dffe.cc:175:make_patterns_logic$91580 -.sym 408 $sub$top.v:88$19_Y[0] -.sym 409 $3\report_data_adr[7:0][6] -.sym 410 $auto$alumacc.cc:483:replace_alu$57607[5] -.sym 411 $3\report_data_adr[7:0][0] -.sym 412 $3\report_data_adr[7:0][7] -.sym 519 $3\report_data_adr[7:0][2] -.sym 520 $3\report_data_adr[7:0][5] -.sym 521 $3\report_data_adr[7:0][1] -.sym 522 report_data_adr[5] -.sym 523 report_data_adr[4] -.sym 524 report_data_adr[6] -.sym 525 report_data_adr[1] -.sym 526 report_data_adr[3] -.sym 635 $sub$top.v:88$19_Y[3] -.sym 636 $sub$top.v:88$19_Y[4] -.sym 637 $sub$top.v:88$19_Y[5] -.sym 638 $sub$top.v:88$19_Y[6] -.sym 639 $auto$alumacc.cc:484:replace_alu$57677[6] -.sym 640 KEYBOARD.ram_wr -.sym 746 $abc$92916$n680 -.sym 748 $auto$alumacc.cc:483:replace_alu$57607[7] -.sym 749 $abc$92916$n681 -.sym 750 $auto$alumacc.cc:483:replace_alu$57578[0] -.sym 751 $abc$92916$n952 -.sym 752 $3\report_data_adr[7:0][4] -.sym 753 $abc$92916$n679 -.sym 830 $auto$simplemap.cc:250:simplemap_eqne$76135[8] +.sym 5 $logic_not$top.v:60$10_Y$2 +.sym 6 RESET$2 +.sym 7 $auto$rtlil.cc:1692:NotGate$61364$2 +.sym 8 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 +.sym 47 KEYBOARD.row_time[0] +.sym 182 $auto$alumacc.cc:483:replace_alu$36708[6] +.sym 184 uart_double_ff +.sym 298 $auto$alumacc.cc:484:replace_alu$36709[7] +.sym 521 $auto$alumacc.cc:484:replace_alu$36726[6] +.sym 523 I2C_OUT_DESC_MASK[6] +.sym 524 I2C_OUT_DESC_MASK[3] +.sym 526 I2C_OUT_DESC_MASK[1] +.sym 634 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] +.sym 637 $abc$61381$n517 +.sym 638 $abc$61381$n500_1 +.sym 639 I2C_OUT_DESC_MASK[5] +.sym 746 $abc$61381$n518 +.sym 749 $abc$61381$n508 +.sym 751 $abc$61381$n502 +.sym 752 I2C.received_byte[1] +.sym 830 $auto$rtlil.cc:1692:NotGate$61364 .sym 836 CLK$2 -.sym 867 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 861 $auto$dff2dffe.cc:175:make_patterns_logic$52858 +.sym 863 $abc$61381$n647_1 +.sym 864 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[4] +.sym 865 $abc$61381$n501_1 +.sym 866 KEYBOARD.row_time[15] .sym 944 CLK$2 -.sym 974 $techmap$auto$alumacc.cc:470:replace_alu$57577.$ternary$/usr/bin/../share/yosys/ice40/arith_map.v:42$58789_Y[3] -.sym 975 $auto$alumacc.cc:483:replace_alu$57578[6] -.sym 976 $abc$92916$n785 -.sym 978 $auto$alumacc.cc:483:replace_alu$57631[6] -.sym 981 UART.TX_sig_last -.sym 1095 $auto$alumacc.cc:484:replace_alu$57632[7] -.sym 1204 $auto$alumacc.cc:484:replace_alu$57697[6] -.sym 1206 $auto$alumacc.cc:483:replace_alu$57631[1] -.sym 1207 $auto$alumacc.cc:483:replace_alu$57578[2] -.sym 1208 $techmap\I2C_HID_DESC.$add$descriptors.v:36$552_Y[7] -.sym 1209 $auto$alumacc.cc:483:replace_alu$57578[7] +.sym 980 $auto$dff2dffe.cc:175:make_patterns_logic$52992 +.sym 981 I2C.received_byte[3] +.sym 1088 $auto$alumacc.cc:483:replace_alu$36649[3] +.sym 1089 $auto$alumacc.cc:483:replace_alu$36649[1] +.sym 1091 $auto$alumacc.cc:483:replace_alu$36649[6] +.sym 1092 kbd_report[3][1] +.sym 1093 kbd_report[3][3] +.sym 1209 $auto$alumacc.cc:484:replace_alu$36685[7] .sym 1289 UART.tx_line -.sym 1317 $auto$simplemap.cc:250:simplemap_eqne$76135[8] -.sym 1318 $auto$alumacc.cc:483:replace_alu$57578[4] -.sym 1321 $abc$92916$n721 -.sym 1322 $auto$alumacc.cc:483:replace_alu$57578[5] -.sym 1323 KBD_FREEZE +.sym 1323 $auto$alumacc.cc:484:replace_alu$36650[7] .sym 1403 I2C.is_read .sym 1517 KBD_FREEZE .sym 1522 INT -.sym 1544 $auto$alumacc.cc:484:replace_alu$57579[7] -.sym 1545 $techmap\I2C_HID_DESC.$add$descriptors.v:36$552_Y[0] -.sym 1546 I2C_HID_DESC.real_adress[6] -.sym 1547 I2C_HID_DESC.real_adress[3] -.sym 1549 I2C_HID_DESC.real_adress[0] -.sym 1551 I2C_HID_DESC.real_adress[7] -.sym 1659 I2C_OUT_DESC_MASK[3] -.sym 1660 I2C_OUT_DESC_MASK[5] -.sym 1664 I2C_OUT_DESC_MASK[7] .sym 1851 $false .sym 1853 KEYBOARD.ROWS_EN[13] .sym 1856 $false .sym 1858 KEYBOARD.ROWS_EN[14] -.sym 1881 $techmap\KEYBOARD.$procmux$5651_Y[5] -.sym 1885 KEYBOARD.report[0][4] -.sym 2002 KBD_COLUMNS[1]$2 -.sym 2003 $false -.sym 2004 $false -.sym 2005 $false -.sym 2037 KBD_COLUMNS[3]$2 -.sym 2038 $false -.sym 2039 $false -.sym 2040 $false -.sym 2041 $true -.sym 2042 CLK$2$2 -.sym 2043 $false -.sym 2140 $true -.sym 2177 $auto$alumacc.cc:483:replace_alu$57607[2]$2 +.sym 1880 $add$top.v:60$11_Y[1] +.sym 1881 $add$top.v:60$11_Y[2] +.sym 1882 $auto$alumacc.cc:484:replace_alu$36700[2] +.sym 1883 RESET +.sym 1884 rststate[2] +.sym 1885 rststate[1] +.sym 2058 $add$top.v:60$11_Y[3] +.sym 2063 rststate[3] .sym 2178 $false -.sym 2179 $auto$alumacc.cc:483:replace_alu$57607[2] -.sym 2180 $false +.sym 2179 $true$2 +.sym 2180 KEYBOARD.row_time[0] .sym 2181 $false -.sym 2182 $auto$alumacc.cc:484:replace_alu$57637[3] -.sym 2184 $true$2 -.sym 2185 $auto$alumacc.cc:483:replace_alu$57607[3] -.sym 2187 $auto$alumacc.cc:484:replace_alu$57637[4] -.sym 2189 $false -.sym 2190 $auto$alumacc.cc:483:replace_alu$57607[4] -.sym 2192 $auto$alumacc.cc:484:replace_alu$57637[5] -.sym 2194 $false -.sym 2195 $auto$alumacc.cc:483:replace_alu$57607[5] -.sym 2197 $auto$alumacc.cc:484:replace_alu$57637[6] -.sym 2199 $false -.sym 2200 $auto$alumacc.cc:483:replace_alu$57607[6] -.sym 2202 $auto$alumacc.cc:484:replace_alu$57637[7]$2 -.sym 2204 $false -.sym 2205 $auto$alumacc.cc:483:replace_alu$57607[7] -.sym 2211 $auto$alumacc.cc:484:replace_alu$57637[7]$2 -.sym 2220 $auto$alumacc.cc:484:replace_alu$57608[7] -.sym 2221 $auto$alumacc.cc:483:replace_alu$57607[4] -.sym 2223 $abc$92916$n623 -.sym 2226 KEYBOARD.row_time[0] -.sym 2311 $false -.sym 2312 $true$2 -.sym 2313 $3\report_data_adr[7:0][0] -.sym 2314 $false -.sym 2316 $abc$92916$n1012 -.sym 2317 $3\report_data_adr[7:0][0] -.sym 2318 $3\report_data_adr[7:0][1] -.sym 2319 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 2321 $add$top.v:89$20_Y[4] -.sym 2322 $abc$92916$n1033 -.sym 2323 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 2324 $false -.sym 2341 $add$top.v:89$20_Y[0] -.sym 2342 $abc$92916$n997 -.sym 2343 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 2217 RESET$2 +.sym 2218 CLK$2$2 +.sym 2219 $auto$rtlil.cc:1692:NotGate$61364$2 +.sym 2222 $auto$wreduce.cc:310:run$36586[2] +.sym 2223 $auto$wreduce.cc:310:run$36586[3] +.sym 2224 $auto$wreduce.cc:310:run$36586[4] +.sym 2225 $auto$wreduce.cc:310:run$36586[5] +.sym 2226 $auto$wreduce.cc:310:run$36586[6] +.sym 2227 $auto$alumacc.cc:484:replace_alu$36714[6] +.sym 2331 $auto$alumacc.cc:483:replace_alu$36713[6] +.sym 2332 $false +.sym 2333 $false +.sym 2334 $false +.sym 2341 $0\uart_double_ff[0:0] +.sym 2342 $false +.sym 2343 $false .sym 2344 $false -.sym 2345 $auto$dff2dffe.cc:175:make_patterns_logic$76937 +.sym 2345 $auto$dff2dffe.cc:175:make_patterns_logic$52724 .sym 2346 CLK$2$2 .sym 2347 $false -.sym 2349 $auto$alumacc.cc:483:replace_alu$57607[3] -.sym 2350 $abc$92916$n727 -.sym 2351 $add$top.v:89$20_Y[7] -.sym 2352 $auto$simplemap.cc:309:simplemap_lut$68590[1] -.sym 2353 $abc$92916$n1013_1 -.sym 2354 $abc$92916$n1012 -.sym 2355 KEYBOARD.row_time[15] +.sym 2348 $auto$alumacc.cc:483:replace_alu$36708[1] +.sym 2349 $auto$alumacc.cc:483:replace_alu$36708[2] +.sym 2350 $auto$alumacc.cc:483:replace_alu$36708[5] +.sym 2351 $abc$61381$n911 +.sym 2352 I2C.byte_counter[6] +.sym 2353 I2C.byte_counter[3] +.sym 2354 I2C.byte_counter[4] +.sym 2355 I2C.byte_counter[2] .sym 2396 $true -.sym 2433 $3\report_data_adr[7:0][0]$2 +.sym 2433 $auto$alumacc.cc:483:replace_alu$36708[1]$2 .sym 2434 $false -.sym 2435 $3\report_data_adr[7:0][0] +.sym 2435 $auto$alumacc.cc:483:replace_alu$36708[1] .sym 2436 $false .sym 2437 $false -.sym 2438 $auto$alumacc.cc:484:replace_alu$57662[1] +.sym 2438 $auto$alumacc.cc:484:replace_alu$36709[2] .sym 2440 $false -.sym 2441 $3\report_data_adr[7:0][1] -.sym 2443 $auto$alumacc.cc:484:replace_alu$57662[2] -.sym 2444 $false +.sym 2441 $auto$alumacc.cc:483:replace_alu$36708[2] +.sym 2443 $auto$alumacc.cc:484:replace_alu$36709[3] .sym 2445 $false -.sym 2446 $3\report_data_adr[7:0][2] -.sym 2447 $auto$alumacc.cc:484:replace_alu$57662[1] -.sym 2448 $auto$alumacc.cc:484:replace_alu$57662[3] -.sym 2449 $false +.sym 2446 $auto$alumacc.cc:483:replace_alu$36708[3] +.sym 2448 $auto$alumacc.cc:484:replace_alu$36709[4] .sym 2450 $false -.sym 2451 $3\report_data_adr[7:0][3] -.sym 2452 $auto$alumacc.cc:484:replace_alu$57662[2] -.sym 2453 $auto$alumacc.cc:484:replace_alu$57662[4] -.sym 2454 $false +.sym 2451 $auto$alumacc.cc:483:replace_alu$36708[4] +.sym 2453 $auto$alumacc.cc:484:replace_alu$36709[5] .sym 2455 $false -.sym 2456 $3\report_data_adr[7:0][4] -.sym 2457 $auto$alumacc.cc:484:replace_alu$57662[3] -.sym 2458 $auto$alumacc.cc:484:replace_alu$57662[5] -.sym 2459 $false +.sym 2456 $auto$alumacc.cc:483:replace_alu$36708[5] +.sym 2458 $auto$alumacc.cc:484:replace_alu$36709[6] .sym 2460 $false -.sym 2461 $3\report_data_adr[7:0][5] -.sym 2462 $auto$alumacc.cc:484:replace_alu$57662[4] -.sym 2463 $auto$alumacc.cc:484:replace_alu$57662[6]$2 -.sym 2464 $false +.sym 2461 $auto$alumacc.cc:483:replace_alu$36708[6] +.sym 2463 $auto$alumacc.cc:484:replace_alu$36709[7]$2 .sym 2465 $false -.sym 2466 $3\report_data_adr[7:0][6] -.sym 2467 $auto$alumacc.cc:484:replace_alu$57662[5] -.sym 2472 $auto$alumacc.cc:484:replace_alu$57662[6]$2 -.sym 2476 $abc$92916$n1047_1 -.sym 2477 $techmap\UART.$sub$uart.v:32$535_Y[3] -.sym 2479 $abc$92916$n1040 -.sym 2480 $abc$92916$n1197_1 -.sym 2481 report_data_wr[6] -.sym 2482 report_data_wr[3] -.sym 2483 report_data_wr[5] -.sym 2562 $false -.sym 2563 init_ram_cnt[7] -.sym 2564 $true$2 -.sym 2565 $auto$alumacc.cc:484:replace_alu$57677[6] -.sym 2567 $abc$92916$n952 -.sym 2568 $sub$top.v:88$19_Y[3] -.sym 2569 $false -.sym 2570 $false -.sym 2572 $techmap\KEYBOARD.$procmux$7960_Y -.sym 2573 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 2574 RESET$2 -.sym 2575 $false -.sym 2577 $false -.sym 2578 init_ram_cnt[0] -.sym 2579 $true$2 -.sym 2580 $true$2 -.sym 2582 $abc$92916$n952 -.sym 2583 $sub$top.v:88$19_Y[6] -.sym 2584 $false -.sym 2585 $false -.sym 2587 I2C.byte_counter[5] -.sym 2588 $false -.sym 2589 $false -.sym 2590 $false -.sym 2592 $abc$92916$n952 -.sym 2593 $sub$top.v:88$19_Y[0] -.sym 2594 $false -.sym 2595 $false -.sym 2597 $abc$92916$n952 -.sym 2598 $sub$top.v:88$19_Y[7] -.sym 2599 $false -.sym 2600 $false -.sym 2604 $add$top.v:184$103_Y[0] -.sym 2605 $abc$92916$n961 -.sym 2606 $abc$92916$n958 -.sym 2607 $add$top.v:184$103_Y[7] -.sym 2608 $abc$92916$n995 -.sym 2609 report_data_adr[7] -.sym 2610 report_data_adr[2] -.sym 2611 report_data_adr[0] -.sym 2690 $abc$92916$n952 -.sym 2691 init_ram_cnt[1] -.sym 2692 init_ram_cnt[2] +.sym 2466 $auto$alumacc.cc:483:replace_alu$36708[7] +.sym 2472 $auto$alumacc.cc:484:replace_alu$36709[7]$2 +.sym 2476 $techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[0] +.sym 2477 $techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[7] +.sym 2478 $auto$wreduce.cc:310:run$36592[0] +.sym 2479 I2C_HID_DESC.real_adress[4] +.sym 2480 I2C_HID_DESC.real_adress[7] +.sym 2481 I2C_HID_DESC.real_adress[0] +.sym 2483 I2C_HID_DESC.real_adress[3] +.sym 2604 $abc$61381$n867 +.sym 2605 $abc$61381$n877 +.sym 2608 $abc$61381$n513 +.sym 2609 $abc$61381$n504 +.sym 2611 KEYBOARD.row_time[1] +.sym 2652 $true +.sym 2689 I2C.byte_counter[5]$2 +.sym 2690 $false +.sym 2691 I2C.byte_counter[5] +.sym 2692 $false .sym 2693 $false -.sym 2695 $abc$92916$n952 -.sym 2696 $sub$top.v:88$19_Y[5] -.sym 2697 $false -.sym 2698 $false -.sym 2700 $abc$92916$n952 -.sym 2701 init_ram_cnt[1] -.sym 2702 $false -.sym 2703 $false -.sym 2705 $3\report_data_adr[7:0][5] -.sym 2706 $abc$92916$n981 -.sym 2707 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 2708 $false -.sym 2710 $3\report_data_adr[7:0][4] -.sym 2711 $abc$92916$n974 -.sym 2712 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 2694 $auto$alumacc.cc:484:replace_alu$36726[6]$2 +.sym 2696 $false +.sym 2697 I2C.byte_counter[6] +.sym 2703 $auto$alumacc.cc:484:replace_alu$36726[6]$2 +.sym 2710 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 2711 $false +.sym 2712 $false .sym 2713 $false -.sym 2715 $3\report_data_adr[7:0][6] -.sym 2716 $abc$92916$n988 -.sym 2717 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 2715 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 2716 $false +.sym 2717 $false .sym 2718 $false -.sym 2720 $3\report_data_adr[7:0][1] -.sym 2721 $abc$92916$n957 -.sym 2722 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 2723 $false -.sym 2725 $3\report_data_adr[7:0][3] -.sym 2726 $abc$92916$n964 -.sym 2727 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 2725 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 2726 $false +.sym 2727 $false .sym 2728 $false -.sym 2729 $auto$dff2dffe.cc:175:make_patterns_logic$76062 +.sym 2729 $auto$dff2dffe.cc:175:make_patterns_logic$51864$2 .sym 2730 CLK$2$2 -.sym 2731 $false -.sym 2734 init_ram_cnt[2] -.sym 2735 init_ram_cnt[3] -.sym 2736 init_ram_cnt[4] -.sym 2737 init_ram_cnt[5] -.sym 2738 init_ram_cnt[6] -.sym 2739 $auto$alumacc.cc:484:replace_alu$57665[6] -.sym 2780 $true -.sym 2817 init_ram_cnt[1]$3 -.sym 2818 $false -.sym 2819 init_ram_cnt[1] -.sym 2820 $false -.sym 2821 $false -.sym 2822 $auto$alumacc.cc:484:replace_alu$57677[2] -.sym 2824 init_ram_cnt[2] -.sym 2825 $true$2 -.sym 2827 $auto$alumacc.cc:484:replace_alu$57677[3] -.sym 2828 $false -.sym 2829 init_ram_cnt[3] -.sym 2830 $false -.sym 2831 $auto$alumacc.cc:484:replace_alu$57677[2] -.sym 2832 $auto$alumacc.cc:484:replace_alu$57677[4] -.sym 2833 $false -.sym 2834 init_ram_cnt[4] -.sym 2835 $true$2 -.sym 2836 $auto$alumacc.cc:484:replace_alu$57677[3] -.sym 2837 $auto$alumacc.cc:484:replace_alu$57677[5] -.sym 2838 $false -.sym 2839 init_ram_cnt[5] -.sym 2840 $true$2 -.sym 2841 $auto$alumacc.cc:484:replace_alu$57677[4] -.sym 2842 $auto$alumacc.cc:484:replace_alu$57677[6]$2 -.sym 2843 $false -.sym 2844 init_ram_cnt[6] -.sym 2845 $true$2 -.sym 2846 $auto$alumacc.cc:484:replace_alu$57677[5] -.sym 2851 $auto$alumacc.cc:484:replace_alu$57677[6]$2 -.sym 2853 $techmap\KEYBOARD.$procmux$7960_Y -.sym 2854 $false -.sym 2855 $false -.sym 2856 $false -.sym 2857 $auto$dff2dffe.cc:175:make_patterns_logic$91580 +.sym 2731 $logic_not$top.v:60$10_Y$2 +.sym 2732 $abc$61381$n509 +.sym 2733 $abc$61381$n527 +.sym 2734 $abc$61381$n552 +.sym 2735 $abc$61381$n551 +.sym 2736 $abc$61381$n875 +.sym 2737 $auto$rtlil.cc:1692:NotGate$61364 +.sym 2738 I2C_HID_DESC.real_adress[6] +.sym 2739 I2C_HID_DESC.real_adress[1] +.sym 2823 $false +.sym 2824 $auto$alumacc.cc:470:replace_alu$36715.A_buf[0] +.sym 2825 $false +.sym 2826 $true$2 +.sym 2838 $abc$61381$n501_1 +.sym 2839 $abc$61381$n518 +.sym 2840 $false +.sym 2841 $false +.sym 2843 $abc$61381$n501_1 +.sym 2844 $abc$61381$n502 +.sym 2845 KEYBOARD.row_time[8] +.sym 2846 KEYBOARD.row_time[9] +.sym 2848 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 2849 $false +.sym 2850 $false +.sym 2851 $false +.sym 2857 $auto$dff2dffe.cc:175:make_patterns_logic$51864$2 .sym 2858 CLK$2$2 -.sym 2859 $false -.sym 2862 $add$top.v:184$103_Y[2] -.sym 2863 $add$top.v:184$103_Y[3] -.sym 2864 $add$top.v:184$103_Y[4] -.sym 2865 $add$top.v:184$103_Y[5] -.sym 2866 $add$top.v:184$103_Y[6] -.sym 2867 $auto$alumacc.cc:484:replace_alu$57656[6] -.sym 2946 init_ram_cnt[3] -.sym 2947 init_ram_cnt[1] -.sym 2948 init_ram_cnt[0] -.sym 2949 init_ram_cnt[2] -.sym 2956 I2C.byte_counter[7] -.sym 2957 $false -.sym 2958 $false -.sym 2959 $false -.sym 2961 init_ram_cnt[4] -.sym 2962 init_ram_cnt[5] -.sym 2963 init_ram_cnt[6] -.sym 2964 $false -.sym 2966 init_ram_cnt[0] -.sym 2967 $false -.sym 2968 $false -.sym 2969 $false -.sym 2971 $auto$alumacc.cc:484:replace_alu$57579[7] -.sym 2972 $abc$92916$n681 -.sym 2973 init_ram_cnt[7] -.sym 2974 $abc$92916$n680 -.sym 2976 $abc$92916$n952 -.sym 2977 $sub$top.v:88$19_Y[4] +.sym 2859 $logic_not$top.v:60$10_Y$2 +.sym 2862 KEYBOARD.row_time[2] +.sym 2863 KEYBOARD.row_time[3] +.sym 2864 KEYBOARD.row_time[4] +.sym 2865 KEYBOARD.row_time[5] +.sym 2866 KEYBOARD.row_time[6] +.sym 2867 KEYBOARD.row_time[7] +.sym 2946 KEYBOARD.row_time[8] +.sym 2947 KEYBOARD.row_time[9] +.sym 2948 KEYBOARD.row_time[10] +.sym 2949 KEYBOARD.row_time[11] +.sym 2961 KEYBOARD.row_time[8] +.sym 2962 KEYBOARD.row_time[9] +.sym 2963 KEYBOARD.row_time[10] +.sym 2964 KEYBOARD.row_time[11] +.sym 2971 KEYBOARD.row_time[10] +.sym 2972 KEYBOARD.row_time[11] +.sym 2973 $false +.sym 2974 $false +.sym 2976 I2C.FLT_SDA.out +.sym 2977 $false .sym 2978 $false .sym 2979 $false -.sym 2981 $abc$92916$n680 -.sym 2982 $abc$92916$n681 -.sym 2983 init_ram_cnt[7] -.sym 2984 $false -.sym 2988 $auto$alumacc.cc:470:replace_alu$57595.BB[2] -.sym 2989 $auto$alumacc.cc:483:replace_alu$57607[0] -.sym 2990 $auto$alumacc.cc:483:replace_alu$57607[2] -.sym 2992 $8\report_data_adr[7:0][7] -.sym 2993 init_ram_cnt[0] -.sym 2994 init_ram_cnt[1] -.sym 2995 init_ram_cnt[7] -.sym 3036 $true -.sym 3073 init_ram_cnt[1]$2 -.sym 3074 $false -.sym 3075 init_ram_cnt[1] -.sym 3076 $false -.sym 3077 $false -.sym 3078 $auto$alumacc.cc:484:replace_alu$57590[2] -.sym 3080 init_ram_cnt[2] -.sym 3081 $true$2 -.sym 3083 $auto$alumacc.cc:484:replace_alu$57590[3] -.sym 3085 init_ram_cnt[3] -.sym 3086 $false -.sym 3088 $auto$alumacc.cc:484:replace_alu$57590[4] -.sym 3090 init_ram_cnt[4] -.sym 3091 $true$2 -.sym 3093 $auto$alumacc.cc:484:replace_alu$57590[5] -.sym 3095 init_ram_cnt[5] +.sym 2985 $auto$dff2dffe.cc:175:make_patterns_logic$52858 +.sym 2986 CLK$2$2 +.sym 2987 $false +.sym 2988 KEYBOARD.row_time[8] +.sym 2989 KEYBOARD.row_time[9] +.sym 2990 KEYBOARD.row_time[10] +.sym 2991 KEYBOARD.row_time[11] +.sym 2992 KEYBOARD.row_time[12] +.sym 2993 KEYBOARD.row_time[13] +.sym 2994 KEYBOARD.row_time[14] +.sym 2995 $auto$alumacc.cc:484:replace_alu$36753[14] +.sym 3079 $abc$61381$n641 +.sym 3080 $abc$61381$n648 +.sym 3081 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] +.sym 3082 $false +.sym 3089 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[3] +.sym 3090 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[4] +.sym 3091 $auto$simplemap.cc:309:simplemap_lut$46032[1] +.sym 3092 $false +.sym 3094 $false +.sym 3095 $false .sym 3096 $true$2 -.sym 3098 $auto$alumacc.cc:484:replace_alu$57590[6] -.sym 3100 init_ram_cnt[6] -.sym 3101 $true$2 -.sym 3103 $auto$simplemap.cc:250:simplemap_eqne$80251[1]$2 -.sym 3105 init_ram_cnt[7] -.sym 3106 $false -.sym 3112 $auto$simplemap.cc:250:simplemap_eqne$80251[1]$2 -.sym 3118 I2C_INPUT_LEN[2] -.sym 3119 I2C_INPUT_LEN[3] -.sym 3120 I2C_INPUT_LEN[4] -.sym 3121 I2C_INPUT_LEN[5] -.sym 3122 I2C_INPUT_LEN[6] -.sym 3123 $auto$alumacc.cc:484:replace_alu$57674[6] -.sym 3202 init_ram_cnt[3] -.sym 3203 $false -.sym 3204 $false -.sym 3205 $false -.sym 3207 init_ram_cnt[6] -.sym 3208 $false -.sym 3209 $false -.sym 3210 $false -.sym 3212 I2C.is_read -.sym 3213 $auto$dff2dffe.cc:175:make_patterns_logic$73101 -.sym 3214 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 3215 RESET$2 -.sym 3222 I2C_INPUT_LEN[6] -.sym 3223 $false -.sym 3224 $false -.sym 3225 $false -.sym 3237 UART_WR +.sym 3097 $auto$simplemap.cc:309:simplemap_lut$46032[1] +.sym 3099 KEYBOARD.row_time[12] +.sym 3100 KEYBOARD.row_time[14] +.sym 3101 KEYBOARD.row_time[15] +.sym 3102 KEYBOARD.row_time[13] +.sym 3104 $false +.sym 3105 $false +.sym 3106 KEYBOARD.row_time[15] +.sym 3107 $auto$alumacc.cc:484:replace_alu$36753[14] +.sym 3113 RESET$2 +.sym 3114 CLK$2$2 +.sym 3115 $auto$rtlil.cc:1692:NotGate$61364$2 +.sym 3116 $abc$61381$n827_1 +.sym 3117 $abc$61381$n974 +.sym 3118 I2C.received_byte[6] +.sym 3232 $abc$61381$n641 +.sym 3233 $abc$61381$n648 +.sym 3234 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] +.sym 3235 $false +.sym 3237 I2C.FLT_SDA.out .sym 3238 $false .sym 3239 $false .sym 3240 $false -.sym 3241 RESET$2 +.sym 3241 $auto$dff2dffe.cc:175:make_patterns_logic$52992 .sym 3242 CLK$2$2 .sym 3243 $false -.sym 3244 $auto$dff2dffe.cc:175:make_patterns_logic$81361 -.sym 3245 $auto$alumacc.cc:483:replace_alu$57631[7] -.sym 3246 $auto$alumacc.cc:483:replace_alu$57631[5] -.sym 3247 $abc$92916$n798 -.sym 3248 $auto$alumacc.cc:483:replace_alu$57631[4] -.sym 3249 $auto$alumacc.cc:483:replace_alu$57631[3] -.sym 3250 $auto$alumacc.cc:483:replace_alu$57631[2] -.sym 3251 I2C_INPUT_LEN[7] -.sym 3292 $true -.sym 3329 $auto$alumacc.cc:483:replace_alu$57631[1]$2 -.sym 3330 $false -.sym 3331 $auto$alumacc.cc:483:replace_alu$57631[1] +.sym 3244 $abc$61381$n976 +.sym 3247 $auto$dff2dffe.cc:175:make_patterns_logic$53059 +.sym 3249 $abc$61381$n984 +.sym 3250 KEYBOARD.report[0][2] +.sym 3251 KEYBOARD.report[0][6] +.sym 3330 I2C.received_byte[3] +.sym 3331 $false .sym 3332 $false .sym 3333 $false -.sym 3334 $auto$alumacc.cc:484:replace_alu$57632[2] +.sym 3335 I2C.received_byte[1] .sym 3336 $false -.sym 3337 $auto$alumacc.cc:483:replace_alu$57631[2] -.sym 3339 $auto$alumacc.cc:484:replace_alu$57632[3] -.sym 3341 $false -.sym 3342 $auto$alumacc.cc:483:replace_alu$57631[3] -.sym 3344 $auto$alumacc.cc:484:replace_alu$57632[4] +.sym 3337 $false +.sym 3338 $false +.sym 3345 I2C.received_byte[6] .sym 3346 $false -.sym 3347 $auto$alumacc.cc:483:replace_alu$57631[4] -.sym 3349 $auto$alumacc.cc:484:replace_alu$57632[5] +.sym 3347 $false +.sym 3348 $false +.sym 3350 KEYBOARD.kbd_code_hid[1] .sym 3351 $false -.sym 3352 $auto$alumacc.cc:483:replace_alu$57631[5] -.sym 3354 $auto$alumacc.cc:484:replace_alu$57632[6] +.sym 3352 $false +.sym 3353 $false +.sym 3355 KEYBOARD.kbd_code_hid[3] .sym 3356 $false -.sym 3357 $auto$alumacc.cc:483:replace_alu$57631[6] -.sym 3359 $auto$alumacc.cc:484:replace_alu$57632[7]$2 -.sym 3361 $false -.sym 3362 $auto$alumacc.cc:483:replace_alu$57631[7] -.sym 3368 $auto$alumacc.cc:484:replace_alu$57632[7]$2 -.sym 3372 $abc$92916$n799_1 -.sym 3374 $abc$92916$n805 -.sym 3375 $auto$dff2dffe.cc:175:make_patterns_logic$84280 -.sym 3376 $abc$92916$n814_1 -.sym 3377 $abc$92916$n803 -.sym 3378 $abc$92916$n801 -.sym 3379 last_uart_active +.sym 3357 $false +.sym 3358 $false +.sym 3369 $auto$dff2dffe.cc:175:make_patterns_logic$57638 +.sym 3370 CLK$2$2 +.sym 3371 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 3374 $auto$alumacc.cc:470:replace_alu$36760.B_buf[2] +.sym 3375 $auto$alumacc.cc:484:replace_alu$36759[2] +.sym 3376 $abc$61381$n665 +.sym 3377 $auto$alumacc.cc:470:replace_alu$36760.B_buf[3] +.sym 3378 UART.tx_bit_counter[3] +.sym 3379 UART.tx_bit_counter[2] .sym 3420 $true -.sym 3457 I2C.byte_counter[5]$2 +.sym 3457 $auto$alumacc.cc:483:replace_alu$36649[1]$3 .sym 3458 $false -.sym 3459 I2C.byte_counter[5] +.sym 3459 $auto$alumacc.cc:483:replace_alu$36649[1] .sym 3460 $false .sym 3461 $false -.sym 3462 $auto$alumacc.cc:484:replace_alu$57697[6]$2 -.sym 3464 $false -.sym 3465 I2C.byte_counter[6] -.sym 3471 $auto$alumacc.cc:484:replace_alu$57697[6]$2 -.sym 3478 I2C_INPUT_LEN[1] +.sym 3462 $auto$alumacc.cc:484:replace_alu$36685[2] +.sym 3464 $true$2 +.sym 3465 $auto$alumacc.cc:483:replace_alu$36649[2] +.sym 3467 $auto$alumacc.cc:484:replace_alu$36685[3] +.sym 3469 $false +.sym 3470 $auto$alumacc.cc:483:replace_alu$36649[3] +.sym 3472 $auto$alumacc.cc:484:replace_alu$36685[4] +.sym 3474 $false +.sym 3475 $auto$alumacc.cc:483:replace_alu$36649[4] +.sym 3477 $auto$alumacc.cc:484:replace_alu$36685[5] .sym 3479 $false -.sym 3480 $false -.sym 3481 $false -.sym 3483 init_ram_cnt[2] +.sym 3480 $auto$alumacc.cc:483:replace_alu$36649[5] +.sym 3482 $auto$alumacc.cc:484:replace_alu$36685[6] .sym 3484 $false -.sym 3485 $false -.sym 3486 $false -.sym 3488 $false +.sym 3485 $auto$alumacc.cc:483:replace_alu$36649[6] +.sym 3487 $auto$alumacc.cc:484:replace_alu$36685[7]$2 .sym 3489 $false -.sym 3490 I2C.byte_counter[7] -.sym 3491 $auto$alumacc.cc:484:replace_alu$57697[6] -.sym 3493 init_ram_cnt[7] -.sym 3494 $false -.sym 3495 $false -.sym 3496 $false -.sym 3500 $abc$92916$n1070 -.sym 3501 $abc$92916$n1072 -.sym 3502 $abc$92916$n716 -.sym 3503 $auto$dff2dffe.cc:175:make_patterns_logic$72910 -.sym 3504 I2C_HID_DESC.real_adress[1] -.sym 3505 I2C_HID_DESC.real_adress[4] -.sym 3506 I2C_HID_DESC.real_adress[5] -.sym 3507 I2C_HID_DESC.real_adress[2] -.sym 3591 I2C_OUTPUT_TYPE[2] -.sym 3592 I2C_OUTPUT_TYPE[1] -.sym 3593 I2C_OUTPUT_TYPE[0] -.sym 3594 $false -.sym 3596 init_ram_cnt[4] +.sym 3490 $auto$alumacc.cc:483:replace_alu$36649[7] +.sym 3496 $auto$alumacc.cc:484:replace_alu$36685[7]$2 +.sym 3500 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] +.sym 3501 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[3] +.sym 3502 $auto$alumacc.cc:470:replace_alu$36760.B_buf[0] +.sym 3503 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[2] +.sym 3504 $abc$61381$n959 +.sym 3505 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] +.sym 3506 UART.tx_bit_counter[0] +.sym 3507 UART.tx_bit_counter[1] +.sym 3548 $true +.sym 3585 $auto$alumacc.cc:483:replace_alu$36649[1]$2 +.sym 3586 $false +.sym 3587 $auto$alumacc.cc:483:replace_alu$36649[1] +.sym 3588 $false +.sym 3589 $false +.sym 3590 $auto$alumacc.cc:484:replace_alu$36650[2] +.sym 3592 $false +.sym 3593 $auto$alumacc.cc:483:replace_alu$36649[2] +.sym 3595 $auto$alumacc.cc:484:replace_alu$36650[3] .sym 3597 $false -.sym 3598 $false -.sym 3599 $false -.sym 3611 I2C_OUTPUT_TYPE[2] -.sym 3612 I2C_OUTPUT_TYPE[1] -.sym 3613 I2C_OUTPUT_TYPE[0] -.sym 3614 $auto$alumacc.cc:484:replace_alu$57632[7] -.sym 3616 init_ram_cnt[5] +.sym 3598 $auto$alumacc.cc:483:replace_alu$36649[3] +.sym 3600 $auto$alumacc.cc:484:replace_alu$36650[4] +.sym 3602 $false +.sym 3603 $auto$alumacc.cc:483:replace_alu$36649[4] +.sym 3605 $auto$alumacc.cc:484:replace_alu$36650[5] +.sym 3607 $false +.sym 3608 $auto$alumacc.cc:483:replace_alu$36649[5] +.sym 3610 $auto$alumacc.cc:484:replace_alu$36650[6] +.sym 3612 $false +.sym 3613 $auto$alumacc.cc:483:replace_alu$36649[6] +.sym 3615 $auto$alumacc.cc:484:replace_alu$36650[7]$2 .sym 3617 $false -.sym 3618 $false -.sym 3619 $false -.sym 3621 $false -.sym 3622 $false -.sym 3623 $false -.sym 3624 $false -.sym 3625 $auto$dff2dffe.cc:175:make_patterns_logic$73101 -.sym 3626 CLK$2$2 -.sym 3627 $false -.sym 3628 $abc$92916$n1103 -.sym 3630 $abc$92916$n1097 -.sym 3632 I2C_OUT_DESC_MASK[4] -.sym 3633 I2C_OUTPUT_TYPE[0] -.sym 3634 I2C_OUTPUT_TYPE[1] -.sym 3635 I2C_OUTPUT_TYPE[2] -.sym 3676 $true -.sym 3713 $auto$alumacc.cc:483:replace_alu$57578[0]$2 -.sym 3714 $false -.sym 3715 $auto$alumacc.cc:483:replace_alu$57578[0] -.sym 3716 $false -.sym 3717 $false -.sym 3718 $auto$alumacc.cc:484:replace_alu$57579[1] -.sym 3720 $true$2 -.sym 3721 $sub$top.v:88$19_Y[1] -.sym 3723 $auto$alumacc.cc:484:replace_alu$57579[2] -.sym 3725 $false -.sym 3726 $auto$alumacc.cc:483:replace_alu$57578[2] -.sym 3728 $auto$alumacc.cc:484:replace_alu$57579[3] -.sym 3730 $true$2 -.sym 3731 $techmap$auto$alumacc.cc:470:replace_alu$57577.$ternary$/usr/bin/../share/yosys/ice40/arith_map.v:42$58789_Y[3] -.sym 3733 $auto$alumacc.cc:484:replace_alu$57579[4] -.sym 3735 $false -.sym 3736 $auto$alumacc.cc:483:replace_alu$57578[4] -.sym 3738 $auto$alumacc.cc:484:replace_alu$57579[5] -.sym 3740 $false -.sym 3741 $auto$alumacc.cc:483:replace_alu$57578[5] -.sym 3743 $auto$alumacc.cc:484:replace_alu$57579[6] -.sym 3745 $false -.sym 3746 $auto$alumacc.cc:483:replace_alu$57578[6] -.sym 3748 $auto$alumacc.cc:484:replace_alu$57579[7]$2 -.sym 3750 $false -.sym 3751 $auto$alumacc.cc:483:replace_alu$57578[7] -.sym 3760 I2C_INPUT_LEN[1] -.sym 3845 $auto$alumacc.cc:484:replace_alu$57579[7]$2 -.sym 3847 $false -.sym 3848 $false -.sym 3849 I2C.byte_counter[0] -.sym 3850 $false -.sym 3852 I2C_OUTPUT_TYPE[1] -.sym 3853 I2C_OUTPUT_TYPE[0] -.sym 3854 I2C.byte_counter[5] -.sym 3855 I2C.byte_counter[6] -.sym 3857 I2C.byte_counter[3] -.sym 3858 $false -.sym 3859 $false -.sym 3860 $false -.sym 3867 I2C.byte_counter[0] -.sym 3868 I2C_OUTPUT_TYPE[1] -.sym 3869 I2C_OUTPUT_TYPE[0] -.sym 3870 $techmap\I2C_HID_DESC.$add$descriptors.v:36$552_Y[0] -.sym 3877 I2C.byte_counter[7] -.sym 3878 I2C_OUTPUT_TYPE[1] -.sym 3879 I2C_OUTPUT_TYPE[0] -.sym 3880 $techmap\I2C_HID_DESC.$add$descriptors.v:36$552_Y[7] -.sym 3881 $auto$dff2dffe.cc:158:make_patterns_logic$92134 -.sym 3882 CLK$2$2 -.sym 3883 $logic_not$top.v:66$10_Y$2 -.sym 3884 $auto$dff2dffe.cc:158:make_patterns_logic$85062 -.sym 3891 I2C.i2c_start_latency -.sym 3975 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 3976 $false -.sym 3977 $false -.sym 3978 $false -.sym 3980 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 3981 $false -.sym 3982 $false -.sym 3983 $false -.sym 4000 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 4001 $false -.sym 4002 $false -.sym 4003 $false -.sym 4009 $auto$dff2dffe.cc:175:make_patterns_logic$81361$2 -.sym 4010 CLK$2$2 -.sym 4011 $logic_not$top.v:66$10_Y$2 +.sym 3618 $auto$alumacc.cc:483:replace_alu$36649[7] +.sym 3624 $auto$alumacc.cc:484:replace_alu$36650[7]$2 +.sym 3630 $auto$alumacc.cc:470:replace_alu$36760.C[2] +.sym 3634 $auto$wreduce.cc:310:run$36605[2] +.sym 3757 kbd_report[6][1] +.sym 3760 kbd_report[6][2] +.sym 3761 kbd_report[6][0] .sym 4100 $false .sym 4102 KEYBOARD.ROWS_EN[15] -.sym 4210 $auto$rtlil.cc:1692:NotGate$92753 -.sym 4211 KEYBOARD.kbd_code_hid[5] -.sym 4212 $false -.sym 4213 $false -.sym 4230 KEYBOARD.report[0][4] -.sym 4231 $auto$rtlil.cc:1692:NotGate$92753 -.sym 4232 $abc$92916$n1201 +.sym 4162 $false +.sym 4199 $auto$alumacc.cc:484:replace_alu$36700[0] +.sym 4201 $logic_not$top.v:60$10_Y$2 +.sym 4202 rststate[0] +.sym 4204 $auto$alumacc.cc:484:replace_alu$36700[1] +.sym 4205 $false +.sym 4206 $false +.sym 4207 rststate[1] +.sym 4208 $auto$alumacc.cc:484:replace_alu$36700[0] +.sym 4209 $auto$alumacc.cc:484:replace_alu$36700[2]$2 +.sym 4210 $false +.sym 4211 $false +.sym 4212 rststate[2] +.sym 4213 $auto$alumacc.cc:484:replace_alu$36700[1] +.sym 4218 $auto$alumacc.cc:484:replace_alu$36700[2]$2 +.sym 4220 rststate[3] +.sym 4221 rststate[2] +.sym 4222 rststate[1] +.sym 4223 rststate[0] +.sym 4225 $abc$61381$n836 +.sym 4226 $add$top.v:60$11_Y[2] +.sym 4227 $false +.sym 4228 $false +.sym 4230 $abc$61381$n836 +.sym 4231 $add$top.v:60$11_Y[1] +.sym 4232 $false .sym 4233 $false -.sym 4239 $auto$dff2dffe.cc:175:make_patterns_logic$91231 +.sym 4239 $true .sym 4240 CLK$2$2 -.sym 4241 $logic_not$top.v:66$10_Y$2 -.sym 4318 $true -.sym 4355 $auto$alumacc.cc:483:replace_alu$57607[0]$2 -.sym 4356 $false -.sym 4357 $auto$alumacc.cc:483:replace_alu$57607[0] -.sym 4358 $false -.sym 4359 $false -.sym 4360 $auto$alumacc.cc:484:replace_alu$57608[1] -.sym 4362 $true$2 -.sym 4363 $auto$simplemap.cc:309:simplemap_lut$68590[1] -.sym 4365 $auto$alumacc.cc:484:replace_alu$57608[2] +.sym 4241 $false +.sym 4366 $false .sym 4367 $false -.sym 4368 $auto$alumacc.cc:483:replace_alu$57607[2] -.sym 4370 $auto$alumacc.cc:484:replace_alu$57608[3] -.sym 4372 $false -.sym 4373 $auto$alumacc.cc:483:replace_alu$57607[3] -.sym 4375 $auto$alumacc.cc:484:replace_alu$57608[4] -.sym 4377 $false -.sym 4378 $auto$alumacc.cc:483:replace_alu$57607[4] -.sym 4380 $auto$alumacc.cc:484:replace_alu$57608[5] -.sym 4382 $false -.sym 4383 $auto$alumacc.cc:483:replace_alu$57607[5] -.sym 4385 $auto$alumacc.cc:484:replace_alu$57608[6] -.sym 4387 $false -.sym 4388 $auto$alumacc.cc:483:replace_alu$57607[6] -.sym 4390 $auto$alumacc.cc:484:replace_alu$57608[7]$2 -.sym 4392 $false -.sym 4393 $auto$alumacc.cc:483:replace_alu$57607[7] -.sym 4475 $auto$alumacc.cc:484:replace_alu$57608[7]$2 -.sym 4477 I2C.byte_counter[4] +.sym 4368 rststate[3] +.sym 4369 $auto$alumacc.cc:484:replace_alu$36700[2] +.sym 4391 $abc$61381$n836 +.sym 4392 $add$top.v:60$11_Y[3] +.sym 4393 $false +.sym 4394 $false +.sym 4395 $true +.sym 4396 CLK$2$2 +.sym 4397 $false +.sym 4434 $true +.sym 4471 $auto$alumacc.cc:484:replace_alu$36714[0]$2 +.sym 4472 $false +.sym 4473 $auto$alumacc.cc:484:replace_alu$36714[0] +.sym 4474 $false +.sym 4475 $false +.sym 4476 $auto$alumacc.cc:484:replace_alu$36714[1] .sym 4478 $false -.sym 4479 $false -.sym 4480 $false -.sym 4487 KEYBOARD.row_time[10] -.sym 4488 KEYBOARD.row_time[11] -.sym 4489 $false -.sym 4490 $false +.sym 4479 $auto$alumacc.cc:483:replace_alu$36713[1] +.sym 4481 $auto$alumacc.cc:484:replace_alu$36714[2] +.sym 4482 $false +.sym 4483 $false +.sym 4484 $auto$alumacc.cc:483:replace_alu$36713[2] +.sym 4485 $auto$alumacc.cc:484:replace_alu$36714[1] +.sym 4486 $auto$alumacc.cc:484:replace_alu$36714[3] +.sym 4487 $false +.sym 4488 $false +.sym 4489 $auto$alumacc.cc:483:replace_alu$36713[3] +.sym 4490 $auto$alumacc.cc:484:replace_alu$36714[2] +.sym 4491 $auto$alumacc.cc:484:replace_alu$36714[4] +.sym 4492 $false +.sym 4493 $false +.sym 4494 $auto$alumacc.cc:483:replace_alu$36713[4] +.sym 4495 $auto$alumacc.cc:484:replace_alu$36714[3] +.sym 4496 $auto$alumacc.cc:484:replace_alu$36714[5] +.sym 4497 $false +.sym 4498 $false +.sym 4499 $auto$alumacc.cc:483:replace_alu$36713[5] +.sym 4500 $auto$alumacc.cc:484:replace_alu$36714[4] +.sym 4501 $auto$alumacc.cc:484:replace_alu$36714[6]$2 .sym 4502 $false -.sym 4503 $true$2 -.sym 4504 KEYBOARD.row_time[0] -.sym 4505 $false -.sym 4511 RESET$2 -.sym 4512 CLK$2$2 -.sym 4513 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 4593 I2C.byte_counter[3] +.sym 4503 $false +.sym 4504 $auto$alumacc.cc:483:replace_alu$36713[6] +.sym 4505 $auto$alumacc.cc:484:replace_alu$36714[5] +.sym 4510 $auto$alumacc.cc:484:replace_alu$36714[6]$2 +.sym 4588 $auto$alumacc.cc:483:replace_alu$36713[1] +.sym 4589 $false +.sym 4590 $false +.sym 4591 $false +.sym 4593 $auto$alumacc.cc:483:replace_alu$36713[2] .sym 4594 $false .sym 4595 $false .sym 4596 $false -.sym 4598 $auto$alumacc.cc:484:replace_alu$57608[7] -.sym 4599 $abc$92916$n728 -.sym 4600 $auto$alumacc.cc:484:replace_alu$57637[7] +.sym 4598 $auto$alumacc.cc:483:replace_alu$36713[5] +.sym 4599 $false +.sym 4600 $false .sym 4601 $false -.sym 4603 $false -.sym 4604 $false -.sym 4605 $3\report_data_adr[7:0][7] -.sym 4606 $auto$alumacc.cc:484:replace_alu$57662[6] -.sym 4608 I2C.byte_counter[1] -.sym 4609 $false -.sym 4610 $false -.sym 4611 $false -.sym 4613 KEYBOARD.report[0][1] -.sym 4614 $abc$92916$n1009 -.sym 4615 $abc$92916$n1014 -.sym 4616 $abc$92916$n1017_1 -.sym 4618 KEYBOARD.report[0][1] -.sym 4619 $abc$92916$n1010_1 -.sym 4620 $abc$92916$n1013_1 -.sym 4621 $2\INT[0:0] -.sym 4623 $false -.sym 4624 $false -.sym 4625 KEYBOARD.row_time[15] -.sym 4626 $auto$alumacc.cc:484:replace_alu$57724[14] -.sym 4627 RESET$2 +.sym 4603 $auto$alumacc.cc:484:replace_alu$36714[0] +.sym 4604 $auto$alumacc.cc:483:replace_alu$36713[1] +.sym 4605 $auto$alumacc.cc:483:replace_alu$36713[2] +.sym 4606 $auto$alumacc.cc:483:replace_alu$36713[3] +.sym 4608 $auto$alumacc.cc:483:replace_alu$36713[6] +.sym 4609 $abc$61381$n921 +.sym 4610 $auto$wreduce.cc:310:run$36586[6] +.sym 4611 $abc$61381$n920 +.sym 4613 $auto$alumacc.cc:483:replace_alu$36713[3] +.sym 4614 $abc$61381$n921 +.sym 4615 $auto$wreduce.cc:310:run$36586[3] +.sym 4616 $abc$61381$n920 +.sym 4618 $auto$alumacc.cc:483:replace_alu$36713[4] +.sym 4619 $abc$61381$n921 +.sym 4620 $auto$wreduce.cc:310:run$36586[4] +.sym 4621 $abc$61381$n920 +.sym 4623 $auto$alumacc.cc:483:replace_alu$36713[2] +.sym 4624 $abc$61381$n921 +.sym 4625 $auto$wreduce.cc:310:run$36586[2] +.sym 4626 $abc$61381$n920 +.sym 4627 $true .sym 4628 CLK$2$2 -.sym 4629 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 4630 REPORT_DATA.r_data[0] -.sym 4632 REPORT_DATA.r_data[1] -.sym 4634 REPORT_DATA.r_data[2] -.sym 4636 REPORT_DATA.r_data[3] -.sym 4704 KEYBOARD.report[0][6] -.sym 4705 $abc$92916$n1010_1 -.sym 4706 $abc$92916$n1048 -.sym 4707 $2\INT[0:0] +.sym 4629 $false +.sym 4630 I2C_TX_DESC[0] +.sym 4631 I2C_TX_DESC[1] +.sym 4632 I2C_TX_DESC[2] +.sym 4633 I2C_TX_DESC[3] +.sym 4634 I2C_TX_DESC[4] +.sym 4635 I2C_TX_DESC[5] +.sym 4636 I2C_TX_DESC[6] +.sym 4637 I2C_TX_DESC[7] +.sym 4704 $false +.sym 4705 $false +.sym 4706 I2C.byte_counter[0] +.sym 4707 $false .sym 4709 $false -.sym 4710 UART.tx_clk_counter[3] -.sym 4711 $true$2 -.sym 4712 $auto$alumacc.cc:484:replace_alu$57727[2] -.sym 4719 KEYBOARD.report[0][5] -.sym 4720 $abc$92916$n1010_1 -.sym 4721 $abc$92916$n1041 -.sym 4722 $2\INT[0:0] -.sym 4724 KEYBOARD.kbd_code_hid[2] -.sym 4725 KEYBOARD.kbd_code_hid[1] -.sym 4726 KEYBOARD.kbd_code_hid[0] -.sym 4727 $false -.sym 4729 $add$top.v:89$20_Y[6] -.sym 4730 $abc$92916$n1047_1 -.sym 4731 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 4732 $false -.sym 4734 $add$top.v:89$20_Y[3] -.sym 4735 $abc$92916$n1026 -.sym 4736 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 4737 $false -.sym 4739 $add$top.v:89$20_Y[5] -.sym 4740 $abc$92916$n1040 -.sym 4741 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 4710 $false +.sym 4711 I2C.byte_counter[7] +.sym 4712 $auto$alumacc.cc:484:replace_alu$36726[6] +.sym 4714 $false +.sym 4715 $false +.sym 4716 $false +.sym 4717 $false +.sym 4719 I2C.byte_counter[4] +.sym 4720 $false +.sym 4721 $false +.sym 4722 $false +.sym 4724 I2C.byte_counter[7] +.sym 4725 I2C_OUTPUT_TYPE[1] +.sym 4726 I2C_OUTPUT_TYPE[0] +.sym 4727 $techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[7] +.sym 4729 I2C.byte_counter[0] +.sym 4730 I2C_OUTPUT_TYPE[1] +.sym 4731 I2C_OUTPUT_TYPE[0] +.sym 4732 $techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[0] +.sym 4739 I2C.byte_counter[3] +.sym 4740 $false +.sym 4741 $false .sym 4742 $false -.sym 4743 $auto$dff2dffe.cc:175:make_patterns_logic$76937 +.sym 4743 $auto$dff2dffe.cc:158:make_patterns_logic$60701 .sym 4744 CLK$2$2 -.sym 4745 $false -.sym 4746 REPORT_DATA.r_data[4] -.sym 4748 REPORT_DATA.r_data[5] -.sym 4750 REPORT_DATA.r_data[6] -.sym 4752 REPORT_DATA.r_data[7] -.sym 4820 $false -.sym 4821 $true$2 -.sym 4822 report_data_adr[0] +.sym 4745 $logic_not$top.v:60$10_Y$2 +.sym 4820 I2C_TX_REPORT[1] +.sym 4821 I2C_TX_DESC[1] +.sym 4822 I2C_OUT_DESC_MASK[1] .sym 4823 $false -.sym 4825 wr_cnt[2] -.sym 4826 $abc$92916$n687 -.sym 4827 $abc$92916$n733 -.sym 4828 $add$top.v:184$103_Y[2] -.sym 4830 $abc$92916$n733 -.sym 4831 report_data_adr[0] -.sym 4832 report_data_adr[1] -.sym 4833 $false -.sym 4835 $false -.sym 4836 $false -.sym 4837 report_data_adr[7] -.sym 4838 $auto$alumacc.cc:484:replace_alu$57656[6] -.sym 4840 $8\report_data_adr[7:0][7] -.sym 4841 $abc$92916$n733 -.sym 4842 $add$top.v:184$103_Y[7] -.sym 4843 $abc$92916$n687 -.sym 4845 $3\report_data_adr[7:0][7] -.sym 4846 $abc$92916$n995 -.sym 4847 $2\INT[0:0] -.sym 4848 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 4850 $3\report_data_adr[7:0][2] -.sym 4851 $abc$92916$n961 -.sym 4852 $2\INT[0:0] -.sym 4853 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 4855 $3\report_data_adr[7:0][0] -.sym 4856 $abc$92916$n954 -.sym 4857 $2\INT[0:0] -.sym 4858 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 4859 $auto$dff2dffe.cc:175:make_patterns_logic$76062 +.sym 4825 I2C_TX_REPORT[6] +.sym 4826 I2C_TX_DESC[6] +.sym 4827 I2C_OUT_DESC_MASK[6] +.sym 4828 $false +.sym 4840 KEYBOARD.row_time[0] +.sym 4841 KEYBOARD.row_time[1] +.sym 4842 $false +.sym 4843 $false +.sym 4845 KEYBOARD.row_time[2] +.sym 4846 KEYBOARD.row_time[3] +.sym 4847 $false +.sym 4848 $false +.sym 4855 KEYBOARD.row_time[0] +.sym 4856 KEYBOARD.row_time[1] +.sym 4857 $false +.sym 4858 $false +.sym 4859 RESET$2 .sym 4860 CLK$2$2 -.sym 4861 $false -.sym 4898 $true -.sym 4935 init_ram_cnt[0]$2 -.sym 4936 $false -.sym 4937 init_ram_cnt[0] -.sym 4938 $false -.sym 4939 $false -.sym 4940 $auto$alumacc.cc:484:replace_alu$57665[1] -.sym 4942 $false -.sym 4943 init_ram_cnt[1] -.sym 4945 $auto$alumacc.cc:484:replace_alu$57665[2] -.sym 4946 $false -.sym 4947 $false -.sym 4948 init_ram_cnt[2] -.sym 4949 $auto$alumacc.cc:484:replace_alu$57665[1] -.sym 4950 $auto$alumacc.cc:484:replace_alu$57665[3] -.sym 4951 $false -.sym 4952 $false -.sym 4953 init_ram_cnt[3] -.sym 4954 $auto$alumacc.cc:484:replace_alu$57665[2] -.sym 4955 $auto$alumacc.cc:484:replace_alu$57665[4] -.sym 4956 $false -.sym 4957 $false -.sym 4958 init_ram_cnt[4] -.sym 4959 $auto$alumacc.cc:484:replace_alu$57665[3] -.sym 4960 $auto$alumacc.cc:484:replace_alu$57665[5] -.sym 4961 $false -.sym 4962 $false -.sym 4963 init_ram_cnt[5] -.sym 4964 $auto$alumacc.cc:484:replace_alu$57665[4] -.sym 4965 $auto$alumacc.cc:484:replace_alu$57665[6]$2 -.sym 4966 $false -.sym 4967 $false -.sym 4968 init_ram_cnt[6] -.sym 4969 $auto$alumacc.cc:484:replace_alu$57665[5] -.sym 4974 $auto$alumacc.cc:484:replace_alu$57665[6]$2 -.sym 4975 $auto$dff2dffe.cc:158:make_patterns_logic$73200 +.sym 4861 $auto$rtlil.cc:1692:NotGate$61364$2 +.sym 4936 KEYBOARD.row_time[4] +.sym 4937 KEYBOARD.row_time[5] +.sym 4938 KEYBOARD.row_time[6] +.sym 4939 KEYBOARD.row_time[7] +.sym 4941 $abc$61381$n513 +.sym 4942 KEYBOARD.row_time[2] +.sym 4943 KEYBOARD.row_time[3] +.sym 4944 $false +.sym 4946 KEYBOARD.row_time[8] +.sym 4947 KEYBOARD.row_time[9] +.sym 4948 KEYBOARD.row_time[6] +.sym 4949 KEYBOARD.row_time[7] +.sym 4951 $abc$61381$n502 +.sym 4952 KEYBOARD.row_time[4] +.sym 4953 KEYBOARD.row_time[5] +.sym 4954 $false +.sym 4956 I2C_TX_REPORT[5] +.sym 4957 I2C_TX_DESC[5] +.sym 4958 I2C_OUT_DESC_MASK[5] +.sym 4959 $false +.sym 4961 $abc$61381$n527 +.sym 4962 $abc$61381$n551 +.sym 4963 $abc$61381$n501_1 +.sym 4964 $abc$61381$n552 +.sym 4966 I2C_OUTPUT_TYPE[1] +.sym 4967 I2C_OUTPUT_TYPE[0] +.sym 4968 I2C.byte_counter[5] +.sym 4969 I2C.byte_counter[6] +.sym 4971 I2C.byte_counter[1] +.sym 4972 $false +.sym 4973 $false +.sym 4974 $false +.sym 4975 $auto$dff2dffe.cc:158:make_patterns_logic$60701 .sym 4976 CLK$2$2 -.sym 4977 $logic_not$top.v:66$10_Y$2 +.sym 4977 $logic_not$top.v:60$10_Y$2 .sym 5014 $true -.sym 5051 report_data_adr[0]$2 +.sym 5051 KEYBOARD.row_time[0]$2 .sym 5052 $false -.sym 5053 report_data_adr[0] +.sym 5053 KEYBOARD.row_time[0] .sym 5054 $false .sym 5055 $false -.sym 5056 $auto$alumacc.cc:484:replace_alu$57656[1] +.sym 5056 $auto$alumacc.cc:484:replace_alu$36753[1] .sym 5058 $false -.sym 5059 report_data_adr[1] -.sym 5061 $auto$alumacc.cc:484:replace_alu$57656[2] +.sym 5059 KEYBOARD.row_time[1] +.sym 5061 $auto$alumacc.cc:484:replace_alu$36753[2] .sym 5062 $false .sym 5063 $false -.sym 5064 report_data_adr[2] -.sym 5065 $auto$alumacc.cc:484:replace_alu$57656[1] -.sym 5066 $auto$alumacc.cc:484:replace_alu$57656[3] +.sym 5064 KEYBOARD.row_time[2] +.sym 5065 $auto$alumacc.cc:484:replace_alu$36753[1] +.sym 5066 $auto$alumacc.cc:484:replace_alu$36753[3] .sym 5067 $false .sym 5068 $false -.sym 5069 report_data_adr[3] -.sym 5070 $auto$alumacc.cc:484:replace_alu$57656[2] -.sym 5071 $auto$alumacc.cc:484:replace_alu$57656[4] +.sym 5069 KEYBOARD.row_time[3] +.sym 5070 $auto$alumacc.cc:484:replace_alu$36753[2] +.sym 5071 $auto$alumacc.cc:484:replace_alu$36753[4] .sym 5072 $false .sym 5073 $false -.sym 5074 report_data_adr[4] -.sym 5075 $auto$alumacc.cc:484:replace_alu$57656[3] -.sym 5076 $auto$alumacc.cc:484:replace_alu$57656[5] +.sym 5074 KEYBOARD.row_time[4] +.sym 5075 $auto$alumacc.cc:484:replace_alu$36753[3] +.sym 5076 $auto$alumacc.cc:484:replace_alu$36753[5] .sym 5077 $false .sym 5078 $false -.sym 5079 report_data_adr[5] -.sym 5080 $auto$alumacc.cc:484:replace_alu$57656[4] -.sym 5081 $auto$alumacc.cc:484:replace_alu$57656[6]$2 +.sym 5079 KEYBOARD.row_time[5] +.sym 5080 $auto$alumacc.cc:484:replace_alu$36753[4] +.sym 5081 $auto$alumacc.cc:484:replace_alu$36753[6] .sym 5082 $false .sym 5083 $false -.sym 5084 report_data_adr[6] -.sym 5085 $auto$alumacc.cc:484:replace_alu$57656[5] -.sym 5090 $auto$alumacc.cc:484:replace_alu$57656[6]$2 -.sym 5168 i2c_input_data_type[2] +.sym 5084 KEYBOARD.row_time[6] +.sym 5085 $auto$alumacc.cc:484:replace_alu$36753[5] +.sym 5086 $auto$alumacc.cc:484:replace_alu$36753[7] +.sym 5087 $false +.sym 5088 $false +.sym 5089 KEYBOARD.row_time[7] +.sym 5090 $auto$alumacc.cc:484:replace_alu$36753[6] +.sym 5091 RESET$2 +.sym 5092 CLK$2$2 +.sym 5093 $auto$rtlil.cc:1692:NotGate$61364$2 +.sym 5130 $auto$alumacc.cc:484:replace_alu$36753[7] +.sym 5167 $auto$alumacc.cc:484:replace_alu$36753[8] +.sym 5168 $false .sym 5169 $false -.sym 5170 $false -.sym 5171 $false -.sym 5173 I2C.byte_counter[0] +.sym 5170 KEYBOARD.row_time[8] +.sym 5171 $auto$alumacc.cc:484:replace_alu$36753[7] +.sym 5172 $auto$alumacc.cc:484:replace_alu$36753[9] +.sym 5173 $false .sym 5174 $false -.sym 5175 $false -.sym 5176 $false -.sym 5178 I2C.byte_counter[2] +.sym 5175 KEYBOARD.row_time[9] +.sym 5176 $auto$alumacc.cc:484:replace_alu$36753[8] +.sym 5177 $auto$alumacc.cc:484:replace_alu$36753[10] +.sym 5178 $false .sym 5179 $false -.sym 5180 $false -.sym 5181 $false +.sym 5180 KEYBOARD.row_time[10] +.sym 5181 $auto$alumacc.cc:484:replace_alu$36753[9] +.sym 5182 $auto$alumacc.cc:484:replace_alu$36753[11] +.sym 5183 $false +.sym 5184 $false +.sym 5185 KEYBOARD.row_time[11] +.sym 5186 $auto$alumacc.cc:484:replace_alu$36753[10] +.sym 5187 $auto$alumacc.cc:484:replace_alu$36753[12] .sym 5188 $false .sym 5189 $false -.sym 5190 $false -.sym 5191 $auto$alumacc.cc:484:replace_alu$57647[6] +.sym 5190 KEYBOARD.row_time[12] +.sym 5191 $auto$alumacc.cc:484:replace_alu$36753[11] +.sym 5192 $auto$alumacc.cc:484:replace_alu$36753[13] .sym 5193 $false -.sym 5194 $true$2 -.sym 5195 init_ram_cnt[0] -.sym 5196 $false -.sym 5198 init_ram_cnt[1] -.sym 5199 init_ram_cnt[0] -.sym 5200 $false -.sym 5201 $false -.sym 5203 $false -.sym 5204 $false -.sym 5205 init_ram_cnt[7] -.sym 5206 $auto$alumacc.cc:484:replace_alu$57665[6] -.sym 5207 $auto$dff2dffe.cc:158:make_patterns_logic$73200 +.sym 5194 $false +.sym 5195 KEYBOARD.row_time[13] +.sym 5196 $auto$alumacc.cc:484:replace_alu$36753[12] +.sym 5197 $auto$alumacc.cc:484:replace_alu$36753[14]$2 +.sym 5198 $false +.sym 5199 $false +.sym 5200 KEYBOARD.row_time[14] +.sym 5201 $auto$alumacc.cc:484:replace_alu$36753[13] +.sym 5206 $auto$alumacc.cc:484:replace_alu$36753[14]$2 +.sym 5207 RESET$2 .sym 5208 CLK$2$2 -.sym 5209 $logic_not$top.v:66$10_Y$2 -.sym 5246 $true -.sym 5283 I2C.byte_counter[0]$2 -.sym 5284 $false -.sym 5285 I2C.byte_counter[0] -.sym 5286 $false +.sym 5209 $auto$rtlil.cc:1692:NotGate$61364$2 +.sym 5284 $abc$61381$n783 +.sym 5285 $abc$61381$n618_1 +.sym 5286 KEYBOARD.report[0][6] .sym 5287 $false -.sym 5288 $auto$alumacc.cc:484:replace_alu$57674[1] -.sym 5290 I2C.byte_counter[1] -.sym 5291 $true$2 -.sym 5293 $auto$alumacc.cc:484:replace_alu$57674[2] -.sym 5294 $false -.sym 5295 I2C.byte_counter[2] -.sym 5296 $true$2 -.sym 5297 $auto$alumacc.cc:484:replace_alu$57674[1] -.sym 5298 $auto$alumacc.cc:484:replace_alu$57674[3] -.sym 5299 $false -.sym 5300 I2C.byte_counter[3] -.sym 5301 $true$2 -.sym 5302 $auto$alumacc.cc:484:replace_alu$57674[2] -.sym 5303 $auto$alumacc.cc:484:replace_alu$57674[4] -.sym 5304 $false -.sym 5305 I2C.byte_counter[4] -.sym 5306 $true$2 -.sym 5307 $auto$alumacc.cc:484:replace_alu$57674[3] -.sym 5308 $auto$alumacc.cc:484:replace_alu$57674[5] -.sym 5309 $false -.sym 5310 I2C.byte_counter[5] -.sym 5311 $true$2 -.sym 5312 $auto$alumacc.cc:484:replace_alu$57674[4] -.sym 5313 $auto$alumacc.cc:484:replace_alu$57674[6]$2 -.sym 5314 $false -.sym 5315 I2C.byte_counter[6] -.sym 5316 $true$2 -.sym 5317 $auto$alumacc.cc:484:replace_alu$57674[5] -.sym 5322 $auto$alumacc.cc:484:replace_alu$57674[6]$2 -.sym 5323 $auto$dff2dffe.cc:175:make_patterns_logic$80258 +.sym 5289 KEYBOARD.kbd_code_hid[2] +.sym 5290 KEYBOARD.kbd_code_hid[1] +.sym 5291 KEYBOARD.kbd_code_hid[0] +.sym 5292 $false +.sym 5294 I2C.FLT_SDA.out +.sym 5295 $false +.sym 5296 $false +.sym 5297 $false +.sym 5323 $auto$dff2dffe.cc:175:make_patterns_logic$53193 .sym 5324 CLK$2$2 -.sym 5325 $logic_not$top.v:66$10_Y$2 -.sym 5400 I2C.is_read -.sym 5401 $auto$dff2dffe.cc:175:make_patterns_logic$73101 -.sym 5402 $abc$92916$n713 -.sym 5403 $abc$92916$n701 -.sym 5405 I2C_INPUT_LEN[7] -.sym 5406 $false -.sym 5407 $false -.sym 5408 $false -.sym 5410 I2C_INPUT_LEN[5] -.sym 5411 $false -.sym 5412 $false -.sym 5413 $false -.sym 5415 I2C_INPUT_LEN[4] -.sym 5416 I2C_INPUT_LEN[5] -.sym 5417 I2C_INPUT_LEN[6] -.sym 5418 I2C_INPUT_LEN[7] -.sym 5420 I2C_INPUT_LEN[4] -.sym 5421 $false -.sym 5422 $false -.sym 5423 $false -.sym 5425 I2C_INPUT_LEN[3] -.sym 5426 $false -.sym 5427 $false +.sym 5325 $false +.sym 5400 KEYBOARD.kbd_code_hid[2] +.sym 5401 KEYBOARD.kbd_code_hid[1] +.sym 5402 KEYBOARD.kbd_code_hid[0] +.sym 5403 $false +.sym 5415 $abc$61381$n655 +.sym 5416 $abc$61381$n648 +.sym 5417 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] +.sym 5418 $false +.sym 5425 KEYBOARD.kbd_code_hid[2] +.sym 5426 KEYBOARD.kbd_code_hid[1] +.sym 5427 KEYBOARD.kbd_code_hid[0] .sym 5428 $false -.sym 5430 I2C_INPUT_LEN[2] -.sym 5431 $false -.sym 5432 $false +.sym 5430 KEYBOARD.report[0][2] +.sym 5431 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 5432 $abc$61381$n976 .sym 5433 $false -.sym 5435 $false -.sym 5436 I2C.byte_counter[7] -.sym 5437 $true$2 -.sym 5438 $auto$alumacc.cc:484:replace_alu$57674[6] -.sym 5439 $auto$dff2dffe.cc:175:make_patterns_logic$80258 +.sym 5435 KEYBOARD.report[0][6] +.sym 5436 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 5437 $abc$61381$n984 +.sym 5438 $false +.sym 5439 $auto$dff2dffe.cc:175:make_patterns_logic$59740 .sym 5440 CLK$2$2 -.sym 5441 $logic_not$top.v:66$10_Y$2 -.sym 5516 I2C_INPUT_LEN[2] -.sym 5517 I2C_INPUT_LEN[3] -.sym 5518 I2C_INPUT_LEN[0] -.sym 5519 I2C_INPUT_LEN[1] -.sym 5526 I2C_INPUT_LEN[2] -.sym 5527 I2C_INPUT_LEN[3] -.sym 5528 I2C_INPUT_LEN[0] -.sym 5529 I2C_INPUT_LEN[1] -.sym 5531 $abc$92916$n789 -.sym 5532 $abc$92916$n814_1 -.sym 5533 $false -.sym 5534 $false -.sym 5536 $abc$92916$n701 -.sym 5537 UART.tx_activity -.sym 5538 last_uart_active -.sym 5539 $auto$dff2dffe.cc:175:make_patterns_logic$73101 -.sym 5541 I2C_INPUT_LEN[2] -.sym 5542 I2C_INPUT_LEN[3] -.sym 5543 I2C_INPUT_LEN[0] -.sym 5544 I2C_INPUT_LEN[1] -.sym 5546 I2C_INPUT_LEN[2] -.sym 5547 I2C_INPUT_LEN[3] -.sym 5548 I2C_INPUT_LEN[0] -.sym 5549 I2C_INPUT_LEN[1] -.sym 5551 UART.tx_activity +.sym 5441 $false +.sym 5478 $true +.sym 5515 UART.tx_bit_counter[0]$2 +.sym 5516 $false +.sym 5517 UART.tx_bit_counter[0] +.sym 5518 $false +.sym 5519 $false +.sym 5520 $auto$alumacc.cc:484:replace_alu$36759[1] +.sym 5522 UART.tx_bit_counter[1] +.sym 5523 $true$2 +.sym 5525 $auto$alumacc.cc:484:replace_alu$36759[2]$2 +.sym 5526 $false +.sym 5527 UART.tx_bit_counter[2] +.sym 5528 $true$2 +.sym 5529 $auto$alumacc.cc:484:replace_alu$36759[1] +.sym 5534 $auto$alumacc.cc:484:replace_alu$36759[2]$2 +.sym 5536 UART.tx_bit_counter[0] +.sym 5537 UART.tx_bit_counter[1] +.sym 5538 $false +.sym 5539 $false +.sym 5541 $false +.sym 5542 UART.tx_bit_counter[3] +.sym 5543 $true$2 +.sym 5544 $auto$alumacc.cc:484:replace_alu$36759[2] +.sym 5546 $auto$alumacc.cc:470:replace_alu$36760.B_buf[3] +.sym 5547 $false +.sym 5548 $false +.sym 5549 $false +.sym 5551 $auto$alumacc.cc:470:replace_alu$36760.B_buf[2] .sym 5552 $false .sym 5553 $false .sym 5554 $false -.sym 5555 $auto$dff2dffe.cc:175:make_patterns_logic$84280 +.sym 5555 $auto$dff2dffe.cc:175:make_patterns_logic$53580 .sym 5556 CLK$2$2 -.sym 5557 $logic_not$top.v:66$10_Y$2 -.sym 5558 I2C_TX_DESC[0] -.sym 5559 I2C_TX_DESC[1] -.sym 5560 I2C_TX_DESC[2] -.sym 5561 I2C_TX_DESC[3] -.sym 5562 I2C_TX_DESC[4] -.sym 5563 I2C_TX_DESC[5] -.sym 5564 I2C_TX_DESC[6] -.sym 5565 I2C_TX_DESC[7] -.sym 5632 $abc$92916$n809 -.sym 5633 $abc$92916$n1068 -.sym 5634 I2C_OUTPUT_TYPE[1] -.sym 5635 $abc$92916$n1066 -.sym 5637 $abc$92916$n1066 -.sym 5638 $abc$92916$n1068 -.sym 5639 I2C_OUTPUT_TYPE[2] +.sym 5557 $eq$top.v:225$129_Y +.sym 5632 $auto$alumacc.cc:470:replace_alu$36760.B_buf[0] +.sym 5633 $false +.sym 5634 $false +.sym 5635 $false +.sym 5637 $auto$alumacc.cc:470:replace_alu$36760.B_buf[3] +.sym 5638 $false +.sym 5639 $false .sym 5640 $false -.sym 5642 $abc$92916$n717 -.sym 5643 $auto$dff2dffe.cc:175:make_patterns_logic$73101 -.sym 5644 $abc$92916$n721 -.sym 5645 I2C.is_read -.sym 5647 $abc$92916$n713 -.sym 5648 $abc$92916$n716 -.sym 5649 $abc$92916$n701 +.sym 5642 $false +.sym 5643 UART.tx_bit_counter[0] +.sym 5644 $false +.sym 5645 $true$2 +.sym 5647 $auto$alumacc.cc:470:replace_alu$36760.B_buf[2] +.sym 5648 $false +.sym 5649 $false .sym 5650 $false -.sym 5652 I2C.byte_counter[1] -.sym 5653 $false +.sym 5652 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] +.sym 5653 $auto$alumacc.cc:470:replace_alu$36760.B_buf[0] .sym 5654 $false .sym 5655 $false -.sym 5657 I2C.byte_counter[4] -.sym 5658 $false +.sym 5657 UART.tx_bit_counter[0] +.sym 5658 UART.tx_bit_counter[1] .sym 5659 $false .sym 5660 $false -.sym 5662 I2C_OUTPUT_TYPE[1] -.sym 5663 I2C_OUTPUT_TYPE[0] -.sym 5664 I2C.byte_counter[5] +.sym 5662 $auto$alumacc.cc:470:replace_alu$36760.B_buf[0] +.sym 5663 $false +.sym 5664 $false .sym 5665 $false -.sym 5667 I2C.byte_counter[2] +.sym 5667 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] .sym 5668 $false .sym 5669 $false .sym 5670 $false -.sym 5671 $auto$dff2dffe.cc:158:make_patterns_logic$92134 +.sym 5671 $auto$dff2dffe.cc:175:make_patterns_logic$53580 .sym 5672 CLK$2$2 -.sym 5673 $logic_not$top.v:66$10_Y$2 -.sym 5748 I2C_TX_REPORT[3] -.sym 5749 I2C_TX_DESC[3] -.sym 5750 I2C_OUT_DESC_MASK[3] +.sym 5673 $eq$top.v:225$129_Y +.sym 5710 $true +.sym 5747 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0]$3 +.sym 5748 $false +.sym 5749 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] +.sym 5750 $false .sym 5751 $false -.sym 5758 I2C_TX_REPORT[0] -.sym 5759 I2C_TX_DESC[0] -.sym 5760 I2C_OUT_DESC_MASK[0] -.sym 5761 $false -.sym 5768 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5769 $false -.sym 5770 $false -.sym 5771 $false -.sym 5773 $abc$92916$n1065 -.sym 5774 $2\INT[0:0] -.sym 5775 $false -.sym 5776 $false -.sym 5778 $abc$92916$n1070 -.sym 5779 $2\INT[0:0] -.sym 5780 $false -.sym 5781 $false -.sym 5783 $abc$92916$n1072 -.sym 5784 $2\INT[0:0] -.sym 5785 $false -.sym 5786 $false -.sym 5787 $auto$dff2dffe.cc:175:make_patterns_logic$81361$2 -.sym 5788 CLK$2$2 -.sym 5789 $logic_not$top.v:66$10_Y$2 -.sym 5884 I2C.byte_counter[0] -.sym 5885 I2C.byte_counter[1] +.sym 5752 $auto$alumacc.cc:470:replace_alu$36760.C[2]$2 +.sym 5754 $false +.sym 5755 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] +.sym 5761 $auto$alumacc.cc:470:replace_alu$36760.C[2]$2 +.sym 5778 $false +.sym 5779 $false +.sym 5780 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[2] +.sym 5781 $auto$alumacc.cc:470:replace_alu$36760.C[2] +.sym 5869 KEYBOARD.kbd_code_hid[1] +.sym 5870 $false +.sym 5871 $false +.sym 5872 $false +.sym 5884 KEYBOARD.kbd_code_hid[2] +.sym 5885 $false .sym 5886 $false .sym 5887 $false -.sym 5903 $auto$dff2dffe.cc:175:make_patterns_logic$80258 +.sym 5889 KEYBOARD.kbd_code_hid[0] +.sym 5890 $false +.sym 5891 $false +.sym 5892 $false +.sym 5903 $auto$dff2dffe.cc:175:make_patterns_logic$55122 .sym 5904 CLK$2$2 -.sym 5905 $logic_not$top.v:66$10_Y$2 -.sym 5980 I2C.i2c_state_machine -.sym 5981 I2C.i2c_start_latency -.sym 5982 $techmap\I2C.$procmux$19804_Y -.sym 5983 $false -.sym 6015 $techmap\I2C.$procmux$19804_Y -.sym 6016 $false -.sym 6017 $false -.sym 6018 $false -.sym 6019 $auto$dff2dffe.cc:158:make_patterns_logic$85062 -.sym 6020 CLK$2$2 -.sym 6021 $false +.sym 5905 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 6125 $add$top.v:60$11_Y[0] +.sym 6127 rststate[0] .sym 6194 KBD_COLUMNS[0]$2 .sym 6196 KBD_COLUMNS[1]$2 -.sym 6198 $auto$alumacc.cc:484:replace_alu$57563[7] -.sym 6199 $abc$92916$n629 -.sym 6200 $auto$rtlil.cc:1692:NotGate$92893 -.sym 6201 $abc$92916$n616 -.sym 6202 $abc$92916$n622 -.sym 6203 $abc$92916$n667 -.sym 6204 $abc$92916$n618 -.sym 6205 $abc$92916$n668 -.sym 6338 KEYBOARD.row_time[2] -.sym 6339 KEYBOARD.row_time[3] -.sym 6340 KEYBOARD.row_time[4] -.sym 6341 KEYBOARD.row_time[5] -.sym 6342 KEYBOARD.row_time[6] -.sym 6343 KEYBOARD.row_time[7] -.sym 6438 KEYBOARD.row_time[8] -.sym 6439 KEYBOARD.row_time[9] -.sym 6440 KEYBOARD.row_time[10] -.sym 6441 KEYBOARD.row_time[11] -.sym 6442 KEYBOARD.row_time[12] -.sym 6443 KEYBOARD.row_time[13] -.sym 6444 KEYBOARD.row_time[14] -.sym 6445 $auto$alumacc.cc:484:replace_alu$57724[14] -.sym 6542 $techmap\UART.$sub$uart.v:32$535_Y[2] -.sym 6543 $auto$alumacc.cc:484:replace_alu$57727[2] -.sym 6544 $auto$alumacc.cc:483:replace_alu$57607[6] -.sym 6545 KEYBOARD.report[5][7] -.sym 6546 KEYBOARD.report[5][6] -.sym 6547 KEYBOARD.report[5][5] -.sym 6642 $abc$92916$n840 -.sym 6643 $techmap\UART.$sub$uart.v:32$535_Y[0] -.sym 6644 $abc$92916$n841 -.sym 6645 $abc$92916$n957 -.sym 6646 UART.tx_clk_counter[3] -.sym 6647 UART.tx_clk_counter[1] -.sym 6648 UART.tx_clk_counter[2] -.sym 6649 UART.tx_clk_counter[0] -.sym 6650 $undef -.sym 6651 $undef -.sym 6652 $undef -.sym 6653 $undef -.sym 6654 $undef -.sym 6655 $undef -.sym 6656 $undef -.sym 6657 $undef -.sym 6658 report_data_adr[0] -.sym 6659 report_data_adr[1] -.sym 6660 $false -.sym 6661 report_data_adr[2] -.sym 6662 report_data_adr[3] -.sym 6663 report_data_adr[4] -.sym 6664 report_data_adr[5] -.sym 6665 report_data_adr[6] -.sym 6666 report_data_adr[7] -.sym 6667 $false -.sym 6668 $false -.sym 6669 CLK$2$2 -.sym 6670 $true -.sym 6671 report_data_wr[0] -.sym 6672 $undef -.sym 6673 report_data_wr[1] -.sym 6674 $undef -.sym 6675 report_data_wr[2] -.sym 6676 $undef -.sym 6677 report_data_wr[3] -.sym 6678 $undef -.sym 6679 report_wr_en -.sym 6748 $auto$alumacc.cc:484:replace_alu$57597[3] -.sym 6749 KEYBOARD.report[0][1] -.sym 6750 KEYBOARD.report[0][2] -.sym 6751 KEYBOARD.report[0][6] -.sym 6752 $undef -.sym 6753 $undef -.sym 6754 $undef -.sym 6755 $undef -.sym 6756 $undef -.sym 6757 $undef -.sym 6758 $undef -.sym 6759 $undef -.sym 6760 report_data_adr[0] -.sym 6761 report_data_adr[1] -.sym 6762 $false -.sym 6763 report_data_adr[2] -.sym 6764 report_data_adr[3] -.sym 6765 report_data_adr[4] -.sym 6766 report_data_adr[5] -.sym 6767 report_data_adr[6] -.sym 6768 report_data_adr[7] -.sym 6769 $false -.sym 6770 $false +.sym 6198 $auto$alumacc.cc:484:replace_alu$36714[0] +.sym 6199 $auto$wreduce.cc:310:run$36586[7] +.sym 6200 $auto$alumacc.cc:483:replace_alu$36713[1] +.sym 6203 I2C.byte_counter[1] +.sym 6204 I2C.byte_counter[7] +.sym 6338 $sub$top.v:78$20_Y[2] +.sym 6339 $sub$top.v:78$20_Y[3] +.sym 6340 $sub$top.v:78$20_Y[4] +.sym 6341 $sub$top.v:78$20_Y[5] +.sym 6342 $sub$top.v:78$20_Y[6] +.sym 6343 $auto$alumacc.cc:470:replace_alu$36704.C[7] +.sym 6438 $auto$alumacc.cc:483:replace_alu$36713[4] +.sym 6439 $auto$alumacc.cc:483:replace_alu$36708[7] +.sym 6441 $auto$alumacc.cc:483:replace_alu$36708[3] +.sym 6442 $auto$alumacc.cc:483:replace_alu$36708[4] +.sym 6443 $auto$dff2dffe.cc:175:make_patterns_logic$60147 +.sym 6444 $auto$alumacc.cc:483:replace_alu$36713[3] +.sym 6445 KEYBOARD.ram_wr +.sym 6541 I2C_INPUT_LEN[4] +.sym 6544 I2C_INPUT_LEN[2] +.sym 6546 I2C_INPUT_LEN[6] +.sym 6547 I2C_INPUT_LEN[3] +.sym 6643 $techmap\KEYBOARD.$procmux$7457_Y +.sym 6644 $abc$61381$n507 +.sym 6645 $abc$61381$n510 +.sym 6646 $abc$61381$n871 +.sym 6647 I2C_TX_REPORT[6] +.sym 6648 I2C_TX_REPORT[1] +.sym 6649 I2C_TX_REPORT[3] +.sym 6658 $false +.sym 6659 $false +.sym 6661 $false +.sym 6662 $false +.sym 6663 $false +.sym 6664 $false +.sym 6665 $false +.sym 6666 $false +.sym 6669 $false +.sym 6670 $false$2 +.sym 6671 $false +.sym 6672 $false +.sym 6673 $false +.sym 6674 $false +.sym 6675 $false +.sym 6676 $false +.sym 6677 $false +.sym 6678 $false +.sym 6679 $false +.sym 6744 $abc$61381$n512 +.sym 6745 $abc$61381$n956_1 +.sym 6746 $abc$61381$n526 +.sym 6747 $abc$61381$n505 +.sym 6748 $abc$61381$n531 +.sym 6749 $abc$61381$n879 +.sym 6750 $abc$61381$n528 +.sym 6751 I2C_OUT_DESC_MASK[7] +.sym 6760 I2C_HID_DESC.real_adress[0] +.sym 6761 I2C_HID_DESC.real_adress[1] +.sym 6763 I2C_HID_DESC.real_adress[2] +.sym 6764 I2C_HID_DESC.real_adress[3] +.sym 6765 I2C_HID_DESC.real_adress[4] +.sym 6766 I2C_HID_DESC.real_adress[5] +.sym 6767 I2C_HID_DESC.real_adress[6] +.sym 6768 I2C_HID_DESC.real_adress[7] .sym 6771 CLK$2$2 .sym 6772 $true .sym 6773 $true$2 -.sym 6774 report_data_wr[5] -.sym 6775 $undef -.sym 6776 report_data_wr[6] -.sym 6777 $undef -.sym 6778 report_data_wr[7] -.sym 6779 $undef -.sym 6780 report_data_wr[4] -.sym 6781 $undef -.sym 6846 $auto$dff2dffe.cc:158:make_patterns_logic$85140 -.sym 6847 $abc$92916$n976 -.sym 6848 $abc$92916$n839 -.sym 6849 $abc$92916$n1188_1 -.sym 6850 $abc$92916$n974 -.sym 6851 $abc$92916$n975 -.sym 6852 $auto$dff2dffe.cc:175:make_patterns_logic$85121 -.sym 6853 UART.tx_activity -.sym 6948 $abc$92916$n726_1 -.sym 6949 $abc$92916$n703 -.sym 6950 $abc$92916$n705 -.sym 6951 $auto$alumacc.cc:483:replace_alu$57596[0] -.sym 6952 I2C_TX_REPORT[6] -.sym 6953 I2C_TX_REPORT[1] -.sym 6954 I2C_TX_REPORT[3] -.sym 7050 $auto$dff2dffe.cc:175:make_patterns_logic$79939 -.sym 7051 $abc$92916$n692 -.sym 7052 $abc$92916$n678_1 -.sym 7053 $auto$dff2dffe.cc:175:make_patterns_logic$71021 -.sym 7054 $auto$dff2dffe.cc:175:make_patterns_logic$70825 -.sym 7055 $abc$92916$n784_1 -.sym 7056 $abc$92916$n691 -.sym 7057 UART_WR -.sym 7152 $abc$92916$n804 -.sym 7153 $abc$92916$n794 -.sym 7154 $abc$92916$n795 -.sym 7155 $abc$92916$n796 -.sym 7156 $abc$92916$n800 -.sym 7157 $abc$92916$n802 -.sym 7158 $abc$92916$n797 -.sym 7159 $abc$92916$n806_1 -.sym 7256 $auto$wreduce.cc:310:run$57529[2] -.sym 7257 $auto$alumacc.cc:484:replace_alu$57721[2] -.sym 7258 $abc$92916$n1068 -.sym 7259 $abc$92916$n808 -.sym 7260 $abc$92916$n807 -.sym 7261 I2C_INPUT_LEN[0] -.sym 7356 $abc$92916$n1066 -.sym 7357 $abc$92916$n1065 -.sym 7358 $sub$top.v:88$19_Y[1] -.sym 7359 I2C_TX_REPORT[5] -.sym 7360 I2C_TX_REPORT[0] -.sym 7361 I2C_TX_REPORT[4] -.sym 7362 I2C_TX_REPORT[7] -.sym 7363 I2C_TX_REPORT[2] -.sym 7458 $abc$92916$n1109 -.sym 7459 $abc$92916$n1111 -.sym 7460 $abc$92916$n1099 -.sym 7461 $abc$92916$n1101 -.sym 7462 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 7463 $abc$92916$n1107 -.sym 7464 $abc$92916$n1105 -.sym 7465 INT -.sym 7474 $false -.sym 7475 $false -.sym 7477 $false -.sym 7478 $false -.sym 7479 $false -.sym 7480 $false -.sym 7481 $false -.sym 7482 $false -.sym 7485 $false -.sym 7486 $false$2 -.sym 7487 $false -.sym 7488 $false -.sym 7489 $false -.sym 7490 $false -.sym 7491 $false -.sym 7492 $false -.sym 7493 $false -.sym 7494 $false -.sym 7495 $false -.sym 7561 $add$top.v:66$11_Y[1] -.sym 7562 $add$top.v:66$11_Y[2] -.sym 7563 $auto$alumacc.cc:484:replace_alu$57659[2] -.sym 7564 I2C_OUT_DESC_MASK[6] -.sym 7565 I2C_OUT_DESC_MASK[2] -.sym 7566 I2C_OUT_DESC_MASK[1] -.sym 7567 I2C_OUT_DESC_MASK[0] -.sym 7576 I2C_HID_DESC.real_adress[0] -.sym 7577 I2C_HID_DESC.real_adress[1] -.sym 7579 I2C_HID_DESC.real_adress[2] -.sym 7580 I2C_HID_DESC.real_adress[3] -.sym 7581 I2C_HID_DESC.real_adress[4] -.sym 7582 I2C_HID_DESC.real_adress[5] -.sym 7583 I2C_HID_DESC.real_adress[6] -.sym 7584 I2C_HID_DESC.real_adress[7] -.sym 7587 CLK$2$2 -.sym 7588 $true -.sym 7589 $true$2 -.sym 7665 $add$top.v:66$11_Y[3] -.sym 7666 RESET -.sym 7667 rststate[2] -.sym 7668 rststate[1] -.sym 7669 rststate[3] -.sym 7894 $abc$92916$n615 -.sym 7895 $abc$92916$n621 -.sym 7897 $abc$92916$n1201 -.sym 7898 $abc$92916$n624 -.sym 7899 $abc$92916$n633 -.sym 7901 KEYBOARD.row_time[1] -.sym 7931 $true -.sym 7968 KEYBOARD.kbd_code_hid[0]$2 -.sym 7969 $false -.sym 7970 KEYBOARD.kbd_code_hid[0] -.sym 7971 $false -.sym 7972 $false -.sym 7973 $auto$alumacc.cc:484:replace_alu$57563[1] -.sym 7975 KEYBOARD.kbd_code_hid[1] -.sym 7976 $false -.sym 7978 $auto$alumacc.cc:484:replace_alu$57563[2] -.sym 7980 KEYBOARD.kbd_code_hid[2] -.sym 7981 $false -.sym 7983 $auto$alumacc.cc:484:replace_alu$57563[3] -.sym 7985 KEYBOARD.kbd_code_hid[3] -.sym 7986 $false -.sym 7988 $auto$alumacc.cc:484:replace_alu$57563[4] -.sym 7990 KEYBOARD.kbd_code_hid[4] -.sym 7991 $false -.sym 7993 $auto$alumacc.cc:484:replace_alu$57563[5] -.sym 7995 KEYBOARD.kbd_code_hid[5] -.sym 7996 $true$2 -.sym 7998 $auto$alumacc.cc:484:replace_alu$57563[6] -.sym 8000 KEYBOARD.kbd_code_hid[6] -.sym 8001 $false -.sym 8003 $auto$alumacc.cc:484:replace_alu$57563[7]$2 -.sym 8005 KEYBOARD.kbd_code_hid[7] -.sym 8006 $false +.sym 6846 $abc$61381$n785 +.sym 6847 $abc$61381$n806 +.sym 6848 $abc$61381$n955 +.sym 6849 $abc$61381$n978 +.sym 6850 $abc$61381$n791 +.sym 6851 $abc$61381$n800 +.sym 6852 KEYBOARD.report[0][1] +.sym 6853 KEYBOARD.report[0][3] +.sym 6950 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] +.sym 6951 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[3] +.sym 6952 $auto$simplemap.cc:309:simplemap_lut$46032[1] +.sym 6953 $auto$dff2dffe.cc:175:make_patterns_logic$52925 +.sym 6954 $abc$61381$n648 +.sym 6955 I2C.received_byte[2] +.sym 7051 $abc$61381$n962 +.sym 7052 $auto$dff2dffe.cc:175:make_patterns_logic$52724 +.sym 7053 $auto$dff2dffe.cc:175:make_patterns_logic$53193 +.sym 7054 UART_TX_DATA[3] +.sym 7055 UART_TX_DATA[7] +.sym 7056 UART_TX_DATA[6] +.sym 7057 UART_TX_DATA[1] +.sym 7152 $auto$alumacc.cc:483:replace_alu$36649[2] +.sym 7153 $auto$alumacc.cc:483:replace_alu$36649[5] +.sym 7154 $abc$61381$n965 +.sym 7155 $abc$61381$n958 +.sym 7156 $abc$61381$n964_1 +.sym 7157 $abc$61381$n961 +.sym 7158 $abc$61381$n963 +.sym 7159 I2C.received_byte[4] +.sym 7254 $auto$alumacc.cc:483:replace_alu$36649[7] +.sym 7255 $eq$top.v:225$129_Y +.sym 7256 $auto$wreduce.cc:310:run$36605[0] +.sym 7257 $abc$61381$n662 +.sym 7258 $auto$alumacc.cc:483:replace_alu$36649[4] +.sym 7259 $abc$61381$n967 +.sym 7260 $auto$dff2dffe.cc:175:make_patterns_logic$53580 +.sym 7261 UART.tx_line +.sym 7360 $auto$alumacc.cc:484:replace_alu$36624[3] +.sym 7363 KEYBOARD.report[1][3] +.sym 7458 $abc$61381$n788 +.sym 7462 $abc$61381$n795 +.sym 7560 $abc$61381$n803 +.sym 7561 $abc$61381$n715 +.sym 7562 $abc$61381$n688 +.sym 7563 $abc$61381$n716 +.sym 7564 kbd_report[4][2] +.sym 7566 kbd_report[4][1] +.sym 7567 kbd_report[4][3] +.sym 7984 $false +.sym 7985 $logic_not$top.v:60$10_Y$2 +.sym 7986 rststate[0] +.sym 7987 $false +.sym 7994 $abc$61381$n836 +.sym 7995 $add$top.v:60$11_Y[0] +.sym 7996 $false +.sym 7997 $false +.sym 8008 $true +.sym 8009 CLK$2$2 +.sym 8010 $false .sym 8011 KBD_COLUMNS[2]$2 .sym 8013 KBD_COLUMNS[3]$2 -.sym 8015 $abc$92916$n619 -.sym 8016 $abc$92916$n642 -.sym 8017 $abc$92916$n630 -.sym 8018 $abc$92916$n657 -.sym 8019 $techmap\KEYBOARD.$procmux$7960_Y -.sym 8020 $abc$92916$n658 -.sym 8021 $abc$92916$n632 -.sym 8022 $abc$92916$n626 -.sym 8128 $auto$alumacc.cc:484:replace_alu$57563[7]$2 -.sym 8130 KEYBOARD.row_time[8] -.sym 8131 KEYBOARD.row_time[9] -.sym 8132 KEYBOARD.row_time[10] -.sym 8133 KEYBOARD.row_time[11] -.sym 8135 $abc$92916$n642 -.sym 8136 $abc$92916$n667 -.sym 8137 $abc$92916$n617 -.sym 8138 $abc$92916$n668 -.sym 8140 $abc$92916$n617 -.sym 8141 $abc$92916$n618 -.sym 8142 $false -.sym 8143 $false -.sym 8145 $abc$92916$n617 -.sym 8146 $abc$92916$n623 -.sym 8147 KEYBOARD.row_time[8] -.sym 8148 KEYBOARD.row_time[9] -.sym 8150 $abc$92916$n623 -.sym 8151 KEYBOARD.row_time[4] -.sym 8152 KEYBOARD.row_time[5] -.sym 8153 $false -.sym 8155 KEYBOARD.row_time[8] -.sym 8156 KEYBOARD.row_time[9] -.sym 8157 KEYBOARD.row_time[10] -.sym 8158 KEYBOARD.row_time[11] -.sym 8160 KEYBOARD.row_time[8] -.sym 8161 KEYBOARD.row_time[9] -.sym 8162 KEYBOARD.row_time[6] -.sym 8163 KEYBOARD.row_time[7] -.sym 8167 $abc$92916$n641 -.sym 8168 $abc$92916$n648 -.sym 8169 $abc$92916$n647 -.sym 8170 $abc$92916$n649 -.sym 8171 $abc$92916$n672 -.sym 8172 $abc$92916$n673 -.sym 8173 $abc$92916$n643 -.sym 8174 KEYBOARD.report[0][0] +.sym 8015 $auto$alumacc.cc:483:replace_alu$36713[7] +.sym 8021 $auto$wreduce.cc:310:run$36586[0] +.sym 8022 I2C.byte_counter[0] +.sym 8125 $techmap\I2C.$procmux$19301_Y +.sym 8126 I2C.byte_counter[0] +.sym 8127 $false +.sym 8128 $false +.sym 8130 $false +.sym 8131 $false +.sym 8132 $auto$alumacc.cc:483:replace_alu$36713[7] +.sym 8133 $auto$alumacc.cc:484:replace_alu$36714[6] +.sym 8135 $techmap\I2C.$procmux$19301_Y +.sym 8136 I2C.byte_counter[1] +.sym 8137 $false +.sym 8138 $false +.sym 8150 $abc$61381$n920 +.sym 8151 $abc$61381$n921 +.sym 8152 $auto$alumacc.cc:484:replace_alu$36714[0] +.sym 8153 $auto$alumacc.cc:483:replace_alu$36713[1] +.sym 8155 $auto$alumacc.cc:483:replace_alu$36713[7] +.sym 8156 $abc$61381$n921 +.sym 8157 $auto$wreduce.cc:310:run$36586[7] +.sym 8158 $abc$61381$n920 +.sym 8164 $true +.sym 8165 CLK$2$2 +.sym 8166 $false +.sym 8167 $sub$top.v:78$20_Y[7] +.sym 8169 $auto$alumacc.cc:483:replace_alu$36713[5] +.sym 8170 $auto$alumacc.cc:483:replace_alu$36713[2] +.sym 8173 I2C_INPUT_LEN[7] +.sym 8174 I2C_INPUT_LEN[5] .sym 8203 $true -.sym 8240 KEYBOARD.row_time[0]$2 +.sym 8240 I2C.byte_counter[0]$3 .sym 8241 $false -.sym 8242 KEYBOARD.row_time[0] +.sym 8242 I2C.byte_counter[0] .sym 8243 $false .sym 8244 $false -.sym 8245 $auto$alumacc.cc:484:replace_alu$57724[1] -.sym 8247 $false -.sym 8248 KEYBOARD.row_time[1] -.sym 8250 $auto$alumacc.cc:484:replace_alu$57724[2] +.sym 8245 $auto$alumacc.cc:470:replace_alu$36704.C[2] +.sym 8247 I2C.byte_counter[1] +.sym 8248 $true$2 +.sym 8250 $auto$alumacc.cc:470:replace_alu$36704.C[3] .sym 8251 $false -.sym 8252 $false -.sym 8253 KEYBOARD.row_time[2] -.sym 8254 $auto$alumacc.cc:484:replace_alu$57724[1] -.sym 8255 $auto$alumacc.cc:484:replace_alu$57724[3] +.sym 8252 I2C.byte_counter[2] +.sym 8253 $true$2 +.sym 8254 $auto$alumacc.cc:470:replace_alu$36704.C[2] +.sym 8255 $auto$alumacc.cc:470:replace_alu$36704.C[4] .sym 8256 $false -.sym 8257 $false -.sym 8258 KEYBOARD.row_time[3] -.sym 8259 $auto$alumacc.cc:484:replace_alu$57724[2] -.sym 8260 $auto$alumacc.cc:484:replace_alu$57724[4] +.sym 8257 I2C.byte_counter[3] +.sym 8258 $true$2 +.sym 8259 $auto$alumacc.cc:470:replace_alu$36704.C[3] +.sym 8260 $auto$alumacc.cc:470:replace_alu$36704.C[5] .sym 8261 $false -.sym 8262 $false -.sym 8263 KEYBOARD.row_time[4] -.sym 8264 $auto$alumacc.cc:484:replace_alu$57724[3] -.sym 8265 $auto$alumacc.cc:484:replace_alu$57724[5] +.sym 8262 I2C.byte_counter[4] +.sym 8263 $true$2 +.sym 8264 $auto$alumacc.cc:470:replace_alu$36704.C[4] +.sym 8265 $auto$alumacc.cc:470:replace_alu$36704.C[6] .sym 8266 $false -.sym 8267 $false -.sym 8268 KEYBOARD.row_time[5] -.sym 8269 $auto$alumacc.cc:484:replace_alu$57724[4] -.sym 8270 $auto$alumacc.cc:484:replace_alu$57724[6] +.sym 8267 I2C.byte_counter[5] +.sym 8268 $true$2 +.sym 8269 $auto$alumacc.cc:470:replace_alu$36704.C[5] +.sym 8270 $auto$alumacc.cc:470:replace_alu$36704.C[7]$2 .sym 8271 $false -.sym 8272 $false -.sym 8273 KEYBOARD.row_time[6] -.sym 8274 $auto$alumacc.cc:484:replace_alu$57724[5] -.sym 8275 $auto$alumacc.cc:484:replace_alu$57724[7] -.sym 8276 $false -.sym 8277 $false -.sym 8278 KEYBOARD.row_time[7] -.sym 8279 $auto$alumacc.cc:484:replace_alu$57724[6] -.sym 8280 RESET$2 -.sym 8281 CLK$2$2 -.sym 8282 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 8283 $abc$92916$n1034_1 -.sym 8284 $abc$92916$n998 -.sym 8285 $abc$92916$n1033 -.sym 8286 $abc$92916$n617 -.sym 8287 $abc$92916$n997 -.sym 8288 $techmap\KEYBOARD.$procmux$18803_CMP -.sym 8289 $abc$92916$n1035 -.sym 8290 report_data_wr[7] -.sym 8319 $auto$alumacc.cc:484:replace_alu$57724[7] -.sym 8356 $auto$alumacc.cc:484:replace_alu$57724[8] -.sym 8357 $false -.sym 8358 $false -.sym 8359 KEYBOARD.row_time[8] -.sym 8360 $auto$alumacc.cc:484:replace_alu$57724[7] -.sym 8361 $auto$alumacc.cc:484:replace_alu$57724[9] -.sym 8362 $false +.sym 8272 I2C.byte_counter[6] +.sym 8273 $true$2 +.sym 8274 $auto$alumacc.cc:470:replace_alu$36704.C[6] +.sym 8279 $auto$alumacc.cc:470:replace_alu$36704.C[7]$2 +.sym 8283 $abc$61381$n922 +.sym 8284 $auto$alumacc.cc:483:replace_alu$36713[6] +.sym 8285 $abc$61381$n912 +.sym 8286 $abc$61381$n910 +.sym 8287 $auto$alumacc.cc:483:replace_alu$36644[3] +.sym 8288 $abc$61381$n921 +.sym 8289 I2C.byte_counter[5] +.sym 8290 I2C.i2c_bit_counter[3] +.sym 8357 $techmap\I2C.$procmux$19301_Y +.sym 8358 I2C.byte_counter[4] +.sym 8359 $false +.sym 8360 $false +.sym 8362 $auto$alumacc.cc:483:replace_alu$36713[7] .sym 8363 $false -.sym 8364 KEYBOARD.row_time[9] -.sym 8365 $auto$alumacc.cc:484:replace_alu$57724[8] -.sym 8366 $auto$alumacc.cc:484:replace_alu$57724[10] -.sym 8367 $false -.sym 8368 $false -.sym 8369 KEYBOARD.row_time[10] -.sym 8370 $auto$alumacc.cc:484:replace_alu$57724[9] -.sym 8371 $auto$alumacc.cc:484:replace_alu$57724[11] -.sym 8372 $false +.sym 8364 $false +.sym 8365 $false +.sym 8372 $auto$alumacc.cc:483:replace_alu$36713[3] .sym 8373 $false -.sym 8374 KEYBOARD.row_time[11] -.sym 8375 $auto$alumacc.cc:484:replace_alu$57724[10] -.sym 8376 $auto$alumacc.cc:484:replace_alu$57724[12] -.sym 8377 $false +.sym 8374 $false +.sym 8375 $false +.sym 8377 $auto$alumacc.cc:483:replace_alu$36713[4] .sym 8378 $false -.sym 8379 KEYBOARD.row_time[12] -.sym 8380 $auto$alumacc.cc:484:replace_alu$57724[11] -.sym 8381 $auto$alumacc.cc:484:replace_alu$57724[13] -.sym 8382 $false -.sym 8383 $false -.sym 8384 KEYBOARD.row_time[13] -.sym 8385 $auto$alumacc.cc:484:replace_alu$57724[12] -.sym 8386 $auto$alumacc.cc:484:replace_alu$57724[14]$2 -.sym 8387 $false -.sym 8388 $false -.sym 8389 KEYBOARD.row_time[14] -.sym 8390 $auto$alumacc.cc:484:replace_alu$57724[13] -.sym 8395 $auto$alumacc.cc:484:replace_alu$57724[14]$2 -.sym 8396 RESET$2 +.sym 8379 $false +.sym 8380 $false +.sym 8382 $techmap\KEYBOARD.$procmux$7457_Y +.sym 8383 $auto$rtlil.cc:1692:NotGate$61364$2 +.sym 8384 RESET$2 +.sym 8385 $false +.sym 8387 $techmap\I2C.$procmux$19301_Y +.sym 8388 I2C.byte_counter[3] +.sym 8389 $false +.sym 8390 $false +.sym 8392 $techmap\KEYBOARD.$procmux$7457_Y +.sym 8393 $false +.sym 8394 $false +.sym 8395 $false +.sym 8396 $auto$dff2dffe.cc:175:make_patterns_logic$60147 .sym 8397 CLK$2$2 -.sym 8398 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 8399 $abc$92916$n1044 -.sym 8400 $abc$92916$n1042_1 -.sym 8401 $abc$92916$n872 -.sym 8402 $abc$92916$n1048 -.sym 8403 $abc$92916$n880 -.sym 8404 $abc$92916$n1041 -.sym 8405 $abc$92916$n883 -.sym 8406 report_data_wr[2] -.sym 8435 $true -.sym 8472 UART.tx_clk_counter[0]$2 -.sym 8473 $false -.sym 8474 UART.tx_clk_counter[0] -.sym 8475 $false -.sym 8476 $false -.sym 8477 $auto$alumacc.cc:484:replace_alu$57727[1] -.sym 8479 UART.tx_clk_counter[1] -.sym 8480 $true$2 -.sym 8482 $auto$alumacc.cc:484:replace_alu$57727[2]$2 -.sym 8483 $false -.sym 8484 UART.tx_clk_counter[2] -.sym 8485 $true$2 -.sym 8486 $auto$alumacc.cc:484:replace_alu$57727[1] -.sym 8491 $auto$alumacc.cc:484:replace_alu$57727[2]$2 -.sym 8493 I2C.byte_counter[6] -.sym 8494 $false +.sym 8398 $false +.sym 8400 $abc$61381$n511 +.sym 8401 $abc$61381$n586 +.sym 8402 $abc$61381$n606 +.sym 8403 $abc$61381$n909 +.sym 8404 $abc$61381$n522 +.sym 8405 $abc$61381$n771 +.sym 8406 I2C_HID_DESC.real_adress[5] +.sym 8478 $abc$61381$n559 +.sym 8479 $sub$top.v:78$20_Y[4] +.sym 8480 $false +.sym 8481 $false +.sym 8493 $abc$61381$n559 +.sym 8494 $sub$top.v:78$20_Y[2] .sym 8495 $false .sym 8496 $false -.sym 8498 $techmap\KEYBOARD.$procmux$5651_Y[7] -.sym 8499 $false -.sym 8500 $false -.sym 8501 $false -.sym 8503 $techmap\KEYBOARD.$procmux$5651_Y[6] -.sym 8504 $false +.sym 8503 $abc$61381$n559 +.sym 8504 $sub$top.v:78$20_Y[6] .sym 8505 $false .sym 8506 $false -.sym 8508 $techmap\KEYBOARD.$procmux$5651_Y[5] -.sym 8509 $false +.sym 8508 $abc$61381$n559 +.sym 8509 $sub$top.v:78$20_Y[3] .sym 8510 $false .sym 8511 $false -.sym 8512 $auto$dff2dffe.cc:175:make_patterns_logic$87074 +.sym 8512 $auto$dff2dffe.cc:175:make_patterns_logic$50775 .sym 8513 CLK$2$2 -.sym 8514 $logic_not$top.v:66$10_Y$2 -.sym 8515 $abc$92916$n1019_1 -.sym 8516 $8\report_data_adr[7:0][0] -.sym 8517 $abc$92916$n1020 -.sym 8518 $abc$92916$n1049 -.sym 8519 $abc$92916$n954 -.sym 8520 $abc$92916$n1051 -.sym 8521 KEYBOARD.report[3][6] -.sym 8522 KEYBOARD.report[3][5] -.sym 8589 $abc$92916$n841 -.sym 8590 UART.tx_clk_counter[0] -.sym 8591 UART.tx_clk_counter[1] -.sym 8592 $false -.sym 8594 $false -.sym 8595 UART.tx_clk_counter[0] -.sym 8596 $false -.sym 8597 $true$2 -.sym 8599 $techmap\UART.$sub$uart.v:32$535_Y[0] -.sym 8600 $techmap\UART.$sub$uart.v:32$535_Y[2] -.sym 8601 $techmap\UART.$sub$uart.v:32$535_Y[3] -.sym 8602 $false -.sym 8604 wr_cnt[1] -.sym 8605 $abc$92916$n687 -.sym 8606 $abc$92916$n958 -.sym 8607 $2\INT[0:0] -.sym 8609 $abc$92916$n840 -.sym 8610 UART.tx_activity -.sym 8611 $techmap\UART.$sub$uart.v:32$535_Y[3] +.sym 8514 $logic_not$top.v:60$10_Y$2 +.sym 8515 $abc$61381$n546 +.sym 8516 $abc$61381$n515 +.sym 8517 $abc$61381$n503_1 +.sym 8518 $abc$61381$n530 +.sym 8519 $abc$61381$n499 +.sym 8520 $abc$61381$n535 +.sym 8521 $abc$61381$n547 +.sym 8522 I2C_HID_DESC.real_adress[2] +.sym 8594 $abc$61381$n500_1 +.sym 8595 $abc$61381$n505 +.sym 8596 $abc$61381$n510 +.sym 8597 $false +.sym 8599 $abc$61381$n501_1 +.sym 8600 $abc$61381$n508 +.sym 8601 $abc$61381$n509 +.sym 8602 $abc$61381$n510 +.sym 8604 KEYBOARD.row_time[0] +.sym 8605 KEYBOARD.row_time[1] +.sym 8606 KEYBOARD.row_time[2] +.sym 8607 KEYBOARD.row_time[3] +.sym 8609 I2C_TX_REPORT[3] +.sym 8610 I2C_TX_DESC[3] +.sym 8611 I2C_OUT_DESC_MASK[3] .sym 8612 $false -.sym 8614 UART.tx_activity -.sym 8615 UART.tx_clk_counter[0] -.sym 8616 UART.tx_clk_counter[1] -.sym 8617 $false -.sym 8619 $abc$92916$n840 -.sym 8620 UART.tx_activity -.sym 8621 $techmap\UART.$sub$uart.v:32$535_Y[2] -.sym 8622 $false -.sym 8624 $abc$92916$n840 -.sym 8625 UART.tx_activity -.sym 8626 $techmap\UART.$sub$uart.v:32$535_Y[0] -.sym 8627 $false -.sym 8628 $auto$dff2dffe.cc:158:make_patterns_logic$85140 +.sym 8614 $abc$61381$n827_1 +.sym 8615 $abc$61381$n822_1 +.sym 8616 $abc$61381$n618_1 +.sym 8617 $abc$61381$n779 +.sym 8619 $abc$61381$n780_1 +.sym 8620 $abc$61381$n785 +.sym 8621 $auto$alumacc.cc:484:replace_alu$36674[7] +.sym 8622 $auto$alumacc.cc:484:replace_alu$36695[7] +.sym 8624 $abc$61381$n780_1 +.sym 8625 $abc$61381$n800 +.sym 8626 $auto$alumacc.cc:484:replace_alu$36674[7] +.sym 8627 $auto$alumacc.cc:484:replace_alu$36695[7] +.sym 8628 $auto$dff2dffe.cc:175:make_patterns_logic$47877 .sym 8629 CLK$2$2 -.sym 8630 $logic_not$top.v:66$10_Y$2 -.sym 8632 $abc$92916$n1195 -.sym 8633 $abc$92916$n1205 -.sym 8634 $auto$alumacc.cc:483:replace_alu$57596[3] -.sym 8635 $eq$top.v:243$154_Y -.sym 8636 $auto$alumacc.cc:470:replace_alu$57551.B_buf[3] -.sym 8637 $auto$alumacc.cc:483:replace_alu$57596[1] -.sym 8638 UART.tx_bit_counter[3] -.sym 8667 $true -.sym 8704 $auto$alumacc.cc:483:replace_alu$57596[0]$2 -.sym 8705 $false -.sym 8706 $auto$alumacc.cc:483:replace_alu$57596[0] -.sym 8707 $false -.sym 8708 $false -.sym 8709 $auto$alumacc.cc:484:replace_alu$57597[1] -.sym 8711 $false -.sym 8712 $auto$alumacc.cc:483:replace_alu$57596[1] -.sym 8714 $auto$alumacc.cc:484:replace_alu$57597[2] -.sym 8716 $true$2 -.sym 8717 $auto$alumacc.cc:470:replace_alu$57595.BB[2] -.sym 8719 $auto$alumacc.cc:484:replace_alu$57597[3]$2 -.sym 8721 $false -.sym 8722 $auto$alumacc.cc:483:replace_alu$57596[3] -.sym 8728 $auto$alumacc.cc:484:replace_alu$57597[3]$2 -.sym 8730 KEYBOARD.report[0][1] -.sym 8731 $auto$rtlil.cc:1692:NotGate$92753 -.sym 8732 $abc$92916$n1195 +.sym 8630 $auto$simplemap.cc:256:simplemap_eqne$37067 +.sym 8631 $abc$61381$n516 +.sym 8632 $abc$61381$n541 +.sym 8633 $abc$61381$n954 +.sym 8634 $abc$61381$n542 +.sym 8635 $abc$61381$n933 +.sym 8636 $abc$61381$n834 +.sym 8637 $abc$61381$n941 +.sym 8638 I2C_TX_REPORT[7] +.sym 8705 KEYBOARD.row_time[4] +.sym 8706 KEYBOARD.row_time[5] +.sym 8707 KEYBOARD.row_time[6] +.sym 8708 KEYBOARD.row_time[7] +.sym 8710 $abc$61381$n875 +.sym 8711 $abc$61381$n867 +.sym 8712 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] +.sym 8713 $false +.sym 8715 $abc$61381$n517 +.sym 8716 $abc$61381$n527 +.sym 8717 $abc$61381$n528 +.sym 8718 $false +.sym 8720 KEYBOARD.row_time[4] +.sym 8721 KEYBOARD.row_time[5] +.sym 8722 KEYBOARD.row_time[6] +.sym 8723 KEYBOARD.row_time[7] +.sym 8725 KEYBOARD.row_time[4] +.sym 8726 KEYBOARD.row_time[5] +.sym 8727 KEYBOARD.row_time[6] +.sym 8728 KEYBOARD.row_time[7] +.sym 8730 I2C_TX_REPORT[7] +.sym 8731 I2C_TX_DESC[7] +.sym 8732 I2C_OUT_DESC_MASK[7] .sym 8733 $false -.sym 8735 KEYBOARD.report[0][2] -.sym 8736 $auto$rtlil.cc:1692:NotGate$92753 -.sym 8737 $abc$92916$n1197_1 -.sym 8738 $false -.sym 8740 KEYBOARD.report[0][6] -.sym 8741 $auto$rtlil.cc:1692:NotGate$92753 -.sym 8742 $abc$92916$n1205 +.sym 8735 KEYBOARD.row_time[4] +.sym 8736 KEYBOARD.row_time[5] +.sym 8737 KEYBOARD.row_time[6] +.sym 8738 KEYBOARD.row_time[7] +.sym 8740 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 8741 $false +.sym 8742 $false .sym 8743 $false -.sym 8744 $auto$dff2dffe.cc:175:make_patterns_logic$91231 +.sym 8744 $auto$dff2dffe.cc:175:make_patterns_logic$51864$2 .sym 8745 CLK$2$2 -.sym 8746 $logic_not$top.v:66$10_Y$2 -.sym 8749 $auto$alumacc.cc:470:replace_alu$57551.B_buf[2] -.sym 8750 $auto$alumacc.cc:484:replace_alu$57730[2] -.sym 8751 $3\report_wr_en[0:0] -.sym 8752 $auto$rtlil.cc:1692:NotGate$92667 -.sym 8753 $abc$92916$n842 -.sym 8754 report_wr_en -.sym 8821 UART.TX_sig_last -.sym 8822 UART_WR -.sym 8823 RESET$2 -.sym 8824 UART.tx_activity -.sym 8826 $add$top.v:184$103_Y[4] -.sym 8827 $2\ring_rd[3:0][1] -.sym 8828 $abc$92916$n733 +.sym 8746 $logic_not$top.v:60$10_Y$2 +.sym 8749 $auto$alumacc.cc:484:replace_alu$36703[1] +.sym 8750 $auto$wreduce.cc:310:run$36584[2] +.sym 8751 $auto$alumacc.cc:470:replace_alu$36715.A_buf[3] +.sym 8752 $abc$61381$n588 +.sym 8754 I2C_OUT_DESC_MASK[0] +.sym 8821 $abc$61381$n791 +.sym 8822 $abc$61381$n786_1 +.sym 8823 $abc$61381$n618_1 +.sym 8824 $abc$61381$n781 +.sym 8826 $abc$61381$n783 +.sym 8827 $abc$61381$n618_1 +.sym 8828 KEYBOARD.report[0][3] .sym 8829 $false -.sym 8831 $abc$92916$n842 -.sym 8832 UART.tx_bit_counter[2] -.sym 8833 UART.tx_bit_counter[3] -.sym 8834 $abc$92916$n840 -.sym 8836 $abc$92916$n840 -.sym 8837 $abc$92916$n842 -.sym 8838 UART.tx_bit_counter[2] -.sym 8839 UART.tx_bit_counter[3] -.sym 8841 $2\ring_wr[3:0][1] -.sym 8842 $abc$92916$n975 -.sym 8843 $2\INT[0:0] +.sym 8831 $abc$61381$n879 +.sym 8832 $abc$61381$n871 +.sym 8833 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] +.sym 8834 $false +.sym 8836 KEYBOARD.kbd_code_hid[2] +.sym 8837 KEYBOARD.kbd_code_hid[1] +.sym 8838 KEYBOARD.kbd_code_hid[0] +.sym 8839 $false +.sym 8841 $abc$61381$n783 +.sym 8842 $abc$61381$n618_1 +.sym 8843 KEYBOARD.report[0][1] .sym 8844 $false -.sym 8846 $8\report_data_adr[7:0][4] -.sym 8847 $abc$92916$n976 -.sym 8848 $abc$92916$n687 -.sym 8849 $false -.sym 8851 UART.tx_activity -.sym 8852 $abc$92916$n839 -.sym 8853 RESET$2 -.sym 8854 $auto$dff2dffe.cc:158:make_patterns_logic$85140 -.sym 8856 UART_WR -.sym 8857 UART.TX_sig_last -.sym 8858 $abc$92916$n1188_1 -.sym 8859 UART.tx_activity -.sym 8860 RESET$2 +.sym 8846 $abc$61381$n806 +.sym 8847 $abc$61381$n801 +.sym 8848 $abc$61381$n618_1 +.sym 8849 $abc$61381$n781 +.sym 8851 KEYBOARD.report[0][1] +.sym 8852 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 8853 $abc$61381$n974 +.sym 8854 $false +.sym 8856 KEYBOARD.report[0][3] +.sym 8857 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 8858 $abc$61381$n978 +.sym 8859 $false +.sym 8860 $auto$dff2dffe.cc:175:make_patterns_logic$59740 .sym 8861 CLK$2$2 .sym 8862 $false -.sym 8863 $auto$dff2dffe.cc:175:make_patterns_logic$74683 -.sym 8864 $abc$92916$n739 -.sym 8865 $abc$92916$n742 -.sym 8866 $auto$dff2dffe.cc:175:make_patterns_logic$76062 -.sym 8867 $auto$dff2dffe.cc:175:make_patterns_logic$76937 -.sym 8868 $abc$92916$n743 -.sym 8869 $auto$dff2dffe.cc:175:make_patterns_logic$73370 -.sym 8870 $abc$92916$n738 -.sym 8937 $abc$92916$n727 -.sym 8938 $abc$92916$n728 +.sym 8863 $abc$61381$n641 +.sym 8864 $abc$61381$n783 +.sym 8865 $abc$61381$n865 +.sym 8866 $abc$61381$n655 +.sym 8867 $auto$dff2dffe.cc:175:make_patterns_logic$47877 +.sym 8869 I2C_TX_REPORT[0] +.sym 8870 I2C_TX_REPORT[2] +.sym 8899 $true +.sym 8936 $auto$alumacc.cc:470:replace_alu$36715.A_buf[0]$2 +.sym 8937 $false +.sym 8938 $auto$alumacc.cc:470:replace_alu$36715.A_buf[0] .sym 8939 $false .sym 8940 $false -.sym 8942 $abc$92916$n687 -.sym 8943 $abc$92916$n676 -.sym 8944 $abc$92916$n705 -.sym 8945 $abc$92916$n704 -.sym 8947 $2\INT[0:0] -.sym 8948 $abc$92916$n679 -.sym 8949 RESET$2 -.sym 8950 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 8952 i2c_input_data_type[0] -.sym 8953 $false -.sym 8954 $false -.sym 8955 $false -.sym 8957 $abc$92916$n726_1 -.sym 8958 $abc$92916$n733 -.sym 8959 REPORT_DATA.r_data[6] -.sym 8960 $false -.sym 8962 $abc$92916$n728 -.sym 8963 $abc$92916$n733 -.sym 8964 REPORT_DATA.r_data[1] -.sym 8965 $abc$92916$n727 -.sym 8967 $abc$92916$n728 -.sym 8968 $abc$92916$n733 -.sym 8969 REPORT_DATA.r_data[3] -.sym 8970 $abc$92916$n727 -.sym 8976 $auto$dff2dffe.cc:175:make_patterns_logic$70825 +.sym 8941 $auto$alumacc.cc:484:replace_alu$36717[1] +.sym 8943 $auto$alumacc.cc:470:replace_alu$36715.A_buf[1] +.sym 8944 $true$2 +.sym 8946 $auto$alumacc.cc:484:replace_alu$36717[2] +.sym 8947 $false +.sym 8948 $auto$alumacc.cc:470:replace_alu$36715.A_buf[2] +.sym 8949 $true$2 +.sym 8950 $auto$alumacc.cc:484:replace_alu$36717[1] +.sym 8951 $auto$simplemap.cc:309:simplemap_lut$46032[1]$2 +.sym 8952 $false +.sym 8953 $auto$alumacc.cc:470:replace_alu$36715.A_buf[3] +.sym 8954 $true$2 +.sym 8955 $auto$alumacc.cc:484:replace_alu$36717[2] +.sym 8960 $auto$simplemap.cc:309:simplemap_lut$46032[1]$2 +.sym 8962 $abc$61381$n641 +.sym 8963 $abc$61381$n648 +.sym 8964 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] +.sym 8965 $false +.sym 8967 $auto$alumacc.cc:470:replace_alu$36715.A_buf[1] +.sym 8968 $auto$alumacc.cc:470:replace_alu$36715.A_buf[0] +.sym 8969 $false +.sym 8970 $false +.sym 8972 I2C.FLT_SDA.out +.sym 8973 $false +.sym 8974 $false +.sym 8975 $false +.sym 8976 $auto$dff2dffe.cc:175:make_patterns_logic$52925 .sym 8977 CLK$2$2 -.sym 8978 $auto$simplemap.cc:250:simplemap_eqne$76135[8]$2 -.sym 8979 $abc$92916$n732 -.sym 8980 $abc$92916$n676 -.sym 8981 $abc$92916$n689 -.sym 8982 $abc$92916$n685 -.sym 8983 $abc$92916$n731 -.sym 8984 $auto$dff2dffe.cc:158:make_patterns_logic$73200 -.sym 8985 $abc$92916$n725 -.sym 8986 $auto$dff2dffe.cc:175:make_patterns_logic$73607 -.sym 9053 I2C.is_read -.sym 9054 $abc$92916$n765 -.sym 9055 $auto$dff2dffe.cc:175:make_patterns_logic$70825 -.sym 9056 $abc$92916$n784_1 -.sym 9058 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 9059 RESET$2 -.sym 9060 $abc$92916$n689 -.sym 9061 $abc$92916$n693 -.sym 9063 $abc$92916$n679 -.sym 9064 RESET$2 -.sym 9065 $false +.sym 8978 $false +.sym 8979 $abc$61381$n953 +.sym 8980 $abc$61381$n798_1 +.sym 8982 $auto$dff2dffe.cc:175:make_patterns_logic$52791 +.sym 8983 $abc$61381$n952 +.sym 8984 $abc$61381$n869 +.sym 8985 $abc$61381$n951 +.sym 8986 I2C_OUT_DESC_MASK[2] +.sym 9058 UART_TX_DATA[7] +.sym 9059 UART_TX_DATA[3] +.sym 9060 $auto$wreduce.cc:310:run$36605[2] +.sym 9061 $false +.sym 9063 RESET$2 +.sym 9064 $auto$rtlil.cc:1692:NotGate$61156 +.sym 9065 $auto$dff2dffe.cc:175:make_patterns_logic$47997 .sym 9066 $false -.sym 9068 $abc$92916$n691 -.sym 9069 $abc$92916$n703 -.sym 9070 $auto$dff2dffe.cc:175:make_patterns_logic$73101 +.sym 9068 $abc$61381$n655 +.sym 9069 $abc$61381$n648 +.sym 9070 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] .sym 9071 $false -.sym 9073 I2C.is_read -.sym 9074 $abc$92916$n689 -.sym 9075 RESET$2 -.sym 9076 $auto$dff2dffe.cc:175:make_patterns_logic$80258 -.sym 9078 $abc$92916$n785 -.sym 9079 $abc$92916$n786 -.sym 9080 $abc$92916$n703 +.sym 9073 I2C.received_byte[3] +.sym 9074 $abc$61381$n871 +.sym 9075 I2C.is_read +.sym 9076 $false +.sym 9078 I2C.received_byte[7] +.sym 9079 $abc$61381$n879 +.sym 9080 I2C.is_read .sym 9081 $false -.sym 9083 $abc$92916$n701 -.sym 9084 UART.tx_activity -.sym 9085 last_uart_active -.sym 9086 $abc$92916$n692 -.sym 9088 last_uart_active -.sym 9089 UART.tx_activity -.sym 9090 $2\uart_double_ff[0:0] -.sym 9091 $auto$rtlil.cc:1692:NotGate$92623 -.sym 9092 $auto$dff2dffe.cc:175:make_patterns_logic$71021 +.sym 9083 I2C.received_byte[6] +.sym 9084 $abc$61381$n877 +.sym 9085 I2C.is_read +.sym 9086 $false +.sym 9088 I2C.received_byte[1] +.sym 9089 $abc$61381$n867 +.sym 9090 I2C.is_read +.sym 9091 $false +.sym 9092 $auto$dff2dffe.cc:175:make_patterns_logic$47997 .sym 9093 CLK$2$2 -.sym 9094 $logic_not$top.v:66$10_Y$2 -.sym 9095 $abc$92916$n713 -.sym 9096 $auto$dff2dffe.cc:175:make_patterns_logic$80258 -.sym 9097 $auto$dff2dffe.cc:175:make_patterns_logic$83913 -.sym 9098 $abc$92916$n789 -.sym 9099 $auto$dff2dffe.cc:175:make_patterns_logic$84512 -.sym 9100 $abc$92916$n811 -.sym 9101 $abc$92916$n744 -.sym 9102 last_wr -.sym 9169 $abc$92916$n805 -.sym 9170 $abc$92916$n798 -.sym 9171 $abc$92916$n755 -.sym 9172 i2c_input_data_type[0] -.sym 9174 $abc$92916$n795 -.sym 9175 i2c_input_data_type[2] +.sym 9094 $auto$rtlil.cc:1692:NotGate$61156 +.sym 9095 $auto$dff2dffe.cc:175:make_patterns_logic$53260 +.sym 9096 $abc$61381$n724 +.sym 9097 $auto$dff2dffe.cc:175:make_patterns_logic$53126 +.sym 9098 $abc$61381$n913 +.sym 9099 $abc$61381$n914_1 +.sym 9100 kbd_report[3][2] +.sym 9102 kbd_report[3][7] +.sym 9169 I2C.received_byte[2] +.sym 9170 $false +.sym 9171 $false +.sym 9172 $false +.sym 9174 I2C.received_byte[5] +.sym 9175 $false .sym 9176 $false .sym 9177 $false -.sym 9179 $abc$92916$n796 -.sym 9180 $abc$92916$n802 -.sym 9181 $abc$92916$n804 -.sym 9182 $abc$92916$n806_1 -.sym 9184 $abc$92916$n800 -.sym 9185 $abc$92916$n797 -.sym 9186 $auto$alumacc.cc:484:replace_alu$57597[3] -.sym 9187 $abc$92916$n763 -.sym 9189 $abc$92916$n798 -.sym 9190 $abc$92916$n801 -.sym 9191 $false +.sym 9179 UART_TX_DATA[6] +.sym 9180 UART_TX_DATA[2] +.sym 9181 $auto$wreduce.cc:310:run$36605[2] +.sym 9182 $false +.sym 9184 $abc$61381$n962 +.sym 9185 $abc$61381$n961 +.sym 9186 $abc$61381$n959 +.sym 9187 $false +.sym 9189 UART_TX_DATA[4] +.sym 9190 UART_TX_DATA[0] +.sym 9191 $auto$wreduce.cc:310:run$36605[2] .sym 9192 $false -.sym 9194 $abc$92916$n803 -.sym 9195 $abc$92916$n798 -.sym 9196 $abc$92916$n755 -.sym 9197 i2c_input_data_type[0] -.sym 9199 $abc$92916$n798 -.sym 9200 $abc$92916$n799_1 -.sym 9201 $false +.sym 9194 UART_TX_DATA[5] +.sym 9195 UART_TX_DATA[1] +.sym 9196 $auto$wreduce.cc:310:run$36605[2] +.sym 9197 $false +.sym 9199 $abc$61381$n965 +.sym 9200 $abc$61381$n964_1 +.sym 9201 $abc$61381$n959 .sym 9202 $false -.sym 9204 $abc$92916$n807 -.sym 9205 $abc$92916$n798 -.sym 9206 $abc$92916$n773_1 +.sym 9204 I2C.FLT_SDA.out +.sym 9205 $false +.sym 9206 $false .sym 9207 $false -.sym 9211 $abc$92916$n696 -.sym 9212 $abc$92916$n677 -.sym 9213 $abc$92916$n699 -.sym 9214 $abc$92916$n693 -.sym 9215 $abc$92916$n711 -.sym 9216 $auto$dff2dffe.cc:175:make_patterns_logic$71223 -.sym 9217 $abc$92916$n710 -.sym 9218 uart_double_ff -.sym 9247 $true -.sym 9284 KEYBOARD.row_counter[0]$2 -.sym 9285 $false -.sym 9286 KEYBOARD.row_counter[0] +.sym 9208 $auto$dff2dffe.cc:175:make_patterns_logic$53059 +.sym 9209 CLK$2$2 +.sym 9210 $false +.sym 9211 $abc$61381$n682 +.sym 9212 $abc$61381$n831 +.sym 9213 $abc$61381$n786_1 +.sym 9214 $abc$61381$n725_1 +.sym 9215 $abc$61381$n801 +.sym 9216 $abc$61381$n773 +.sym 9217 $abc$61381$n793 +.sym 9218 kbd_report[3][0] +.sym 9285 I2C.received_byte[7] +.sym 9286 $false .sym 9287 $false .sym 9288 $false -.sym 9289 $auto$alumacc.cc:484:replace_alu$57721[1] +.sym 9290 UART.tx_activity .sym 9291 $false -.sym 9292 KEYBOARD.row_counter[1] -.sym 9294 $auto$alumacc.cc:484:replace_alu$57721[2]$2 +.sym 9292 $false +.sym 9293 $false .sym 9295 $false .sym 9296 $false -.sym 9297 KEYBOARD.row_counter[2] -.sym 9298 $auto$alumacc.cc:484:replace_alu$57721[1] -.sym 9303 $auto$alumacc.cc:484:replace_alu$57721[2]$2 -.sym 9305 $abc$92916$n794 -.sym 9306 $abc$92916$n809 -.sym 9307 $abc$92916$n1067_1 -.sym 9308 i2c_input_data_type[0] -.sym 9310 $abc$92916$n795 -.sym 9311 i2c_input_data_type[0] -.sym 9312 $false -.sym 9313 $false -.sym 9315 I2C_INPUT_LEN[2] -.sym 9316 I2C_INPUT_LEN[3] -.sym 9317 I2C_INPUT_LEN[0] -.sym 9318 I2C_INPUT_LEN[1] -.sym 9320 $false -.sym 9321 I2C.byte_counter[0] -.sym 9322 $false -.sym 9323 $true$2 -.sym 9324 $auto$dff2dffe.cc:175:make_patterns_logic$80258 +.sym 9297 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] +.sym 9298 $true$2 +.sym 9300 $abc$61381$n665 +.sym 9301 UART.tx_bit_counter[2] +.sym 9302 UART.tx_bit_counter[3] +.sym 9303 $abc$61381$n663 +.sym 9305 I2C.received_byte[4] +.sym 9306 $false +.sym 9307 $false +.sym 9308 $false +.sym 9310 $abc$61381$n663 +.sym 9311 $abc$61381$n665 +.sym 9312 UART.tx_bit_counter[2] +.sym 9313 UART.tx_bit_counter[3] +.sym 9315 UART.tx_activity +.sym 9316 $abc$61381$n662 +.sym 9317 RESET$2 +.sym 9318 $auto$dff2dffe.cc:158:make_patterns_logic$53542 +.sym 9320 $abc$61381$n963 +.sym 9321 $abc$61381$n958 +.sym 9322 $auto$alumacc.cc:484:replace_alu$36624[3] +.sym 9323 $auto$wreduce.cc:310:run$36605[0] +.sym 9324 $auto$dff2dffe.cc:175:make_patterns_logic$53580 .sym 9325 CLK$2$2 -.sym 9326 $logic_not$top.v:66$10_Y$2 -.sym 9327 $abc$92916$n1067_1 -.sym 9328 $abc$92916$n947 -.sym 9329 $abc$92916$n793 -.sym 9330 $abc$92916$n809 -.sym 9332 $abc$92916$n946 -.sym 9333 UART_TX_DATA[0] -.sym 9401 $abc$92916$n809 -.sym 9402 $abc$92916$n808 -.sym 9403 $abc$92916$n794 -.sym 9404 $abc$92916$n1067_1 -.sym 9406 $abc$92916$n808 -.sym 9407 $abc$92916$n1068 -.sym 9408 I2C_OUTPUT_TYPE[0] -.sym 9409 $abc$92916$n1066 -.sym 9411 init_ram_cnt[1] +.sym 9326 $eq$top.v:225$129_Y +.sym 9327 $abc$61381$n805 +.sym 9328 $abc$61381$n768 +.sym 9329 $abc$61381$n776 +.sym 9330 $abc$61381$n797 +.sym 9331 $abc$61381$n775_1 +.sym 9332 $abc$61381$n790 +.sym 9333 KEYBOARD.report[1][1] +.sym 9334 KEYBOARD.report[1][2] +.sym 9363 $true +.sym 9400 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0]$2 +.sym 9401 $false +.sym 9402 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] +.sym 9403 $false +.sym 9404 $false +.sym 9405 $auto$alumacc.cc:484:replace_alu$36624[1] +.sym 9407 $false +.sym 9408 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] +.sym 9410 $auto$alumacc.cc:484:replace_alu$36624[2] .sym 9412 $false -.sym 9413 $false -.sym 9414 $false -.sym 9416 $abc$92916$n726_1 -.sym 9417 $abc$92916$n733 -.sym 9418 REPORT_DATA.r_data[5] -.sym 9419 $false -.sym 9421 $abc$92916$n726_1 -.sym 9422 $abc$92916$n733 -.sym 9423 REPORT_DATA.r_data[0] -.sym 9424 $false -.sym 9426 $abc$92916$n726_1 -.sym 9427 $abc$92916$n733 -.sym 9428 REPORT_DATA.r_data[4] -.sym 9429 $false -.sym 9431 $abc$92916$n726_1 -.sym 9432 $abc$92916$n733 -.sym 9433 REPORT_DATA.r_data[7] -.sym 9434 $false -.sym 9436 $abc$92916$n726_1 -.sym 9437 $abc$92916$n733 -.sym 9438 REPORT_DATA.r_data[2] +.sym 9413 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[2] +.sym 9415 $auto$alumacc.cc:484:replace_alu$36624[3]$2 +.sym 9417 $false +.sym 9418 $techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[3] +.sym 9424 $auto$alumacc.cc:484:replace_alu$36624[3]$2 +.sym 9436 KEYBOARD.kbd_code_hid[3] +.sym 9437 $false +.sym 9438 $false .sym 9439 $false -.sym 9440 $auto$dff2dffe.cc:175:make_patterns_logic$70825 +.sym 9440 $auto$dff2dffe.cc:175:make_patterns_logic$59214 .sym 9441 CLK$2$2 -.sym 9442 $auto$simplemap.cc:250:simplemap_eqne$76135[8]$2 -.sym 9443 $false$2 -.sym 9444 $true$2 -.sym 9445 $abc$92916$n820 -.sym 9446 $auto$dff2dffe.cc:158:make_patterns_logic$92134 -.sym 9448 I2C_TRANS -.sym 9450 I2C_HID_DESC.last_rd_request -.sym 9517 I2C_TX_REPORT[6] -.sym 9518 I2C_TX_DESC[6] -.sym 9519 I2C_OUT_DESC_MASK[6] +.sym 9442 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 9443 $abc$61381$n832_1 +.sym 9444 $abc$61381$n796 +.sym 9445 $abc$61381$n777 +.sym 9446 $abc$61381$n829 +.sym 9447 $abc$61381$n770 +.sym 9448 $abc$61381$n787 +.sym 9449 $abc$61381$n794 +.sym 9450 KEYBOARD.report[1][7] +.sym 9517 $abc$61381$n772 +.sym 9518 kbd_report[4][1] +.sym 9519 $false .sym 9520 $false -.sym 9522 I2C_TX_REPORT[7] -.sym 9523 I2C_TX_DESC[7] -.sym 9524 I2C_OUT_DESC_MASK[7] -.sym 9525 $false -.sym 9527 I2C_TX_REPORT[1] -.sym 9528 I2C_TX_DESC[1] -.sym 9529 I2C_OUT_DESC_MASK[1] -.sym 9530 $false -.sym 9532 I2C_TX_REPORT[2] -.sym 9533 I2C_TX_DESC[2] -.sym 9534 I2C_OUT_DESC_MASK[2] -.sym 9535 $false -.sym 9537 $abc$92916$n1065 -.sym 9538 $abc$92916$n1070 -.sym 9539 $abc$92916$n1072 -.sym 9540 $2\INT[0:0] -.sym 9542 I2C_TX_REPORT[5] -.sym 9543 I2C_TX_DESC[5] -.sym 9544 I2C_OUT_DESC_MASK[5] -.sym 9545 $false -.sym 9547 I2C_TX_REPORT[4] -.sym 9548 I2C_TX_DESC[4] -.sym 9549 I2C_OUT_DESC_MASK[4] -.sym 9550 $false -.sym 9552 $2\INT[0:0] -.sym 9553 $false -.sym 9554 $false -.sym 9555 $false -.sym 9556 $auto$dff2dffe.cc:175:make_patterns_logic$72910 -.sym 9557 CLK$2$2 -.sym 9558 $logic_not$top.v:66$10_Y$2 -.sym 9563 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 9564 $auto$alumacc.cc:483:replace_alu$57573[0] -.sym 9595 $false -.sym 9632 $auto$alumacc.cc:484:replace_alu$57659[0] -.sym 9634 $logic_not$top.v:66$10_Y$2 -.sym 9635 rststate[0] -.sym 9637 $auto$alumacc.cc:484:replace_alu$57659[1] -.sym 9638 $false -.sym 9639 $false -.sym 9640 rststate[1] -.sym 9641 $auto$alumacc.cc:484:replace_alu$57659[0] -.sym 9642 $auto$alumacc.cc:484:replace_alu$57659[2]$2 -.sym 9643 $false -.sym 9644 $false -.sym 9645 rststate[2] -.sym 9646 $auto$alumacc.cc:484:replace_alu$57659[1] -.sym 9651 $auto$alumacc.cc:484:replace_alu$57659[2]$2 -.sym 9653 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9537 $abc$61381$n772 +.sym 9538 kbd_report[4][2] +.sym 9539 $false +.sym 9540 $false +.sym 9559 $abc$61381$n693 +.sym 9560 $abc$61381$n830 +.sym 9561 $abc$61381$n699 +.sym 9562 $abc$61381$n769 +.sym 9563 $abc$61381$n698 +.sym 9564 $abc$61381$n802 +.sym 9565 kbd_report[4][7] +.sym 9566 kbd_report[4][0] +.sym 9633 $abc$61381$n772 +.sym 9634 kbd_report[4][3] +.sym 9635 $false +.sym 9636 $false +.sym 9638 KEYBOARD.kbd_code_hid[3] +.sym 9639 KEYBOARD.kbd_code_hid[2] +.sym 9640 kbd_report[4][2] +.sym 9641 kbd_report[4][3] +.sym 9643 kbd_report[4][0] +.sym 9644 kbd_report[4][1] +.sym 9645 kbd_report[4][2] +.sym 9646 kbd_report[4][3] +.sym 9648 KEYBOARD.kbd_code_hid[1] +.sym 9649 KEYBOARD.kbd_code_hid[0] +.sym 9650 kbd_report[4][0] +.sym 9651 kbd_report[4][1] +.sym 9653 KEYBOARD.kbd_code_hid[2] .sym 9654 $false .sym 9655 $false .sym 9656 $false -.sym 9658 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9659 $false -.sym 9660 $false -.sym 9661 $false -.sym 9663 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9663 KEYBOARD.kbd_code_hid[1] .sym 9664 $false .sym 9665 $false .sym 9666 $false -.sym 9668 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9668 KEYBOARD.kbd_code_hid[3] .sym 9669 $false .sym 9670 $false .sym 9671 $false -.sym 9672 $auto$dff2dffe.cc:175:make_patterns_logic$81361$2 +.sym 9672 $auto$dff2dffe.cc:175:make_patterns_logic$56573 .sym 9673 CLK$2$2 -.sym 9674 $logic_not$top.v:66$10_Y$2 -.sym 9676 $add$top.v:66$11_Y[0] -.sym 9677 $auto$alumacc.cc:470:replace_alu$57572.B_buf[2] -.sym 9679 $auto$alumacc.cc:483:replace_alu$57573[2] -.sym 9680 I2C.i2c_bit_counter[2] -.sym 9682 rststate[0] -.sym 9764 $false -.sym 9765 $false -.sym 9766 rststate[3] -.sym 9767 $auto$alumacc.cc:484:replace_alu$57659[2] -.sym 9769 rststate[3] -.sym 9770 rststate[2] -.sym 9771 rststate[1] -.sym 9772 rststate[0] -.sym 9774 $abc$92916$n946 -.sym 9775 $add$top.v:66$11_Y[2] -.sym 9776 $false -.sym 9777 $false -.sym 9779 $abc$92916$n946 -.sym 9780 $add$top.v:66$11_Y[1] -.sym 9781 $false -.sym 9782 $false -.sym 9784 $abc$92916$n946 -.sym 9785 $add$top.v:66$11_Y[3] -.sym 9786 $false -.sym 9787 $false -.sym 9788 $true -.sym 9789 CLK$2$2 -.sym 9790 $false -.sym 9890 $abc$92916$n651 -.sym 9892 $abc$92916$n625 -.sym 9893 $abc$92916$n614 -.sym 9895 $abc$92916$n1218 -.sym 9896 $abc$92916$n631 -.sym 9965 $abc$92916$n616 -.sym 9966 KEYBOARD.row_time[0] -.sym 9967 KEYBOARD.row_time[1] -.sym 9968 $false -.sym 9970 $abc$92916$n622 -.sym 9971 $abc$92916$n624 -.sym 9972 $abc$92916$n626 -.sym 9973 $false -.sym 9980 KEYBOARD.kbd_code_hid[2] -.sym 9981 KEYBOARD.kbd_code_hid[1] -.sym 9982 KEYBOARD.kbd_code_hid[0] -.sym 9983 $false -.sym 9985 $abc$92916$n625 -.sym 9986 KEYBOARD.row_time[0] -.sym 9987 KEYBOARD.row_time[1] -.sym 9988 $false -.sym 9990 KEYBOARD.row_time[0] -.sym 9991 KEYBOARD.row_time[1] -.sym 9992 $false -.sym 9993 $false -.sym 10000 KEYBOARD.row_time[0] -.sym 10001 KEYBOARD.row_time[1] -.sym 10002 $false -.sym 10003 $false -.sym 10004 RESET$2 -.sym 10005 CLK$2$2 -.sym 10006 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 10011 $abc$92916$n662 -.sym 10012 $abc$92916$n663 -.sym 10013 $abc$92916$n628 -.sym 10014 KEYBOARD.report[6][4] -.sym 10015 KEYBOARD.report[6][1] -.sym 10016 KEYBOARD.report[6][7] -.sym 10018 KEYBOARD.report[6][0] -.sym 10121 KEYBOARD.row_time[4] -.sym 10122 KEYBOARD.row_time[5] -.sym 10123 KEYBOARD.row_time[6] -.sym 10124 KEYBOARD.row_time[7] -.sym 10126 $abc$92916$n633 -.sym 10127 KEYBOARD.row_time[2] -.sym 10128 KEYBOARD.row_time[3] -.sym 10129 $false -.sym 10131 KEYBOARD.row_time[0] -.sym 10132 KEYBOARD.row_time[1] -.sym 10133 KEYBOARD.row_time[2] -.sym 10134 KEYBOARD.row_time[3] -.sym 10136 $abc$92916$n616 -.sym 10137 $abc$92916$n658 -.sym 10138 $abc$92916$n626 -.sym 10139 $false -.sym 10141 $abc$92916$n622 -.sym 10142 $abc$92916$n626 -.sym 10143 $abc$92916$n630 -.sym 10144 $false -.sym 10146 $abc$92916$n633 -.sym 10147 KEYBOARD.row_time[2] -.sym 10148 KEYBOARD.row_time[3] -.sym 10149 $false -.sym 10151 KEYBOARD.row_time[4] -.sym 10152 KEYBOARD.row_time[5] -.sym 10153 KEYBOARD.row_time[6] -.sym 10154 KEYBOARD.row_time[7] -.sym 10156 KEYBOARD.row_time[4] -.sym 10157 KEYBOARD.row_time[5] -.sym 10158 KEYBOARD.row_time[6] -.sym 10159 KEYBOARD.row_time[7] -.sym 10163 $abc$92916$n851 -.sym 10164 $abc$92916$n870 -.sym 10165 $abc$92916$n854 -.sym 10166 $abc$92916$n852_1 -.sym 10167 $abc$92916$n853 -.sym 10168 $abc$92916$n875 -.sym 10169 $abc$92916$n874 -.sym 10170 KEYBOARD.report[6][5] -.sym 10237 $abc$92916$n616 -.sym 10238 $abc$92916$n642 -.sym 10239 $abc$92916$n643 -.sym 10240 $false -.sym 10242 $abc$92916$n649 -.sym 10243 KEYBOARD.row_time[2] -.sym 10244 KEYBOARD.row_time[3] -.sym 10245 $false -.sym 10247 $abc$92916$n616 -.sym 10248 $abc$92916$n648 -.sym 10249 KEYBOARD.row_time[0] -.sym 10250 KEYBOARD.row_time[1] -.sym 10252 KEYBOARD.row_time[4] -.sym 10253 KEYBOARD.row_time[5] -.sym 10254 KEYBOARD.row_time[6] -.sym 10255 KEYBOARD.row_time[7] -.sym 10257 $abc$92916$n673 -.sym 10258 KEYBOARD.kbd_code_hid[7] -.sym 10259 KEYBOARD.kbd_code_hid[6] -.sym 10260 KEYBOARD.kbd_code_hid[3] -.sym 10262 KEYBOARD.kbd_code_hid[2] -.sym 10263 KEYBOARD.kbd_code_hid[1] -.sym 10264 KEYBOARD.kbd_code_hid[0] -.sym 10265 $false -.sym 10267 KEYBOARD.row_time[4] -.sym 10268 KEYBOARD.row_time[5] -.sym 10269 KEYBOARD.row_time[6] -.sym 10270 KEYBOARD.row_time[7] -.sym 10272 KEYBOARD.report[0][0] -.sym 10273 $auto$rtlil.cc:1692:NotGate$92753 -.sym 10274 $abc$92916$n673 +.sym 9674 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 9675 $abc$61381$n707 +.sym 9676 $abc$61381$n708 +.sym 9677 $abc$61381$n691 +.sym 9678 kbd_report[5][7] +.sym 9679 kbd_report[5][3] +.sym 9680 kbd_report[5][0] +.sym 9681 kbd_report[5][2] +.sym 9682 kbd_report[5][1] +.sym 9896 $auto$alumacc.cc:484:replace_alu$36695[7] +.sym 10011 $auto$alumacc.cc:483:replace_alu$36673[7] +.sym 10014 $auto$alumacc.cc:483:replace_alu$36673[2] +.sym 10016 $auto$alumacc.cc:483:replace_alu$36673[6] +.sym 10018 $auto$alumacc.cc:483:replace_alu$36673[5] +.sym 10121 $techmap\I2C.$procmux$19301_Y +.sym 10122 I2C.byte_counter[7] +.sym 10123 $false +.sym 10124 $false +.sym 10151 $false +.sym 10152 $true$2 +.sym 10153 $auto$alumacc.cc:484:replace_alu$36714[0] +.sym 10154 $false +.sym 10156 $auto$alumacc.cc:484:replace_alu$36714[0] +.sym 10157 $abc$61381$n921 +.sym 10158 $auto$wreduce.cc:310:run$36586[0] +.sym 10159 $abc$61381$n920 +.sym 10160 $true +.sym 10161 CLK$2$2 +.sym 10162 $false +.sym 10164 $abc$61381$n901 +.sym 10165 $auto$simplemap.cc:309:simplemap_lut$47343[1] +.sym 10167 I2C.SCL_LAST +.sym 10237 $false +.sym 10238 I2C.byte_counter[7] +.sym 10239 $true$2 +.sym 10240 $auto$alumacc.cc:470:replace_alu$36704.C[7] +.sym 10247 $techmap\I2C.$procmux$19301_Y +.sym 10248 I2C.byte_counter[5] +.sym 10249 $false +.sym 10250 $false +.sym 10252 $techmap\I2C.$procmux$19301_Y +.sym 10253 I2C.byte_counter[2] +.sym 10254 $false +.sym 10255 $false +.sym 10267 $abc$61381$n559 +.sym 10268 $sub$top.v:78$20_Y[7] +.sym 10269 $false +.sym 10270 $false +.sym 10272 $abc$61381$n559 +.sym 10273 $sub$top.v:78$20_Y[5] +.sym 10274 $false .sym 10275 $false -.sym 10276 $auto$dff2dffe.cc:175:make_patterns_logic$91231 +.sym 10276 $auto$dff2dffe.cc:175:make_patterns_logic$50775 .sym 10277 CLK$2$2 -.sym 10278 $logic_not$top.v:66$10_Y$2 -.sym 10279 $abc$92916$n999_1 -.sym 10280 $abc$92916$n1038 -.sym 10281 $abc$92916$n1036 -.sym 10282 $abc$92916$n1058 -.sym 10283 $abc$92916$n1003 -.sym 10284 $abc$92916$n1000 -.sym 10285 KEYBOARD.report[6][2] -.sym 10286 KEYBOARD.report[6][3] -.sym 10353 $abc$92916$n1010_1 -.sym 10354 $abc$92916$n1037 -.sym 10355 $abc$92916$n1038 -.sym 10356 $abc$92916$n1035 -.sym 10358 KEYBOARD.report[0][0] -.sym 10359 $abc$92916$n1009 -.sym 10360 $abc$92916$n999_1 -.sym 10361 $abc$92916$n1006 -.sym 10363 KEYBOARD.report[0][4] -.sym 10364 $abc$92916$n1034_1 -.sym 10365 $2\INT[0:0] -.sym 10366 $false -.sym 10368 KEYBOARD.row_time[12] -.sym 10369 KEYBOARD.row_time[14] -.sym 10370 KEYBOARD.row_time[15] -.sym 10371 KEYBOARD.row_time[13] -.sym 10373 KEYBOARD.report[0][0] -.sym 10374 $abc$92916$n1010_1 -.sym 10375 $abc$92916$n998 -.sym 10376 $2\INT[0:0] -.sym 10378 $abc$92916$n672 -.sym 10379 KEYBOARD.kbd_code_hid[4] -.sym 10380 KEYBOARD.kbd_code_hid[5] -.sym 10381 $false -.sym 10383 KEYBOARD.report[0][4] -.sym 10384 $abc$92916$n1009 -.sym 10385 $abc$92916$n1036 -.sym 10386 $false -.sym 10388 $add$top.v:89$20_Y[7] -.sym 10389 $abc$92916$n1054 -.sym 10390 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 10278 $logic_not$top.v:60$10_Y$2 +.sym 10279 $abc$61381$n920 +.sym 10280 $abc$61381$n902 +.sym 10281 $abc$61381$n915 +.sym 10282 $abc$61381$n932 +.sym 10283 $abc$61381$n916 +.sym 10284 $abc$61381$n905 +.sym 10285 $abc$61381$n903 +.sym 10286 I2C.wr +.sym 10353 $auto$alumacc.cc:483:replace_alu$36713[4] +.sym 10354 $auto$alumacc.cc:483:replace_alu$36713[7] +.sym 10355 I2C.byte_counter[5] +.sym 10356 I2C.byte_counter[6] +.sym 10358 $techmap\I2C.$procmux$19301_Y +.sym 10359 I2C.byte_counter[6] +.sym 10360 $false +.sym 10361 $false +.sym 10363 $auto$alumacc.cc:483:replace_alu$36713[4] +.sym 10364 $auto$alumacc.cc:483:replace_alu$36713[5] +.sym 10365 $auto$alumacc.cc:483:replace_alu$36713[6] +.sym 10366 $auto$alumacc.cc:483:replace_alu$36713[7] +.sym 10368 $abc$61381$n911 +.sym 10369 $abc$61381$n912 +.sym 10370 $false +.sym 10371 $false +.sym 10373 $techmap\I2C.$procmux$19301_Y +.sym 10374 I2C.i2c_bit_counter[3] +.sym 10375 $false +.sym 10376 $false +.sym 10378 $abc$61381$n922 +.sym 10379 $auto$alumacc.cc:483:replace_alu$36713[2] +.sym 10380 $abc$61381$n606 +.sym 10381 I2C.byte_counter[3] +.sym 10383 $auto$alumacc.cc:483:replace_alu$36713[5] +.sym 10384 $abc$61381$n921 +.sym 10385 $auto$wreduce.cc:310:run$36586[5] +.sym 10386 $abc$61381$n920 +.sym 10388 $auto$alumacc.cc:483:replace_alu$36644[3] +.sym 10389 $abc$61381$n936 +.sym 10390 $abc$61381$n941 .sym 10391 $false -.sym 10392 $auto$dff2dffe.cc:175:make_patterns_logic$76937 +.sym 10392 $true .sym 10393 CLK$2$2 .sym 10394 $false -.sym 10395 $abc$92916$n871 -.sym 10396 $abc$92916$n873 -.sym 10397 $abc$92916$n879 -.sym 10398 $abc$92916$n882 -.sym 10399 $abc$92916$n1015 -.sym 10400 $abc$92916$n881 -.sym 10401 KEYBOARD.report[5][0] -.sym 10402 KEYBOARD.report[5][4] -.sym 10469 $abc$92916$n1004 -.sym 10470 KEYBOARD.report[6][5] -.sym 10471 $abc$92916$n1001 -.sym 10472 KEYBOARD.report[5][5] -.sym 10474 KEYBOARD.report[3][5] -.sym 10475 $abc$92916$n1002_1 -.sym 10476 $abc$92916$n1043 -.sym 10477 $abc$92916$n1044 -.sym 10479 KEYBOARD.report[5][4] -.sym 10480 KEYBOARD.report[5][5] -.sym 10481 KEYBOARD.report[5][6] -.sym 10482 KEYBOARD.report[5][7] -.sym 10484 KEYBOARD.report[0][6] -.sym 10485 $abc$92916$n1009 -.sym 10486 $abc$92916$n1049 -.sym 10487 $abc$92916$n1052 -.sym 10489 KEYBOARD.kbd_code_hid[4] -.sym 10490 KEYBOARD.kbd_code_hid[5] -.sym 10491 KEYBOARD.report[5][4] -.sym 10492 KEYBOARD.report[5][5] -.sym 10494 KEYBOARD.report[0][5] -.sym 10495 $abc$92916$n1009 -.sym 10496 $abc$92916$n1042_1 -.sym 10497 $abc$92916$n1045 -.sym 10499 KEYBOARD.kbd_code_hid[7] -.sym 10500 KEYBOARD.kbd_code_hid[6] -.sym 10501 KEYBOARD.report[5][6] -.sym 10502 KEYBOARD.report[5][7] -.sym 10504 $add$top.v:89$20_Y[2] -.sym 10505 $abc$92916$n1019_1 -.sym 10506 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 10395 $abc$61381$n895 +.sym 10396 $abc$61381$n931_1 +.sym 10397 $abc$61381$n897 +.sym 10398 $abc$61381$n896 +.sym 10399 $abc$61381$n900 +.sym 10400 $abc$61381$n908 +.sym 10401 $abc$61381$n945 +.sym 10402 I2C.i2c_state_machine +.sym 10474 $abc$61381$n500_1 +.sym 10475 $abc$61381$n504 +.sym 10476 $abc$61381$n512 +.sym 10477 $abc$61381$n513 +.sym 10479 I2C.byte_counter[4] +.sym 10480 I2C.byte_counter[5] +.sym 10481 I2C.byte_counter[6] +.sym 10482 I2C.byte_counter[7] +.sym 10484 I2C.byte_counter[0] +.sym 10485 I2C.byte_counter[1] +.sym 10486 $false +.sym 10487 $false +.sym 10489 $abc$61381$n910 +.sym 10490 $abc$61381$n913 +.sym 10491 $false +.sym 10492 $false +.sym 10494 $abc$61381$n500_1 +.sym 10495 $abc$61381$n504 +.sym 10496 $abc$61381$n512 +.sym 10497 $abc$61381$n513 +.sym 10499 I2C.byte_counter[0] +.sym 10500 I2C.byte_counter[1] +.sym 10501 $false +.sym 10502 $false +.sym 10504 I2C_OUTPUT_TYPE[1] +.sym 10505 I2C_OUTPUT_TYPE[0] +.sym 10506 I2C.byte_counter[5] .sym 10507 $false -.sym 10508 $auto$dff2dffe.cc:175:make_patterns_logic$76937 +.sym 10508 $auto$dff2dffe.cc:158:make_patterns_logic$60701 .sym 10509 CLK$2$2 -.sym 10510 $false -.sym 10511 $abc$92916$n1023 -.sym 10512 $abc$92916$n1021 -.sym 10513 $abc$92916$n1026 -.sym 10514 $abc$92916$n1029_1 -.sym 10515 $abc$92916$n1027 -.sym 10516 $abc$92916$n1030 -.sym 10517 $abc$92916$n1028 -.sym 10518 KEYBOARD.report[3][3] -.sym 10585 KEYBOARD.report[0][2] -.sym 10586 $abc$92916$n1010_1 -.sym 10587 $abc$92916$n1020 -.sym 10588 $2\INT[0:0] -.sym 10590 $false -.sym 10591 wr_cnt[0] -.sym 10592 $false -.sym 10593 $false -.sym 10595 KEYBOARD.report[0][2] -.sym 10596 $abc$92916$n1009 -.sym 10597 $abc$92916$n1021 -.sym 10598 $abc$92916$n1024 -.sym 10600 KEYBOARD.report[3][6] -.sym 10601 $abc$92916$n1002_1 -.sym 10602 $abc$92916$n1050 -.sym 10603 $abc$92916$n1051 -.sym 10605 $8\report_data_adr[7:0][0] -.sym 10606 $abc$92916$n733 -.sym 10607 $add$top.v:184$103_Y[0] -.sym 10608 $abc$92916$n687 -.sym 10610 $abc$92916$n1004 -.sym 10611 KEYBOARD.report[6][6] -.sym 10612 $abc$92916$n1001 -.sym 10613 KEYBOARD.report[5][6] -.sym 10615 $techmap\KEYBOARD.$procmux$5651_Y[6] -.sym 10616 $false -.sym 10617 $false +.sym 10510 $logic_not$top.v:60$10_Y$2 +.sym 10511 $abc$61381$n949 +.sym 10512 $abc$61381$n950 +.sym 10513 $auto$dff2dffe.cc:158:make_patterns_logic$60701 +.sym 10514 $abc$61381$n904 +.sym 10515 $abc$61381$n646_1 +.sym 10516 $abc$61381$n779 +.sym 10518 I2C_HID_DESC.last_rd_request +.sym 10585 $abc$61381$n547 +.sym 10586 $abc$61381$n501_1 +.sym 10587 $abc$61381$n508 +.sym 10588 $abc$61381$n509 +.sym 10590 $abc$61381$n516 +.sym 10591 $abc$61381$n509 +.sym 10592 KEYBOARD.row_time[2] +.sym 10593 KEYBOARD.row_time[3] +.sym 10595 $abc$61381$n504 +.sym 10596 KEYBOARD.row_time[0] +.sym 10597 KEYBOARD.row_time[1] +.sym 10598 $false +.sym 10600 $abc$61381$n516 +.sym 10601 $abc$61381$n531 +.sym 10602 KEYBOARD.row_time[2] +.sym 10603 KEYBOARD.row_time[3] +.sym 10605 $abc$61381$n500_1 +.sym 10606 $abc$61381$n503_1 +.sym 10607 $abc$61381$n505 +.sym 10608 $false +.sym 10610 $abc$61381$n516 +.sym 10611 $abc$61381$n512 +.sym 10612 KEYBOARD.row_time[2] +.sym 10613 KEYBOARD.row_time[3] +.sym 10615 $abc$61381$n513 +.sym 10616 KEYBOARD.row_time[2] +.sym 10617 KEYBOARD.row_time[3] .sym 10618 $false -.sym 10620 $techmap\KEYBOARD.$procmux$5651_Y[5] +.sym 10620 I2C.byte_counter[2] .sym 10621 $false .sym 10622 $false .sym 10623 $false -.sym 10624 $auto$dff2dffe.cc:175:make_patterns_logic$89305 +.sym 10624 $auto$dff2dffe.cc:158:make_patterns_logic$60701 .sym 10625 CLK$2$2 -.sym 10626 $logic_not$top.v:66$10_Y$2 -.sym 10627 $abc$92916$n1004 -.sym 10629 $abc$92916$n1010_1 -.sym 10630 $abc$92916$n1022 -.sym 10631 $abc$92916$n1008 -.sym 10632 KEYBOARD.report[5][2] -.sym 10634 KEYBOARD.report[5][3] -.sym 10706 KEYBOARD.kbd_code_hid[2] -.sym 10707 KEYBOARD.kbd_code_hid[1] -.sym 10708 KEYBOARD.kbd_code_hid[0] +.sym 10626 $logic_not$top.v:60$10_Y$2 +.sym 10627 $abc$61381$n937 +.sym 10628 $auto$alumacc.cc:470:replace_alu$36715.A_buf[0] +.sym 10629 $abc$61381$n642 +.sym 10630 $abc$61381$n936 +.sym 10631 $abc$61381$n934 +.sym 10632 $abc$61381$n643 +.sym 10633 $abc$61381$n939 +.sym 10634 I2C.i2c_bit_counter[0] +.sym 10701 $abc$61381$n517 +.sym 10702 KEYBOARD.row_time[0] +.sym 10703 KEYBOARD.row_time[1] +.sym 10704 $false +.sym 10706 $abc$61381$n517 +.sym 10707 $abc$61381$n542 +.sym 10708 $abc$61381$n505 .sym 10709 $false -.sym 10711 KEYBOARD.kbd_code_hid[2] -.sym 10712 KEYBOARD.kbd_code_hid[1] -.sym 10713 KEYBOARD.kbd_code_hid[0] -.sym 10714 $false -.sym 10716 i2c_input_data_type[3] -.sym 10717 $false -.sym 10718 $false +.sym 10711 $abc$61381$n956_1 +.sym 10712 $abc$61381$n955 +.sym 10713 $abc$61381$n648 +.sym 10714 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] +.sym 10716 $abc$61381$n513 +.sym 10717 KEYBOARD.row_time[2] +.sym 10718 KEYBOARD.row_time[3] .sym 10719 $false -.sym 10721 UART.tx_activity -.sym 10722 $false -.sym 10723 $false +.sym 10721 $abc$61381$n934 +.sym 10722 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] +.sym 10723 $auto$simplemap.cc:250:simplemap_eqne$52942[1] .sym 10724 $false -.sym 10726 $false -.sym 10727 UART.tx_bit_counter[3] -.sym 10728 $true$2 -.sym 10729 $auto$alumacc.cc:484:replace_alu$57730[2] -.sym 10731 i2c_input_data_type[1] -.sym 10732 $false -.sym 10733 $false -.sym 10734 $false -.sym 10736 $auto$alumacc.cc:470:replace_alu$57551.B_buf[3] -.sym 10737 $false -.sym 10738 $false -.sym 10739 $false -.sym 10740 $auto$dff2dffe.cc:175:make_patterns_logic$85121 +.sym 10726 $abc$61381$n783 +.sym 10727 $abc$61381$n618_1 +.sym 10728 KEYBOARD.report[0][7] +.sym 10729 $false +.sym 10731 $abc$61381$n934 +.sym 10732 $abc$61381$n646_1 +.sym 10733 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[3] +.sym 10734 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 10736 $abc$61381$n834 +.sym 10737 $abc$61381$n829 +.sym 10738 $abc$61381$n618_1 +.sym 10739 $abc$61381$n779 +.sym 10740 $auto$dff2dffe.cc:175:make_patterns_logic$47877 .sym 10741 CLK$2$2 -.sym 10742 $eq$top.v:243$154_Y -.sym 10744 $8\report_data_adr[7:0][4] -.sym 10745 $8\report_data_adr[7:0][5] -.sym 10746 $8\report_data_adr[7:0][6] -.sym 10747 $auto$alumacc.cc:484:replace_alu$57647[6] -.sym 10748 $abc$92916$n981 -.sym 10749 $abc$92916$n982 -.sym 10750 UART.tx_bit_counter[2] +.sym 10742 $auto$simplemap.cc:256:simplemap_eqne$37067 +.sym 10743 $abc$61381$n581 +.sym 10744 $abc$61381$n615 +.sym 10745 $abc$61381$n601 +.sym 10746 $abc$61381$n616 +.sym 10747 $abc$61381$n587 +.sym 10748 $abc$61381$n618_1 +.sym 10749 $abc$61381$n617 +.sym 10750 $abc$61381$n781 .sym 10779 $true -.sym 10816 UART.tx_bit_counter[0]$2 +.sym 10816 I2C.byte_counter[0]$2 .sym 10817 $false -.sym 10818 UART.tx_bit_counter[0] +.sym 10818 I2C.byte_counter[0] .sym 10819 $false .sym 10820 $false -.sym 10821 $auto$alumacc.cc:484:replace_alu$57730[1] -.sym 10823 UART.tx_bit_counter[1] +.sym 10821 $auto$alumacc.cc:484:replace_alu$36703[1]$2 +.sym 10823 I2C.byte_counter[1] .sym 10824 $true$2 -.sym 10826 $auto$alumacc.cc:484:replace_alu$57730[2]$2 -.sym 10827 $false -.sym 10828 UART.tx_bit_counter[2] -.sym 10829 $true$2 -.sym 10830 $auto$alumacc.cc:484:replace_alu$57730[1] -.sym 10835 $auto$alumacc.cc:484:replace_alu$57730[2]$2 -.sym 10837 $abc$92916$n679 -.sym 10838 $2\INT[0:0] +.sym 10830 $auto$alumacc.cc:484:replace_alu$36703[1]$2 +.sym 10832 $false +.sym 10833 I2C.byte_counter[2] +.sym 10834 $false +.sym 10835 $auto$alumacc.cc:484:replace_alu$36703[1] +.sym 10837 $auto$alumacc.cc:483:replace_alu$36644[3] +.sym 10838 $false .sym 10839 $false .sym 10840 $false -.sym 10842 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 10843 $false +.sym 10842 I2C.byte_counter[2] +.sym 10843 I2C.byte_counter[3] .sym 10844 $false .sym 10845 $false -.sym 10847 UART.tx_bit_counter[0] -.sym 10848 UART.tx_bit_counter[1] -.sym 10849 $false -.sym 10850 $false -.sym 10852 $3\report_wr_en[0:0] +.sym 10852 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 10853 $false .sym 10854 $false .sym 10855 $false -.sym 10856 $auto$dff2dffe.cc:175:make_patterns_logic$74683 +.sym 10856 $auto$dff2dffe.cc:175:make_patterns_logic$51864$2 .sym 10857 CLK$2$2 -.sym 10858 $auto$rtlil.cc:1692:NotGate$92667 -.sym 10859 $abc$92916$n989_1 -.sym 10860 $abc$92916$n704 -.sym 10861 $abc$92916$n990 -.sym 10862 $2\INT[0:0] -.sym 10863 $abc$92916$n755 -.sym 10864 $abc$92916$n983 -.sym 10865 $abc$92916$n988 -.sym 10866 KEYBOARD.isr -.sym 10933 $abc$92916$n738 -.sym 10934 $abc$92916$n739 -.sym 10935 $false +.sym 10858 $logic_not$top.v:60$10_Y$2 +.sym 10859 $abc$61381$n782 +.sym 10860 $abc$61381$n813 +.sym 10861 $abc$61381$n820 +.sym 10862 $abc$61381$n568 +.sym 10863 $auto$wreduce.cc:310:run$36584[0] +.sym 10864 $abc$61381$n780_1 +.sym 10865 I2C_TX_REPORT[5] +.sym 10866 I2C_TX_REPORT[4] +.sym 10933 $abc$61381$n642 +.sym 10934 $abc$61381$n647_1 +.sym 10935 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] .sym 10936 $false -.sym 10938 $abc$92916$n704 -.sym 10939 $abc$92916$n676 -.sym 10940 $abc$92916$n687 -.sym 10941 $abc$92916$n740 -.sym 10943 $abc$92916$n740 -.sym 10944 $abc$92916$n676 -.sym 10945 $abc$92916$n743 +.sym 10938 $abc$61381$n771 +.sym 10939 $auto$wreduce.cc:310:run$36584[0] +.sym 10940 $auto$wreduce.cc:310:run$36584[2] +.sym 10941 $false +.sym 10943 I2C_TX_REPORT[0] +.sym 10944 I2C_TX_DESC[0] +.sym 10945 I2C_OUT_DESC_MASK[0] .sym 10946 $false -.sym 10948 $abc$92916$n725 -.sym 10949 $abc$92916$n742 -.sym 10950 $abc$92916$n744 +.sym 10948 $abc$61381$n642 +.sym 10949 $abc$61381$n647_1 +.sym 10950 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] .sym 10951 $false -.sym 10953 $abc$92916$n742 -.sym 10954 $abc$92916$n738 -.sym 10955 $false +.sym 10953 RESET$2 +.sym 10954 $abc$61381$n559 +.sym 10955 I2C.is_read .sym 10956 $false -.sym 10958 $abc$92916$n679 -.sym 10959 RESET$2 -.sym 10960 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 10961 $abc$92916$n704 -.sym 10963 RESET$2 -.sym 10964 $3\report_wr_en[0:0] -.sym 10965 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 10966 $false -.sym 10968 RESET$2 -.sym 10969 $abc$92916$n676 -.sym 10970 $abc$92916$n687 -.sym 10971 $false -.sym 10975 $abc$92916$n786 -.sym 10976 $abc$92916$n762_1 -.sym 10977 $auto$dff2dffe.cc:175:make_patterns_logic$84333 -.sym 10978 $abc$92916$n683 -.sym 10979 $abc$92916$n761 -.sym 10980 $abc$92916$n760 -.sym 10981 $abc$92916$n682 -.sym 10982 last_isr -.sym 11049 $abc$92916$n689 -.sym 11050 $abc$92916$n726_1 -.sym 11051 $abc$92916$n733 -.sym 11052 $abc$92916$n731 -.sym 11054 $abc$92916$n677 -.sym 11055 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 11056 $false +.sym 10963 $abc$61381$n782 +.sym 10964 $abc$61381$n768 +.sym 10965 $abc$61381$n618_1 +.sym 10966 $abc$61381$n779 +.sym 10968 $abc$61381$n798_1 +.sym 10969 $abc$61381$n793 +.sym 10970 $abc$61381$n618_1 +.sym 10971 $abc$61381$n779 +.sym 10972 $auto$dff2dffe.cc:175:make_patterns_logic$47877 +.sym 10973 CLK$2$2 +.sym 10974 $auto$simplemap.cc:256:simplemap_eqne$37067 +.sym 10975 $abc$61381$n873 +.sym 10976 $abc$61381$n562 +.sym 10977 $abc$61381$n567 +.sym 10978 $auto$rtlil.cc:1692:NotGate$61156 +.sym 10979 $abc$61381$n559 +.sym 10980 $auto$simplemap.cc:127:simplemap_reduce$48347[1] +.sym 10981 $auto$dff2dffe.cc:175:make_patterns_logic$47997 +.sym 10982 UART_WR +.sym 11049 $abc$61381$n877 +.sym 11050 $abc$61381$n869 +.sym 11051 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] +.sym 11052 $false +.sym 11054 $abc$61381$n783 +.sym 11055 $abc$61381$n618_1 +.sym 11056 KEYBOARD.report[0][2] .sym 11057 $false -.sym 11059 $abc$92916$n676 -.sym 11060 $abc$92916$n686 -.sym 11061 $false -.sym 11062 $false -.sym 11064 RESET$2 -.sym 11065 $abc$92916$n679 -.sym 11066 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 11064 $abc$61381$n641 +.sym 11065 $abc$61381$n648 +.sym 11066 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] .sym 11067 $false -.sym 11069 $auto$simplemap.cc:250:simplemap_eqne$76135[8]$2 -.sym 11070 I2C.is_read -.sym 11071 $false +.sym 11069 $abc$61381$n873 +.sym 11070 $abc$61381$n865 +.sym 11071 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] .sym 11072 $false -.sym 11074 $abc$92916$n678_1 -.sym 11075 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 11076 $false +.sym 11074 I2C_TX_REPORT[2] +.sym 11075 I2C_TX_DESC[2] +.sym 11076 I2C_OUT_DESC_MASK[2] .sym 11077 $false -.sym 11079 $abc$92916$n726_1 -.sym 11080 $abc$92916$n731 -.sym 11081 $abc$92916$n689 -.sym 11082 $false -.sym 11084 $abc$92916$n725 -.sym 11085 $abc$92916$n732 -.sym 11086 $auto$dff2dffe.cc:175:make_patterns_logic$80258 +.sym 11079 $abc$61381$n953 +.sym 11080 $abc$61381$n952 +.sym 11081 $abc$61381$n648 +.sym 11082 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] +.sym 11084 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 11085 $false +.sym 11086 $false .sym 11087 $false -.sym 11091 $abc$92916$n763 -.sym 11092 $abc$92916$n715 -.sym 11093 $auto$dff2dffe.cc:175:make_patterns_logic$84067 -.sym 11094 $abc$92916$n695 -.sym 11095 $abc$92916$n714 -.sym 11096 $abc$92916$n688 -.sym 11097 $auto$dff2dffe.cc:175:make_patterns_logic$74485 -.sym 11098 LED3$2 -.sym 11165 $abc$92916$n714 -.sym 11166 $abc$92916$n704 -.sym 11167 $abc$92916$n685 +.sym 11088 $auto$dff2dffe.cc:175:make_patterns_logic$51864$2 +.sym 11089 CLK$2$2 +.sym 11090 $logic_not$top.v:60$10_Y$2 +.sym 11092 $abc$61381$n598 +.sym 11093 $abc$61381$n599 +.sym 11094 UART_TX_DATA[5] +.sym 11095 UART_TX_DATA[4] +.sym 11096 UART_TX_DATA[0] +.sym 11097 UART_TX_DATA[2] +.sym 11165 $abc$61381$n655 +.sym 11166 $abc$61381$n648 +.sym 11167 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] .sym 11168 $false -.sym 11170 $abc$92916$n686 -.sym 11171 $abc$92916$n676 -.sym 11172 $abc$92916$n683 -.sym 11173 $false -.sym 11175 $abc$92916$n738 -.sym 11176 $abc$92916$n811 -.sym 11177 $false +.sym 11170 KEYBOARD.kbd_code_hid[3] +.sym 11171 KEYBOARD.kbd_code_hid[2] +.sym 11172 kbd_report[3][2] +.sym 11173 kbd_report[3][3] +.sym 11175 $abc$61381$n655 +.sym 11176 $abc$61381$n648 +.sym 11177 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] .sym 11178 $false -.sym 11180 $abc$92916$n714 -.sym 11181 $abc$92916$n683 -.sym 11182 $false -.sym 11183 $false -.sym 11185 $abc$92916$n710 -.sym 11186 $abc$92916$n738 -.sym 11187 $abc$92916$n811 -.sym 11188 $false -.sym 11190 RESET$2 -.sym 11191 $abc$92916$n695 -.sym 11192 $auto$rtlil.cc:1692:NotGate$92623 -.sym 11193 $abc$92916$n676 -.sym 11195 $abc$92916$n711 -.sym 11196 $abc$92916$n685 -.sym 11197 $false -.sym 11198 $false -.sym 11200 I2C.wr +.sym 11180 $abc$61381$n914_1 +.sym 11181 I2C.received_byte[2] +.sym 11182 I2C.received_byte[7] +.sym 11183 I2C.received_byte[6] +.sym 11185 I2C.received_byte[3] +.sym 11186 I2C.received_byte[4] +.sym 11187 I2C.received_byte[5] +.sym 11188 I2C.received_byte[1] +.sym 11190 KEYBOARD.kbd_code_hid[2] +.sym 11191 $false +.sym 11192 $false +.sym 11193 $false +.sym 11200 KEYBOARD.kbd_code_hid[7] .sym 11201 $false .sym 11202 $false .sym 11203 $false -.sym 11204 $auto$dff2dffe.cc:175:make_patterns_logic$83913 +.sym 11204 $auto$dff2dffe.cc:175:make_patterns_logic$57638 .sym 11205 CLK$2$2 -.sym 11206 $false -.sym 11207 $abc$92916$n702 -.sym 11208 $auto$wreduce.cc:310:run$57529[3] -.sym 11209 $auto$dff2dffe.cc:175:make_patterns_logic$83232 -.sym 11210 $abc$92916$n790 -.sym 11211 $abc$92916$n694 -.sym 11212 $abc$92916$n701 -.sym 11213 LED2$2 -.sym 11214 LED4$2 -.sym 11281 $abc$92916$n697 -.sym 11282 $abc$92916$n699 -.sym 11283 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 11284 $false -.sym 11286 $abc$92916$n678_1 -.sym 11287 $abc$92916$n682 +.sym 11206 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 11207 $abc$61381$n722 +.sym 11208 $abc$61381$n681 +.sym 11209 $abc$61381$n808 +.sym 11210 $abc$61381$n721 +.sym 11211 $abc$61381$n723 +.sym 11212 kbd_report[3][5] +.sym 11213 kbd_report[3][4] +.sym 11214 kbd_report[3][6] +.sym 11281 kbd_report[3][0] +.sym 11282 kbd_report[3][1] +.sym 11283 kbd_report[3][2] +.sym 11284 kbd_report[3][3] +.sym 11286 $abc$61381$n774 +.sym 11287 kbd_report[3][7] .sym 11288 $false .sym 11289 $false -.sym 11291 $abc$92916$n682 -.sym 11292 UART.tx_activity -.sym 11293 uart_double_ff -.sym 11294 last_uart_active -.sym 11296 $abc$92916$n678_1 -.sym 11297 $abc$92916$n694 -.sym 11298 $abc$92916$n696 -.sym 11299 $2\uart_double_ff[0:0] -.sym 11301 $abc$92916$n695 -.sym 11302 $abc$92916$n682 -.sym 11303 $auto$dff2dffe.cc:158:make_patterns_logic$73200 -.sym 11304 $false -.sym 11306 $abc$92916$n710 -.sym 11307 $abc$92916$n711 -.sym 11308 $auto$dff2dffe.cc:158:make_patterns_logic$73200 -.sym 11309 $abc$92916$n693 -.sym 11311 UART.tx_activity -.sym 11312 last_uart_active -.sym 11313 $abc$92916$n701 -.sym 11314 $auto$dff2dffe.cc:175:make_patterns_logic$73101 -.sym 11316 $2\uart_double_ff[0:0] +.sym 11291 kbd_report[3][1] +.sym 11292 $abc$61381$n774 +.sym 11293 $abc$61381$n787 +.sym 11294 $abc$61381$n790 +.sym 11296 KEYBOARD.kbd_code_hid[1] +.sym 11297 KEYBOARD.kbd_code_hid[0] +.sym 11298 kbd_report[3][0] +.sym 11299 kbd_report[3][1] +.sym 11301 kbd_report[3][3] +.sym 11302 $abc$61381$n774 +.sym 11303 $abc$61381$n802 +.sym 11304 $abc$61381$n805 +.sym 11306 $abc$61381$n774 +.sym 11307 kbd_report[3][0] +.sym 11308 $false +.sym 11309 $false +.sym 11311 kbd_report[3][2] +.sym 11312 $abc$61381$n774 +.sym 11313 $abc$61381$n794 +.sym 11314 $abc$61381$n797 +.sym 11316 KEYBOARD.kbd_code_hid[0] .sym 11317 $false .sym 11318 $false .sym 11319 $false -.sym 11320 $auto$dff2dffe.cc:175:make_patterns_logic$84512 +.sym 11320 $auto$dff2dffe.cc:175:make_patterns_logic$57638 .sym 11321 CLK$2$2 -.sym 11322 $logic_not$top.v:66$10_Y$2 -.sym 11323 $abc$92916$n791 -.sym 11324 $auto$dff2dffe.cc:175:make_patterns_logic$73101 -.sym 11325 $abc$92916$n697 -.sym 11326 $2\uart_double_ff[0:0] -.sym 11327 $abc$92916$n708 -.sym 11328 $abc$92916$n717 -.sym 11329 $abc$92916$n792 -.sym 11330 last_trans -.sym 11397 $abc$92916$n795 -.sym 11398 i2c_input_data_type[3] -.sym 11399 $false -.sym 11400 $false -.sym 11402 $abc$92916$n677 -.sym 11403 $abc$92916$n694 -.sym 11404 $abc$92916$n708 -.sym 11405 I2C.is_read -.sym 11407 $abc$92916$n794 -.sym 11408 i2c_input_data_type[3] -.sym 11409 $false +.sym 11322 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 11323 $abc$61381$n673 +.sym 11324 $abc$61381$n736 +.sym 11325 $abc$61381$n822_1 +.sym 11326 $abc$61381$n774 +.sym 11327 $abc$61381$n739 +.sym 11328 $abc$61381$n675 +.sym 11329 $abc$61381$n740 +.sym 11330 KEYBOARD.report[1][0] +.sym 11397 $abc$61381$n777 +.sym 11398 KEYBOARD.report[1][3] +.sym 11399 kbd_report[5][3] +.sym 11400 $abc$61381$n776 +.sym 11402 $abc$61381$n769 +.sym 11403 $abc$61381$n773 +.sym 11404 $abc$61381$n775_1 +.sym 11405 $abc$61381$n778 +.sym 11407 $abc$61381$n771 +.sym 11408 $auto$wreduce.cc:310:run$36584[0] +.sym 11409 $auto$wreduce.cc:310:run$36584[2] .sym 11410 $false -.sym 11412 $abc$92916$n795 -.sym 11413 i2c_input_data_type[1] -.sym 11414 $false -.sym 11415 $false -.sym 11422 $abc$92916$n793 -.sym 11423 $abc$92916$n808 -.sym 11424 $abc$92916$n947 -.sym 11425 i2c_input_data_type[1] -.sym 11427 I2C.received_byte[0] -.sym 11428 $abc$92916$n1097 -.sym 11429 I2C.is_read +.sym 11412 $abc$61381$n777 +.sym 11413 KEYBOARD.report[1][2] +.sym 11414 kbd_report[5][2] +.sym 11415 $abc$61381$n776 +.sym 11417 $abc$61381$n777 +.sym 11418 KEYBOARD.report[1][0] +.sym 11419 kbd_report[5][0] +.sym 11420 $abc$61381$n776 +.sym 11422 $abc$61381$n777 +.sym 11423 KEYBOARD.report[1][1] +.sym 11424 kbd_report[5][1] +.sym 11425 $abc$61381$n776 +.sym 11427 KEYBOARD.kbd_code_hid[1] +.sym 11428 $false +.sym 11429 $false .sym 11430 $false -.sym 11436 $auto$dff2dffe.cc:175:make_patterns_logic$71223 +.sym 11432 KEYBOARD.kbd_code_hid[2] +.sym 11433 $false +.sym 11434 $false +.sym 11435 $false +.sym 11436 $auto$dff2dffe.cc:175:make_patterns_logic$59214 .sym 11437 CLK$2$2 -.sym 11438 $auto$rtlil.cc:1692:NotGate$92623 -.sym 11439 $abc$92916$n1177 -.sym 11440 $abc$92916$n1168 -.sym 11441 $abc$92916$n1175 -.sym 11442 $abc$92916$n1172 -.sym 11443 $abc$92916$n1176 -.sym 11444 $abc$92916$n1170_1 -.sym 11445 $abc$92916$n1173 -.sym 11446 $abc$92916$n1174 -.sym 11513 $false -.sym 11514 $false -.sym 11515 $false -.sym 11516 $false -.sym 11518 $false -.sym 11519 $false -.sym 11520 $false -.sym 11521 $false -.sym 11523 I2C.is_read -.sym 11524 $techmap\I2C.$procmux$19804_Y -.sym 11525 I2C.i2c_state_machine -.sym 11526 I2C.i2c_start_latency -.sym 11528 I2C.wr -.sym 11529 I2C_HID_DESC.last_rd_request -.sym 11530 RESET$2 -.sym 11531 $false -.sym 11538 I2C.i2c_state_machine -.sym 11539 I2C.i2c_start_latency -.sym 11540 $false -.sym 11541 $false -.sym 11548 I2C.wr +.sym 11438 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 11439 $abc$61381$n812_1 +.sym 11440 $abc$61381$n674 +.sym 11441 $abc$61381$n738 +.sym 11442 $abc$61381$n826 +.sym 11443 $abc$61381$n818 +.sym 11444 $abc$61381$n737 +.sym 11445 KEYBOARD.report[1][6] +.sym 11446 KEYBOARD.report[1][4] +.sym 11513 $abc$61381$n777 +.sym 11514 KEYBOARD.report[1][7] +.sym 11515 kbd_report[5][7] +.sym 11516 $abc$61381$n776 +.sym 11518 $abc$61381$n771 +.sym 11519 $auto$wreduce.cc:310:run$36584[0] +.sym 11520 $auto$wreduce.cc:310:run$36584[2] +.sym 11521 KEYBOARD.report[2][2] +.sym 11523 $abc$61381$n771 +.sym 11524 $auto$wreduce.cc:310:run$36584[0] +.sym 11525 $auto$wreduce.cc:310:run$36584[2] +.sym 11526 $false +.sym 11528 $abc$61381$n830 +.sym 11529 $abc$61381$n831 +.sym 11530 $abc$61381$n832_1 +.sym 11531 $abc$61381$n833 +.sym 11533 $abc$61381$n771 +.sym 11534 $auto$wreduce.cc:310:run$36584[0] +.sym 11535 $auto$wreduce.cc:310:run$36584[2] +.sym 11536 $false +.sym 11538 kbd_report[6][1] +.sym 11539 $abc$61381$n770 +.sym 11540 $abc$61381$n789 +.sym 11541 $abc$61381$n788 +.sym 11543 kbd_report[6][2] +.sym 11544 $abc$61381$n770 +.sym 11545 $abc$61381$n796 +.sym 11546 $abc$61381$n795 +.sym 11548 KEYBOARD.kbd_code_hid[7] .sym 11549 $false .sym 11550 $false .sym 11551 $false -.sym 11552 $true +.sym 11552 $auto$dff2dffe.cc:175:make_patterns_logic$59214 .sym 11553 CLK$2$2 -.sym 11554 $logic_not$top.v:66$10_Y$2 -.sym 11555 $abc$92916$n1158 -.sym 11556 $abc$92916$n1169 -.sym 11557 $abc$92916$n1121 -.sym 11558 $abc$92916$n1157 -.sym 11559 $auto$alumacc.cc:470:replace_alu$57572.B_buf[1] -.sym 11560 $auto$alumacc.cc:483:replace_alu$57573[1] -.sym 11561 I2C.i2c_bit_counter[1] -.sym 11562 I2C.SCL_LAST -.sym 11591 $true -.sym 11628 $auto$alumacc.cc:483:replace_alu$57573[0]$2 -.sym 11629 $false -.sym 11630 $auto$alumacc.cc:483:replace_alu$57573[0] -.sym 11631 $false -.sym 11632 $false -.sym 11633 $auto$alumacc.cc:484:replace_alu$57574[1] -.sym 11635 $false -.sym 11636 $auto$alumacc.cc:483:replace_alu$57573[1] -.sym 11638 $auto$alumacc.cc:484:replace_alu$57574[2] -.sym 11640 $false -.sym 11641 $auto$alumacc.cc:483:replace_alu$57573[2] -.sym 11643 $auto$alumacc.cc:484:replace_alu$57574[3]$2 -.sym 11645 $false -.sym 11646 $auto$alumacc.cc:483:replace_alu$57573[3] -.sym 11652 $auto$alumacc.cc:484:replace_alu$57574[3]$2 -.sym 11654 $auto$alumacc.cc:483:replace_alu$57687[0] -.sym 11655 $false -.sym 11656 $false -.sym 11657 $false -.sym 11671 $abc$92916$n1120 -.sym 11672 $abc$92916$n1117 -.sym 11673 $abc$92916$n1153 -.sym 11674 $abc$92916$n1160 -.sym 11675 $abc$92916$n1135 -.sym 11676 $abc$92916$n1152 -.sym 11677 $abc$92916$n1151 -.sym 11678 $abc$92916$n1122 -.sym 11750 $false -.sym 11751 $logic_not$top.v:66$10_Y$2 -.sym 11752 rststate[0] -.sym 11753 $false -.sym 11755 $techmap\I2C.$procmux$19804_Y -.sym 11756 I2C.i2c_bit_counter[2] -.sym 11757 $false -.sym 11758 $false -.sym 11765 $auto$alumacc.cc:470:replace_alu$57572.B_buf[2] +.sym 11554 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 11555 $abc$61381$n686 +.sym 11556 $abc$61381$n714 +.sym 11557 $abc$61381$n711 +.sym 11558 $abc$61381$n687 +.sym 11559 $abc$61381$n703 +.sym 11560 $abc$61381$n713 +.sym 11561 $abc$61381$n712 +.sym 11562 kbd_report[5][6] +.sym 11629 kbd_report[6][0] +.sym 11630 kbd_report[6][1] +.sym 11631 kbd_report[6][2] +.sym 11632 kbd_report[6][3] +.sym 11634 $abc$61381$n772 +.sym 11635 kbd_report[4][7] +.sym 11636 kbd_report[6][7] +.sym 11637 $abc$61381$n770 +.sym 11639 KEYBOARD.kbd_code_hid[1] +.sym 11640 KEYBOARD.kbd_code_hid[0] +.sym 11641 kbd_report[6][0] +.sym 11642 kbd_report[6][1] +.sym 11644 $abc$61381$n772 +.sym 11645 kbd_report[4][0] +.sym 11646 kbd_report[6][0] +.sym 11647 $abc$61381$n770 +.sym 11649 KEYBOARD.kbd_code_hid[3] +.sym 11650 KEYBOARD.kbd_code_hid[2] +.sym 11651 kbd_report[6][2] +.sym 11652 kbd_report[6][3] +.sym 11654 kbd_report[6][3] +.sym 11655 $abc$61381$n770 +.sym 11656 $abc$61381$n804 +.sym 11657 $abc$61381$n803 +.sym 11659 KEYBOARD.kbd_code_hid[7] +.sym 11660 $false +.sym 11661 $false +.sym 11662 $false +.sym 11664 KEYBOARD.kbd_code_hid[0] +.sym 11665 $false +.sym 11666 $false +.sym 11667 $false +.sym 11668 $auto$dff2dffe.cc:175:make_patterns_logic$56573 +.sym 11669 CLK$2$2 +.sym 11670 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 11671 $abc$61381$n690 +.sym 11672 $logic_not$top.v:60$10_Y +.sym 11673 $abc$61381$n705 +.sym 11674 $abc$61381$n706 +.sym 11675 $abc$61381$n689 +.sym 11676 $abc$61381$n704_1 +.sym 11677 kbd_report[5][4] +.sym 11678 kbd_report[5][5] +.sym 11745 KEYBOARD.kbd_code_hid[3] +.sym 11746 KEYBOARD.kbd_code_hid[2] +.sym 11747 kbd_report[5][2] +.sym 11748 kbd_report[5][3] +.sym 11750 KEYBOARD.kbd_code_hid[1] +.sym 11751 KEYBOARD.kbd_code_hid[0] +.sym 11752 kbd_report[5][0] +.sym 11753 kbd_report[5][1] +.sym 11755 kbd_report[5][0] +.sym 11756 kbd_report[5][1] +.sym 11757 kbd_report[5][2] +.sym 11758 kbd_report[5][3] +.sym 11760 KEYBOARD.kbd_code_hid[7] +.sym 11761 $false +.sym 11762 $false +.sym 11763 $false +.sym 11765 KEYBOARD.kbd_code_hid[3] .sym 11766 $false .sym 11767 $false .sym 11768 $false -.sym 11770 $abc$92916$n1160 -.sym 11771 $abc$92916$n1157 -.sym 11772 $auto$alumacc.cc:470:replace_alu$57572.B_buf[2] +.sym 11770 KEYBOARD.kbd_code_hid[0] +.sym 11771 $false +.sym 11772 $false .sym 11773 $false -.sym 11780 $abc$92916$n946 -.sym 11781 $add$top.v:66$11_Y[0] +.sym 11775 KEYBOARD.kbd_code_hid[2] +.sym 11776 $false +.sym 11777 $false +.sym 11778 $false +.sym 11780 KEYBOARD.kbd_code_hid[1] +.sym 11781 $false .sym 11782 $false .sym 11783 $false -.sym 11784 $true +.sym 11784 $auto$dff2dffe.cc:175:make_patterns_logic$55287 .sym 11785 CLK$2$2 -.sym 11786 $false -.sym 11861 $auto$dff2dffe.cc:175:make_patterns_logic$81361 -.sym 11887 $techmap\I2C.FLT_SCL.$procmux$1018_Y[0] -.sym 11888 $auto$wreduce.cc:310:run$57520[0] -.sym 11889 $auto$wreduce.cc:310:run$57520[2] -.sym 11890 $auto$dff2dffe.cc:158:make_patterns_logic$92290 -.sym 11891 $abc$92916$n933 -.sym 11892 I2C.FLT_SCL.counter[2] -.sym 11893 I2C.FLT_SCL.counter[1] -.sym 11894 I2C.FLT_SCL.counter[0] -.sym 11962 $abc$92916$n615 -.sym 11963 $abc$92916$n632 -.sym 11964 KEYBOARD.row_time[2] -.sym 11965 KEYBOARD.row_time[3] -.sym 11972 KEYBOARD.row_time[2] -.sym 11973 KEYBOARD.row_time[3] -.sym 11974 $false -.sym 11975 $false -.sym 11977 $abc$92916$n615 -.sym 11978 $abc$92916$n619 -.sym 11979 KEYBOARD.row_time[2] -.sym 11980 KEYBOARD.row_time[3] -.sym 11987 $abc$92916$n622 -.sym 11988 $abc$92916$n632 -.sym 11989 $abc$92916$n633 -.sym 11990 $abc$92916$n625 -.sym 11992 $abc$92916$n622 -.sym 11993 $abc$92916$n632 -.sym 11994 $abc$92916$n633 -.sym 11995 $abc$92916$n625 +.sym 11786 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 11861 RESET +.sym 11924 $true +.sym 11961 $auto$alumacc.cc:483:replace_alu$36673[2]$2 +.sym 11962 $false +.sym 11963 $auto$alumacc.cc:483:replace_alu$36673[2] +.sym 11964 $false +.sym 11965 $false +.sym 11966 $auto$alumacc.cc:484:replace_alu$36695[3] +.sym 11968 $true$2 +.sym 11969 $auto$alumacc.cc:483:replace_alu$36673[3] +.sym 11971 $auto$alumacc.cc:484:replace_alu$36695[4] +.sym 11973 $false +.sym 11974 $auto$simplemap.cc:309:simplemap_lut$47343[1] +.sym 11976 $auto$alumacc.cc:484:replace_alu$36695[5] +.sym 11978 $false +.sym 11979 $auto$alumacc.cc:483:replace_alu$36673[5] +.sym 11981 $auto$alumacc.cc:484:replace_alu$36695[6] +.sym 11983 $false +.sym 11984 $auto$alumacc.cc:483:replace_alu$36673[6] +.sym 11986 $auto$alumacc.cc:484:replace_alu$36695[7]$2 +.sym 11988 $false +.sym 11989 $auto$alumacc.cc:483:replace_alu$36673[7] +.sym 11995 $auto$alumacc.cc:484:replace_alu$36695[7]$2 .sym 12006 KBD_COLUMNS[4]$2 -.sym 12008 $abc$92916$n1224 -.sym 12010 $abc$92916$n1233 -.sym 12011 $abc$92916$n1243_1 -.sym 12012 $auto$wreduce.cc:310:run$57525[0] -.sym 12013 $auto$wreduce.cc:310:run$57524[0] -.sym 12014 $abc$92916$n1232 -.sym 12015 KEYBOARD.report[6][6] -.sym 12118 $abc$92916$n663 -.sym 12119 $abc$92916$n617 -.sym 12120 $abc$92916$n629 -.sym 12121 $abc$92916$n619 -.sym 12123 $abc$92916$n633 -.sym 12124 KEYBOARD.row_time[2] -.sym 12125 KEYBOARD.row_time[3] -.sym 12126 $false -.sym 12128 $abc$92916$n617 -.sym 12129 $abc$92916$n629 -.sym 12130 $abc$92916$n619 -.sym 12131 $abc$92916$n630 -.sym 12133 KEYBOARD.kbd_code_hid[4] +.sym 12008 $auto$alumacc.cc:484:replace_alu$36674[7] +.sym 12009 $sub$top.v:78$20_Y[0] +.sym 12011 $techmap$auto$alumacc.cc:470:replace_alu$36724.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37884_Y[1] +.sym 12013 $auto$alumacc.cc:483:replace_alu$36673[3] +.sym 12015 $auto$simplemap.cc:309:simplemap_lut$45894[3] +.sym 12118 I2C.byte_counter[7] +.sym 12119 $false +.sym 12120 $false +.sym 12121 $false +.sym 12133 I2C.byte_counter[2] .sym 12134 $false .sym 12135 $false .sym 12136 $false -.sym 12138 KEYBOARD.kbd_code_hid[1] -.sym 12139 $false -.sym 12140 $false -.sym 12141 $false -.sym 12143 KEYBOARD.kbd_code_hid[7] +.sym 12143 I2C.byte_counter[6] .sym 12144 $false .sym 12145 $false .sym 12146 $false -.sym 12153 KEYBOARD.kbd_code_hid[0] +.sym 12153 I2C.byte_counter[5] .sym 12154 $false .sym 12155 $false .sym 12156 $false -.sym 12157 $auto$dff2dffe.cc:175:make_patterns_logic$85564 -.sym 12158 CLK$2$2 -.sym 12159 $auto$rtlil.cc:1692:NotGate$92753 -.sym 12160 $abc$92916$n1228_1 -.sym 12161 $abc$92916$n1225_1 -.sym 12162 $abc$92916$n855 -.sym 12163 $auto$dff2dffe.cc:175:make_patterns_logic$85564 -.sym 12164 $auto$wreduce.cc:310:run$57527[0] -.sym 12165 $abc$92916$n1229_1 -.sym 12166 $abc$92916$n1247_1 -.sym 12167 $abc$92916$n845 -.sym 12234 $abc$92916$n852_1 -.sym 12235 $abc$92916$n853 -.sym 12236 $abc$92916$n854 -.sym 12237 $false -.sym 12239 $abc$92916$n871 -.sym 12240 $abc$92916$n874 -.sym 12241 $abc$92916$n875 +.sym 12160 $abc$61381$n523 +.sym 12161 $abc$61381$n496_1 +.sym 12162 $auto$alumacc.cc:470:replace_alu$36715.A_buf[1] +.sym 12163 $abc$61381$n543 +.sym 12164 $auto$rtlil.cc:1692:NotGate$61316 +.sym 12165 $abc$61381$n529 +.sym 12166 $abc$61381$n538 +.sym 12167 I2C_INPUT_LEN[0] +.sym 12239 I2C.SCL_LAST +.sym 12240 I2C.FLT_SCL.out +.sym 12241 $false .sym 12242 $false -.sym 12244 KEYBOARD.kbd_code_hid[1] -.sym 12245 KEYBOARD.kbd_code_hid[0] -.sym 12246 KEYBOARD.report[6][0] -.sym 12247 KEYBOARD.report[6][1] -.sym 12249 KEYBOARD.kbd_code_hid[4] -.sym 12250 KEYBOARD.kbd_code_hid[5] -.sym 12251 KEYBOARD.report[6][4] -.sym 12252 KEYBOARD.report[6][5] -.sym 12254 KEYBOARD.kbd_code_hid[3] -.sym 12255 KEYBOARD.kbd_code_hid[2] -.sym 12256 KEYBOARD.report[6][2] -.sym 12257 KEYBOARD.report[6][3] -.sym 12259 KEYBOARD.report[6][0] -.sym 12260 KEYBOARD.report[6][1] -.sym 12261 KEYBOARD.report[6][2] -.sym 12262 KEYBOARD.report[6][3] -.sym 12264 KEYBOARD.report[6][4] -.sym 12265 KEYBOARD.report[6][5] -.sym 12266 KEYBOARD.report[6][6] -.sym 12267 KEYBOARD.report[6][7] -.sym 12269 KEYBOARD.kbd_code_hid[5] -.sym 12270 $false -.sym 12271 $false -.sym 12272 $false -.sym 12273 $auto$dff2dffe.cc:175:make_patterns_logic$85564 +.sym 12244 I2C.byte_counter[4] +.sym 12245 $false +.sym 12246 $false +.sym 12247 $false +.sym 12254 I2C.FLT_SCL.out +.sym 12255 $false +.sym 12256 $false +.sym 12257 $false +.sym 12273 $true .sym 12274 CLK$2$2 -.sym 12275 $auto$rtlil.cc:1692:NotGate$92753 -.sym 12278 $auto$alumacc.cc:484:replace_alu$57671[1] -.sym 12279 $abc$92916$n1055_1 -.sym 12280 $abc$92916$n1057 -.sym 12281 $abc$92916$n1006 -.sym 12282 $abc$92916$n1054 -.sym 12283 $abc$92916$n1056 -.sym 12350 KEYBOARD.report[1][0] -.sym 12351 $abc$92916$n1005 -.sym 12352 $abc$92916$n1000 -.sym 12353 $abc$92916$n1003 -.sym 12355 $abc$92916$n1005 -.sym 12356 KEYBOARD.report[1][4] -.sym 12357 KEYBOARD.report[5][4] -.sym 12358 $abc$92916$n1001 -.sym 12360 $abc$92916$n1008 -.sym 12361 KEYBOARD.report[4][4] -.sym 12362 KEYBOARD.report[6][4] -.sym 12363 $abc$92916$n1004 -.sym 12365 $abc$92916$n1004 -.sym 12366 KEYBOARD.report[6][7] -.sym 12367 $abc$92916$n1001 -.sym 12368 KEYBOARD.report[5][7] -.sym 12370 $abc$92916$n1004 -.sym 12371 KEYBOARD.report[6][0] +.sym 12275 $false +.sym 12276 $techmap$techmap36770\KEYBOARD.RAM.mem.0.0.0.$reduce_or$/usr/bin/../share/yosys/ice40/brams_map.v:308$36769_Y +.sym 12277 $abc$61381$n943 +.sym 12278 $abc$61381$n898 +.sym 12279 I2C.is_read +.sym 12280 I2C.i2c_bit_counter[1] +.sym 12282 I2C.is_ack +.sym 12283 KEYBOARD.RAM.last_we +.sym 12350 $abc$61381$n897 +.sym 12351 $abc$61381$n915 +.sym 12352 $abc$61381$n644 +.sym 12353 $abc$61381$n646_1 +.sym 12355 $abc$61381$n646_1 +.sym 12356 $abc$61381$n903 +.sym 12357 $abc$61381$n904 +.sym 12358 $false +.sym 12360 $abc$61381$n916 +.sym 12361 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 12362 $false +.sym 12363 $false +.sym 12365 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 12366 I2C.SCL_LAST +.sym 12367 I2C.FLT_SCL.out +.sym 12368 $abc$61381$n905 +.sym 12370 $abc$61381$n898 +.sym 12371 $abc$61381$n901 .sym 12372 $false .sym 12373 $false -.sym 12375 $abc$92916$n1002_1 -.sym 12376 KEYBOARD.report[3][0] -.sym 12377 KEYBOARD.report[5][0] -.sym 12378 $abc$92916$n1001 -.sym 12380 KEYBOARD.kbd_code_hid[2] -.sym 12381 $false -.sym 12382 $false +.sym 12375 $abc$61381$n898 +.sym 12376 $abc$61381$n901 +.sym 12377 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 12378 $abc$61381$n644 +.sym 12380 $auto$alumacc.cc:484:replace_alu$36709[7] +.sym 12381 $abc$61381$n644 +.sym 12382 $abc$61381$n897 .sym 12383 $false -.sym 12385 KEYBOARD.kbd_code_hid[3] -.sym 12386 $false -.sym 12387 $false -.sym 12388 $false -.sym 12389 $auto$dff2dffe.cc:175:make_patterns_logic$85564 +.sym 12385 $abc$61381$n902 +.sym 12386 $abc$61381$n895 +.sym 12387 $abc$61381$n905 +.sym 12388 $abc$61381$n646_1 +.sym 12389 $true .sym 12390 CLK$2$2 -.sym 12391 $auto$rtlil.cc:1692:NotGate$92753 -.sym 12392 $abc$92916$n878 -.sym 12393 $abc$92916$n1016 -.sym 12394 $abc$92916$n1014 -.sym 12395 KEYBOARD.report[2][4] -.sym 12396 KEYBOARD.report[2][0] -.sym 12397 KEYBOARD.report[2][5] -.sym 12398 KEYBOARD.report[2][6] -.sym 12399 KEYBOARD.report[2][1] -.sym 12466 $abc$92916$n872 -.sym 12467 $abc$92916$n873 +.sym 12391 $false +.sym 12392 $abc$61381$n948 +.sym 12393 $abc$61381$n628 +.sym 12394 $abc$61381$n907 +.sym 12395 $abc$61381$n917 +.sym 12397 $abc$61381$n918 +.sym 12398 $auto$alumacc.cc:483:replace_alu$36689[5] +.sym 12399 I2C_INPUT_LEN[1] +.sym 12466 $abc$61381$n896 +.sym 12467 $abc$61381$n900 .sym 12468 $false .sym 12469 $false -.sym 12471 KEYBOARD.report[5][0] -.sym 12472 KEYBOARD.report[5][1] -.sym 12473 KEYBOARD.report[5][2] -.sym 12474 KEYBOARD.report[5][3] -.sym 12476 $abc$92916$n880 -.sym 12477 $abc$92916$n881 -.sym 12478 $abc$92916$n882 -.sym 12479 $false -.sym 12481 KEYBOARD.kbd_code_hid[1] -.sym 12482 KEYBOARD.kbd_code_hid[0] -.sym 12483 KEYBOARD.report[5][0] -.sym 12484 KEYBOARD.report[5][1] -.sym 12486 $abc$92916$n1004 -.sym 12487 KEYBOARD.report[6][1] -.sym 12488 $abc$92916$n1001 -.sym 12489 KEYBOARD.report[5][1] -.sym 12491 KEYBOARD.kbd_code_hid[3] -.sym 12492 KEYBOARD.kbd_code_hid[2] -.sym 12493 KEYBOARD.report[5][2] -.sym 12494 KEYBOARD.report[5][3] -.sym 12496 $techmap\KEYBOARD.$procmux$5651_Y[0] -.sym 12497 $false -.sym 12498 $false +.sym 12471 $abc$61381$n900 +.sym 12472 $abc$61381$n897 +.sym 12473 $abc$61381$n932 +.sym 12474 $false +.sym 12476 $abc$61381$n898 +.sym 12477 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 12478 I2C.SCL_LAST +.sym 12479 I2C.FLT_SCL.out +.sym 12481 $abc$61381$n897 +.sym 12482 $abc$61381$n899 +.sym 12483 I2C.FLT_SDA.out +.sym 12484 $false +.sym 12486 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 12487 $abc$61381$n901 +.sym 12488 $abc$61381$n644 +.sym 12489 $false +.sym 12491 $abc$61381$n909 +.sym 12492 $abc$61381$n915 +.sym 12493 $false +.sym 12494 $false +.sym 12496 $abc$61381$n915 +.sym 12497 $abc$61381$n896 +.sym 12498 $abc$61381$n644 .sym 12499 $false -.sym 12501 $techmap\KEYBOARD.$procmux$5651_Y[4] -.sym 12502 $false -.sym 12503 $false -.sym 12504 $false -.sym 12505 $auto$dff2dffe.cc:175:make_patterns_logic$87074 +.sym 12501 $abc$61381$n945 +.sym 12502 $abc$61381$n909 +.sym 12503 $abc$61381$n644 +.sym 12504 $abc$61381$n646_1 +.sym 12505 $true .sym 12506 CLK$2$2 -.sym 12507 $logic_not$top.v:66$10_Y$2 -.sym 12508 $abc$92916$n1002_1 -.sym 12509 $abc$92916$n1037 -.sym 12510 $abc$92916$n1009 -.sym 12511 $abc$92916$n867 -.sym 12512 $abc$92916$n1024 -.sym 12513 $abc$92916$n900 -.sym 12514 KEYBOARD.report[3][2] -.sym 12515 KEYBOARD.report[3][1] -.sym 12582 $abc$92916$n1004 -.sym 12583 KEYBOARD.report[6][2] -.sym 12584 $abc$92916$n1001 -.sym 12585 KEYBOARD.report[5][2] -.sym 12587 KEYBOARD.report[3][2] -.sym 12588 $abc$92916$n1002_1 -.sym 12589 $abc$92916$n1022 -.sym 12590 $abc$92916$n1023 -.sym 12592 KEYBOARD.report[0][3] -.sym 12593 $abc$92916$n1010_1 -.sym 12594 $abc$92916$n1027 -.sym 12595 $2\INT[0:0] -.sym 12597 $abc$92916$n1002_1 -.sym 12598 KEYBOARD.report[3][3] -.sym 12599 KEYBOARD.report[5][3] -.sym 12600 $abc$92916$n1001 -.sym 12602 KEYBOARD.report[0][3] -.sym 12603 $abc$92916$n1009 -.sym 12604 $abc$92916$n1028 -.sym 12605 $abc$92916$n1031 -.sym 12607 $abc$92916$n1004 -.sym 12608 KEYBOARD.report[6][3] -.sym 12609 $false -.sym 12610 $false -.sym 12612 KEYBOARD.report[1][3] -.sym 12613 $abc$92916$n1005 -.sym 12614 $abc$92916$n1029_1 -.sym 12615 $abc$92916$n1030 -.sym 12617 $techmap\KEYBOARD.$procmux$5651_Y[3] +.sym 12507 $logic_not$top.v:60$10_Y$2 +.sym 12508 $abc$61381$n947 +.sym 12509 $abc$61381$n630 +.sym 12510 $abc$61381$n626 +.sym 12511 $abc$61381$n899 +.sym 12512 $abc$61381$n631 +.sym 12513 $abc$61381$n634 +.sym 12514 $abc$61381$n632 +.sym 12515 I2C.SDA_DIR +.sym 12582 $abc$61381$n916 +.sym 12583 $abc$61381$n899 +.sym 12584 $abc$61381$n950 +.sym 12585 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 12587 $abc$61381$n899 +.sym 12588 $abc$61381$n954 +.sym 12589 $abc$61381$n951 +.sym 12590 $abc$61381$n901 +.sym 12592 I2C.wr +.sym 12593 I2C_HID_DESC.last_rd_request +.sym 12594 RESET$2 +.sym 12595 $false +.sym 12597 I2C.FLT_SCL.out +.sym 12598 I2C.FLT_SDA.out +.sym 12599 I2C.SDA_LAST +.sym 12600 I2C.wr +.sym 12602 I2C.FLT_SCL.out +.sym 12603 I2C.FLT_SDA.out +.sym 12604 I2C.SDA_LAST +.sym 12605 I2C.i2c_state_machine +.sym 12607 $abc$61381$n780_1 +.sym 12608 $abc$61381$n781 +.sym 12609 $auto$alumacc.cc:484:replace_alu$36674[7] +.sym 12610 $auto$alumacc.cc:484:replace_alu$36695[7] +.sym 12617 I2C.wr .sym 12618 $false .sym 12619 $false .sym 12620 $false -.sym 12621 $auto$dff2dffe.cc:175:make_patterns_logic$89305 +.sym 12621 $true .sym 12622 CLK$2$2 -.sym 12623 $logic_not$top.v:66$10_Y$2 -.sym 12624 $abc$92916$n908 -.sym 12625 $abc$92916$n910 -.sym 12626 $abc$92916$n907 -.sym 12627 $abc$92916$n909 -.sym 12628 $abc$92916$n863 -.sym 12629 $abc$92916$n1007 -.sym 12630 $sub$top.v:122$51_Y[2] -.sym 12631 KEYBOARD.report[2][2] -.sym 12698 wr_cnt[0] -.sym 12699 wr_cnt[1] -.sym 12700 $sub$top.v:122$51_Y[0] -.sym 12701 $sub$top.v:122$51_Y[2] -.sym 12708 wr_cnt[0] -.sym 12709 wr_cnt[1] -.sym 12710 wr_cnt[2] -.sym 12711 wr_cnt[3] -.sym 12713 $abc$92916$n1008 -.sym 12714 KEYBOARD.report[4][2] +.sym 12623 $logic_not$top.v:60$10_Y$2 +.sym 12624 $abc$61381$n582 +.sym 12625 $abc$61381$n584 +.sym 12626 $abc$61381$n577 +.sym 12627 $abc$61381$n644 +.sym 12628 $auto$dff2dffe.cc:175:make_patterns_logic$49267 +.sym 12629 $abc$61381$n589 +.sym 12630 $abc$61381$n583 +.sym 12631 $abc$61381$n585 +.sym 12698 $abc$61381$n648 +.sym 12699 $abc$61381$n934 +.sym 12700 $abc$61381$n646_1 +.sym 12701 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 12703 $techmap\I2C.$procmux$19301_Y +.sym 12704 I2C.i2c_bit_counter[0] +.sym 12705 $false +.sym 12706 $false +.sym 12708 $abc$61381$n643 +.sym 12709 $abc$61381$n646_1 +.sym 12710 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 12711 $false +.sym 12713 $abc$61381$n931_1 +.sym 12714 $abc$61381$n646_1 .sym 12715 $false .sym 12716 $false -.sym 12718 wr_cnt[0] -.sym 12719 wr_cnt[1] -.sym 12720 $sub$top.v:122$51_Y[0] -.sym 12721 $sub$top.v:122$51_Y[2] -.sym 12723 $techmap\KEYBOARD.$procmux$5651_Y[2] -.sym 12724 $false -.sym 12725 $false +.sym 12718 $abc$61381$n644 +.sym 12719 I2C.SCL_LAST +.sym 12720 I2C.FLT_SCL.out +.sym 12721 $false +.sym 12723 $abc$61381$n644 +.sym 12724 I2C.SCL_LAST +.sym 12725 I2C.FLT_SCL.out .sym 12726 $false -.sym 12733 $techmap\KEYBOARD.$procmux$5651_Y[3] -.sym 12734 $false -.sym 12735 $false -.sym 12736 $false -.sym 12737 $auto$dff2dffe.cc:175:make_patterns_logic$87074 +.sym 12728 $abc$61381$n934 +.sym 12729 $abc$61381$n646_1 +.sym 12730 $techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] +.sym 12731 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 12733 $abc$61381$n933 +.sym 12734 $abc$61381$n931_1 +.sym 12735 $auto$alumacc.cc:470:replace_alu$36715.A_buf[0] +.sym 12736 $abc$61381$n646_1 +.sym 12737 $true .sym 12738 CLK$2$2 -.sym 12739 $logic_not$top.v:66$10_Y$2 -.sym 12740 $abc$92916$n965 -.sym 12741 $auto$alumacc.cc:483:replace_alu$57552[3] -.sym 12742 $abc$92916$n687 -.sym 12743 $abc$92916$n964 -.sym 12745 $abc$92916$n966 -.sym 12746 $auto$alumacc.cc:483:replace_alu$57552[1] -.sym 12747 UART.tx_bit_counter[1] -.sym 12776 $false -.sym 12813 $auto$alumacc.cc:484:replace_alu$57647[3] -.sym 12815 wr_cnt[3] -.sym 12816 ring_wr[0] -.sym 12818 $auto$alumacc.cc:484:replace_alu$57647[4] -.sym 12819 $false -.sym 12820 $false -.sym 12821 ring_wr[1] -.sym 12822 $auto$alumacc.cc:484:replace_alu$57647[3] -.sym 12823 $auto$alumacc.cc:484:replace_alu$57647[5] -.sym 12824 $false -.sym 12825 $false -.sym 12826 ring_wr[2] -.sym 12827 $auto$alumacc.cc:484:replace_alu$57647[4] -.sym 12828 $auto$alumacc.cc:484:replace_alu$57647[6]$2 -.sym 12829 $false -.sym 12830 $false -.sym 12831 ring_wr[3] -.sym 12832 $auto$alumacc.cc:484:replace_alu$57647[5] -.sym 12837 $auto$alumacc.cc:484:replace_alu$57647[6]$2 -.sym 12839 $2\ring_wr[3:0][2] -.sym 12840 $abc$92916$n982 -.sym 12841 $2\INT[0:0] -.sym 12842 $false -.sym 12844 $8\report_data_adr[7:0][5] -.sym 12845 $abc$92916$n983 -.sym 12846 $abc$92916$n687 +.sym 12739 $false +.sym 12740 $abc$61381$n610 +.sym 12741 $abc$61381$n595 +.sym 12742 $abc$61381$n594 +.sym 12743 $abc$61381$n602 +.sym 12744 $auto$simplemap.cc:256:simplemap_eqne$37067 +.sym 12745 $abc$61381$n596 +.sym 12746 $abc$61381$n609 +.sym 12747 I2C_OUTPUT_TYPE[2] +.sym 12814 I2C.byte_counter[2] +.sym 12815 I2C.byte_counter[3] +.sym 12816 $false +.sym 12817 $false +.sym 12819 $abc$61381$n616 +.sym 12820 $abc$61381$n603 +.sym 12821 $abc$61381$n617 +.sym 12822 $false +.sym 12824 $abc$61381$n581 +.sym 12825 $abc$61381$n586 +.sym 12826 I2C.byte_counter[0] +.sym 12827 I2C.byte_counter[1] +.sym 12829 $abc$61381$n588 +.sym 12830 $abc$61381$n586 +.sym 12831 $abc$61381$n606 +.sym 12832 $false +.sym 12834 $abc$61381$n588 +.sym 12835 I2C.byte_counter[0] +.sym 12836 I2C.byte_counter[1] +.sym 12837 $false +.sym 12839 $abc$61381$n581 +.sym 12840 $abc$61381$n586 +.sym 12841 I2C.byte_counter[0] +.sym 12842 I2C.byte_counter[1] +.sym 12844 I2C.byte_counter[3] +.sym 12845 I2C.byte_counter[2] +.sym 12846 $abc$61381$n606 .sym 12847 $false -.sym 12849 $auto$alumacc.cc:470:replace_alu$57551.B_buf[2] -.sym 12850 $false +.sym 12849 $abc$61381$n601 +.sym 12850 $abc$61381$n616 .sym 12851 $false .sym 12852 $false -.sym 12853 $auto$dff2dffe.cc:175:make_patterns_logic$85121 -.sym 12854 CLK$2$2 -.sym 12855 $eq$top.v:243$154_Y -.sym 12856 $abc$92916$n765 -.sym 12857 $abc$92916$n766 -.sym 12858 $abc$92916$n757 -.sym 12859 $abc$92916$n783 -.sym 12860 $abc$92916$n772 -.sym 12861 $abc$92916$n767_1 -.sym 12862 $abc$92916$n773_1 -.sym 12863 ring_wr[1] -.sym 12930 $8\report_data_adr[7:0][6] -.sym 12931 $abc$92916$n990 -.sym 12932 $abc$92916$n687 +.sym 12856 $auto$dff2dffe.cc:158:make_patterns_logic$53269 +.sym 12857 $abc$61381$n593 +.sym 12858 $abc$61381$n608 +.sym 12859 $abc$61381$n597 +.sym 12860 $abc$61381$n614_1 +.sym 12861 $abc$61381$n607 +.sym 12862 I2C_TRANS +.sym 12863 I2C.i2c_start_latency +.sym 12930 $abc$61381$n783 +.sym 12931 $abc$61381$n618_1 +.sym 12932 KEYBOARD.report[0][0] .sym 12933 $false -.sym 12935 $auto$dff2dffe.cc:158:make_patterns_logic$73200 -.sym 12936 KEYBOARD.isr -.sym 12937 last_isr +.sym 12935 $abc$61381$n783 +.sym 12936 $abc$61381$n618_1 +.sym 12937 KEYBOARD.report[0][4] .sym 12938 $false -.sym 12940 $add$top.v:184$103_Y[6] -.sym 12941 $2\ring_rd[3:0][3] -.sym 12942 $abc$92916$n733 +.sym 12940 $abc$61381$n783 +.sym 12941 $abc$61381$n618_1 +.sym 12942 KEYBOARD.report[0][5] .sym 12943 $false -.sym 12945 KEYBOARD.isr -.sym 12946 last_isr -.sym 12947 $false +.sym 12945 UART.tx_activity +.sym 12946 last_uart_active +.sym 12947 uart_double_ff .sym 12948 $false -.sym 12950 i2c_input_data_type[1] -.sym 12951 i2c_input_data_type[3] -.sym 12952 i2c_input_data_type[2] -.sym 12953 $false -.sym 12955 $add$top.v:184$103_Y[5] -.sym 12956 $2\ring_rd[3:0][2] -.sym 12957 $abc$92916$n733 +.sym 12950 $false +.sym 12951 I2C.byte_counter[0] +.sym 12952 $false +.sym 12953 $true$2 +.sym 12955 $abc$61381$n587 +.sym 12956 $abc$61381$n586 +.sym 12957 $false .sym 12958 $false -.sym 12960 $2\ring_wr[3:0][3] -.sym 12961 $abc$92916$n989_1 -.sym 12962 $2\INT[0:0] -.sym 12963 $false -.sym 12965 $techmap\KEYBOARD.$procmux$18803_CMP -.sym 12966 $false -.sym 12967 $false -.sym 12968 $false -.sym 12969 RESET$2 +.sym 12960 $abc$61381$n820 +.sym 12961 $abc$61381$n815 +.sym 12962 $abc$61381$n618_1 +.sym 12963 $abc$61381$n779 +.sym 12965 $abc$61381$n813 +.sym 12966 $abc$61381$n808 +.sym 12967 $abc$61381$n618_1 +.sym 12968 $abc$61381$n779 +.sym 12969 $auto$dff2dffe.cc:175:make_patterns_logic$47877 .sym 12970 CLK$2$2 -.sym 12971 $false -.sym 12972 $auto$dff2dffe.cc:175:make_patterns_logic$78149 -.sym 12973 $abc$92916$n756 -.sym 12974 $abc$92916$n753 -.sym 12975 $abc$92916$n758 -.sym 12976 $abc$92916$n747 -.sym 12977 $abc$92916$n754 -.sym 12978 $abc$92916$n748 -.sym 12979 temp_output_report[1] -.sym 13046 $abc$92916$n758 -.sym 13047 $abc$92916$n757 -.sym 13048 $abc$92916$n701 -.sym 13049 $abc$92916$n715 -.sym 13051 $abc$92916$n759 -.sym 13052 $abc$92916$n763 -.sym 13053 I2C.is_read -.sym 13054 $false -.sym 13056 $abc$92916$n676 -.sym 13057 $abc$92916$n685 +.sym 12971 $auto$simplemap.cc:256:simplemap_eqne$37067 +.sym 12972 $abc$61381$n612 +.sym 12973 $abc$61381$n600 +.sym 12974 $abc$61381$n561 +.sym 12975 $auto$dff2dffe.cc:175:make_patterns_logic$51864 +.sym 12976 $abc$61381$n619_1 +.sym 12977 $abc$61381$n592 +.sym 12978 $auto$dff2dffe.cc:175:make_patterns_logic$49520 +.sym 12979 $auto$dff2dffe.cc:175:make_patterns_logic$50775 +.sym 13046 I2C_TX_REPORT[4] +.sym 13047 I2C_TX_DESC[4] +.sym 13048 I2C_OUT_DESC_MASK[4] +.sym 13049 $false +.sym 13051 $0\uart_double_ff[0:0] +.sym 13052 RESET$2 +.sym 13053 I2C.wr +.sym 13054 last_wr +.sym 13056 $abc$61381$n562 +.sym 13057 $2\INT[0:0] .sym 13058 $false .sym 13059 $false -.sym 13061 $abc$92916$n682 -.sym 13062 $auto$dff2dffe.cc:158:make_patterns_logic$73200 -.sym 13063 $abc$92916$n685 +.sym 13061 I2C.wr +.sym 13062 last_wr +.sym 13063 $false .sym 13064 $false -.sym 13066 $abc$92916$n677 -.sym 13067 $abc$92916$n733 -.sym 13068 $abc$92916$n749_1 -.sym 13069 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 13071 $abc$92916$n761 -.sym 13072 $abc$92916$n762_1 -.sym 13073 $abc$92916$n686 -.sym 13074 $abc$92916$n728 -.sym 13076 KEYBOARD.isr -.sym 13077 last_isr -.sym 13078 $false -.sym 13079 $false -.sym 13081 KEYBOARD.isr -.sym 13082 $false -.sym 13083 $false +.sym 13066 I2C.wr +.sym 13067 last_wr +.sym 13068 $false +.sym 13069 $false +.sym 13071 $abc$61381$n559 +.sym 13072 RESET$2 +.sym 13073 $abc$61381$n561 +.sym 13074 $false +.sym 13076 $abc$61381$n568 +.sym 13077 $abc$61381$n567 +.sym 13078 RESET$2 +.sym 13079 $auto$simplemap.cc:127:simplemap_reduce$48347[1] +.sym 13081 $0\uart_double_ff[0:0] +.sym 13082 $auto$rtlil.cc:1692:NotGate$61156 +.sym 13083 $abc$61381$n568 .sym 13084 $false -.sym 13085 $auto$dff2dffe.cc:175:make_patterns_logic$84333 +.sym 13085 $auto$simplemap.cc:127:simplemap_reduce$48347[1] .sym 13086 CLK$2$2 -.sym 13087 $logic_not$top.v:66$10_Y$2 -.sym 13088 $auto$rtlil.cc:1692:NotGate$92623 -.sym 13089 $auto$alumacc.cc:483:replace_alu$57552[2] -.sym 13090 $abc$92916$n728 -.sym 13091 $abc$92916$n686 -.sym 13092 i2c_input_data_type[3] -.sym 13093 i2c_input_data_type[1] -.sym 13094 i2c_input_data_type[2] -.sym 13095 i2c_input_data_type[0] -.sym 13162 i2c_input_data_type[1] -.sym 13163 i2c_input_data_type[0] -.sym 13164 i2c_input_data_type[3] -.sym 13165 i2c_input_data_type[2] -.sym 13167 $abc$92916$n676 -.sym 13168 $auto$rtlil.cc:1692:NotGate$92623 -.sym 13169 $abc$92916$n687 +.sym 13087 $logic_not$top.v:60$10_Y$2 +.sym 13088 $abc$61381$n605 +.sym 13089 $abc$61381$n851 +.sym 13090 $abc$61381$n852 +.sym 13091 $abc$61381$n603 +.sym 13092 $abc$61381$n856 +.sym 13093 $abc$61381$n604 +.sym 13094 $abc$61381$n849 +.sym 13095 I2C.received_byte[5] +.sym 13167 $abc$61381$n599 +.sym 13168 I2C.received_byte[4] +.sym 13169 I2C.received_byte[5] .sym 13170 $false -.sym 13172 $abc$92916$n701 -.sym 13173 $abc$92916$n715 -.sym 13174 $abc$92916$n744 +.sym 13172 I2C.received_byte[7] +.sym 13173 I2C.received_byte[6] +.sym 13174 $false .sym 13175 $false -.sym 13177 $abc$92916$n687 -.sym 13178 $abc$92916$n688 -.sym 13179 $false +.sym 13177 I2C.received_byte[5] +.sym 13178 $abc$61381$n875 +.sym 13179 I2C.is_read .sym 13180 $false -.sym 13182 $abc$92916$n695 -.sym 13183 $2\uart_double_ff[0:0] -.sym 13184 $abc$92916$n676 -.sym 13185 $abc$92916$n715 -.sym 13187 I2C.wr -.sym 13188 last_wr -.sym 13189 $false +.sym 13182 I2C.received_byte[4] +.sym 13183 $abc$61381$n873 +.sym 13184 I2C.is_read +.sym 13185 $false +.sym 13187 I2C.received_byte[0] +.sym 13188 $abc$61381$n865 +.sym 13189 I2C.is_read .sym 13190 $false -.sym 13192 $abc$92916$n687 -.sym 13193 $abc$92916$n676 -.sym 13194 $abc$92916$n685 -.sym 13195 $abc$92916$n704 -.sym 13197 temp_output_report[1] -.sym 13198 $false -.sym 13199 $false -.sym 13200 $false -.sym 13201 $auto$dff2dffe.cc:175:make_patterns_logic$83232 +.sym 13192 I2C.received_byte[2] +.sym 13193 $abc$61381$n869 +.sym 13194 I2C.is_read +.sym 13195 $false +.sym 13201 $auto$dff2dffe.cc:175:make_patterns_logic$47997 .sym 13202 CLK$2$2 -.sym 13203 $logic_not$top.v:66$10_Y$2 -.sym 13208 $auto$alumacc.cc:484:replace_alu$57553[3] -.sym 13210 temp_output_report[2] -.sym 13211 temp_output_report[0] -.sym 13278 $abc$92916$n677 -.sym 13279 $abc$92916$n694 -.sym 13280 $2\uart_double_ff[0:0] -.sym 13281 $false -.sym 13283 $false -.sym 13284 $false -.sym 13285 KEYBOARD.row_counter[3] -.sym 13286 $auto$alumacc.cc:484:replace_alu$57721[2] -.sym 13288 $abc$92916$n789 -.sym 13289 $abc$92916$n790 -.sym 13290 $false -.sym 13291 $false -.sym 13293 I2C.is_read -.sym 13294 $auto$dff2dffe.cc:175:make_patterns_logic$73101 -.sym 13295 $abc$92916$n791 -.sym 13296 $abc$92916$n701 -.sym 13298 $abc$92916$n695 -.sym 13299 $auto$rtlil.cc:1692:NotGate$92623 -.sym 13300 $false -.sym 13301 $false -.sym 13303 $abc$92916$n702 -.sym 13304 $abc$92916$n697 -.sym 13305 $auto$simplemap.cc:250:simplemap_eqne$80251[1] +.sym 13203 $auto$rtlil.cc:1692:NotGate$61156 +.sym 13204 $abc$61381$n720 +.sym 13205 $abc$61381$n817_1 +.sym 13208 $abc$61381$n850 +.sym 13209 $abc$61381$n677 +.sym 13211 I2C.received_byte[7] +.sym 13278 KEYBOARD.kbd_code_hid[4] +.sym 13279 KEYBOARD.kbd_code_hid[5] +.sym 13280 kbd_report[3][4] +.sym 13281 kbd_report[3][5] +.sym 13283 kbd_report[3][4] +.sym 13284 kbd_report[3][5] +.sym 13285 kbd_report[3][6] +.sym 13286 kbd_report[3][7] +.sym 13288 kbd_report[3][4] +.sym 13289 $abc$61381$n774 +.sym 13290 $abc$61381$n809 +.sym 13291 $abc$61381$n812_1 +.sym 13293 $abc$61381$n722 +.sym 13294 $abc$61381$n723 +.sym 13295 $abc$61381$n724 +.sym 13296 $abc$61381$n725_1 +.sym 13298 KEYBOARD.kbd_code_hid[7] +.sym 13299 KEYBOARD.kbd_code_hid[6] +.sym 13300 kbd_report[3][6] +.sym 13301 kbd_report[3][7] +.sym 13303 KEYBOARD.kbd_code_hid[5] +.sym 13304 $false +.sym 13305 $false .sym 13306 $false -.sym 13308 temp_output_report[0] +.sym 13308 KEYBOARD.kbd_code_hid[4] .sym 13309 $false .sym 13310 $false .sym 13311 $false -.sym 13313 temp_output_report[2] +.sym 13313 KEYBOARD.kbd_code_hid[6] .sym 13314 $false .sym 13315 $false .sym 13316 $false -.sym 13317 $auto$dff2dffe.cc:175:make_patterns_logic$83232 +.sym 13317 $auto$dff2dffe.cc:175:make_patterns_logic$57638 .sym 13318 CLK$2$2 -.sym 13319 $logic_not$top.v:66$10_Y$2 -.sym 13320 $abc$92916$n1185_1 -.sym 13321 $abc$92916$n729 -.sym 13322 $abc$92916$n1131 -.sym 13323 $abc$92916$n1132_1 -.sym 13324 $abc$92916$n1182_1 -.sym 13325 UART_TX_DATA[4] -.sym 13326 UART_TX_DATA[5] -.sym 13327 UART_TX_DATA[1] -.sym 13394 $abc$92916$n793 -.sym 13395 $abc$92916$n808 -.sym 13396 $abc$92916$n809 -.sym 13397 $abc$92916$n792 -.sym 13399 $abc$92916$n702 -.sym 13400 $abc$92916$n708 -.sym 13401 $false -.sym 13402 $false -.sym 13404 I2C_TRANS -.sym 13405 last_trans -.sym 13406 $false -.sym 13407 $false -.sym 13409 I2C_TRANS -.sym 13410 last_trans -.sym 13411 $false +.sym 13319 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 13320 $abc$61381$n684 +.sym 13321 $auto$dff2dffe.cc:175:make_patterns_logic$57638 +.sym 13322 $abc$61381$n676 +.sym 13323 $abc$61381$n719 +.sym 13324 $abc$61381$n735 +.sym 13325 $auto$dff2dffe.cc:175:make_patterns_logic$59214 +.sym 13326 $abc$61381$n718 +.sym 13327 $abc$61381$n667 +.sym 13394 $abc$61381$n675 +.sym 13395 $abc$61381$n674 +.sym 13396 RESET$2 +.sym 13397 $false +.sym 13399 $abc$61381$n737 +.sym 13400 $abc$61381$n738 +.sym 13401 $abc$61381$n739 +.sym 13402 $abc$61381$n740 +.sym 13404 kbd_report[3][6] +.sym 13405 $abc$61381$n774 +.sym 13406 $abc$61381$n823 +.sym 13407 $abc$61381$n826 +.sym 13409 $abc$61381$n771 +.sym 13410 $auto$wreduce.cc:310:run$36584[0] +.sym 13411 $auto$wreduce.cc:310:run$36584[2] .sym 13412 $false -.sym 13414 $abc$92916$n697 -.sym 13415 $auto$simplemap.cc:250:simplemap_eqne$80251[1] -.sym 13416 $false -.sym 13417 $false -.sym 13419 $abc$92916$n677 -.sym 13420 $abc$92916$n694 -.sym 13421 $abc$92916$n708 -.sym 13422 $abc$92916$n718 -.sym 13424 $abc$92916$n702 -.sym 13425 $abc$92916$n708 -.sym 13426 I2C.is_read -.sym 13427 $false -.sym 13429 I2C_TRANS +.sym 13414 KEYBOARD.kbd_code_hid[3] +.sym 13415 KEYBOARD.kbd_code_hid[2] +.sym 13416 KEYBOARD.report[1][2] +.sym 13417 KEYBOARD.report[1][3] +.sym 13419 KEYBOARD.report[1][0] +.sym 13420 KEYBOARD.report[1][1] +.sym 13421 KEYBOARD.report[1][2] +.sym 13422 KEYBOARD.report[1][3] +.sym 13424 KEYBOARD.kbd_code_hid[1] +.sym 13425 KEYBOARD.kbd_code_hid[0] +.sym 13426 KEYBOARD.report[1][0] +.sym 13427 KEYBOARD.report[1][1] +.sym 13429 KEYBOARD.kbd_code_hid[0] .sym 13430 $false .sym 13431 $false .sym 13432 $false -.sym 13433 $auto$dff2dffe.cc:175:make_patterns_logic$84067 +.sym 13433 $auto$dff2dffe.cc:175:make_patterns_logic$59214 .sym 13434 CLK$2$2 -.sym 13435 $logic_not$top.v:66$10_Y$2 -.sym 13436 $abc$92916$n1171 -.sym 13437 $abc$92916$n1129 -.sym 13438 $abc$92916$n823 -.sym 13439 $abc$92916$n1130 -.sym 13440 $abc$92916$n1119 -.sym 13441 $abc$92916$n1164_1 -.sym 13442 I2C.SDA_DIR -.sym 13443 I2C.is_read -.sym 13510 $abc$92916$n1135 -.sym 13511 $abc$92916$n1121 -.sym 13512 $abc$92916$n1119 -.sym 13513 $false -.sym 13515 $abc$92916$n1170_1 -.sym 13516 $abc$92916$n1169 -.sym 13517 $abc$92916$n820 -.sym 13518 $abc$92916$n823 -.sym 13520 $abc$92916$n1109 -.sym 13521 $abc$92916$n1101 -.sym 13522 $techmap\I2C.$sub$i2c_slave.v:143$226_Y[2] -.sym 13523 $false -.sym 13525 $abc$92916$n1121 -.sym 13526 $abc$92916$n825 -.sym 13527 $abc$92916$n1173 -.sym 13528 $auto$simplemap.cc:250:simplemap_eqne$60443[0] -.sym 13530 $abc$92916$n1107 -.sym 13531 $abc$92916$n1099 -.sym 13532 $techmap\I2C.$sub$i2c_slave.v:143$226_Y[2] -.sym 13533 $false -.sym 13535 $abc$92916$n1177 -.sym 13536 $abc$92916$n1172 -.sym 13537 $abc$92916$n1174 -.sym 13538 $abc$92916$n1171 -.sym 13540 $abc$92916$n1105 -.sym 13541 $abc$92916$n1097 -.sym 13542 $techmap\I2C.$sub$i2c_slave.v:143$226_Y[2] +.sym 13435 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 13436 $abc$61381$n811 +.sym 13437 $abc$61381$n815 +.sym 13438 $abc$61381$n772 +.sym 13439 $abc$61381$n823 +.sym 13440 $abc$61381$n810 +.sym 13441 $abc$61381$n824 +.sym 13442 $abc$61381$n809 +.sym 13443 KEYBOARD.report[1][5] +.sym 13510 $abc$61381$n777 +.sym 13511 KEYBOARD.report[1][4] +.sym 13512 kbd_report[5][4] +.sym 13513 $abc$61381$n776 +.sym 13515 KEYBOARD.report[1][4] +.sym 13516 KEYBOARD.report[1][5] +.sym 13517 KEYBOARD.report[1][6] +.sym 13518 KEYBOARD.report[1][7] +.sym 13520 KEYBOARD.kbd_code_hid[7] +.sym 13521 KEYBOARD.kbd_code_hid[6] +.sym 13522 KEYBOARD.report[1][6] +.sym 13523 KEYBOARD.report[1][7] +.sym 13525 $abc$61381$n777 +.sym 13526 KEYBOARD.report[1][6] +.sym 13527 kbd_report[5][6] +.sym 13528 $abc$61381$n776 +.sym 13530 $abc$61381$n777 +.sym 13531 KEYBOARD.report[1][5] +.sym 13532 kbd_report[5][5] +.sym 13533 $abc$61381$n776 +.sym 13535 KEYBOARD.kbd_code_hid[4] +.sym 13536 KEYBOARD.kbd_code_hid[5] +.sym 13537 KEYBOARD.report[1][4] +.sym 13538 KEYBOARD.report[1][5] +.sym 13540 KEYBOARD.kbd_code_hid[6] +.sym 13541 $false +.sym 13542 $false .sym 13543 $false -.sym 13545 $abc$92916$n1176 -.sym 13546 $abc$92916$n1175 -.sym 13547 $abc$92916$n825 -.sym 13548 $auto$simplemap.cc:250:simplemap_eqne$60443[0] -.sym 13552 $abc$92916$n1125 -.sym 13553 $abc$92916$n1140 -.sym 13554 $abc$92916$n1124 -.sym 13555 $abc$92916$n1116 -.sym 13556 $auto$alumacc.cc:483:replace_alu$57687[0] -.sym 13557 $abc$92916$n1154 -.sym 13558 I2C.i2c_bit_counter[0] -.sym 13559 I2C.wr -.sym 13626 $abc$92916$n825 -.sym 13627 $abc$92916$n1155 -.sym 13628 $abc$92916$n823 -.sym 13629 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 13631 $abc$92916$n1122 -.sym 13632 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 13633 $abc$92916$n1119 -.sym 13634 $abc$92916$n1128 -.sym 13636 $abc$92916$n1122 -.sym 13637 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 13638 $false -.sym 13639 $false -.sym 13641 $abc$92916$n1151 -.sym 13642 $abc$92916$n823 -.sym 13643 $false -.sym 13644 $false -.sym 13646 $techmap\I2C.$procmux$19804_Y -.sym 13647 I2C.i2c_bit_counter[1] -.sym 13648 $false -.sym 13649 $false -.sym 13651 $auto$alumacc.cc:470:replace_alu$57572.B_buf[1] -.sym 13652 $false -.sym 13653 $false -.sym 13654 $false -.sym 13656 $abc$92916$n1158 -.sym 13657 $abc$92916$n1157 -.sym 13658 $auto$alumacc.cc:470:replace_alu$57572.B_buf[1] -.sym 13659 $false -.sym 13661 I2C.FLT_SCL.out +.sym 13545 KEYBOARD.kbd_code_hid[4] +.sym 13546 $false +.sym 13547 $false +.sym 13548 $false +.sym 13549 $auto$dff2dffe.cc:175:make_patterns_logic$59214 +.sym 13550 CLK$2$2 +.sym 13551 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 13552 $auto$dff2dffe.cc:175:make_patterns_logic$56573 +.sym 13553 $auto$dff2dffe.cc:175:make_patterns_logic$55287 +.sym 13554 $abc$61381$n683 +.sym 13555 $abc$61381$n816 +.sym 13556 $abc$61381$n701 +.sym 13557 $abc$61381$n710 +.sym 13558 $abc$61381$n702 +.sym 13559 $abc$61381$n685 +.sym 13626 $abc$61381$n687 +.sym 13627 $abc$61381$n688 +.sym 13628 $false +.sym 13629 $false +.sym 13631 KEYBOARD.kbd_code_hid[7] +.sym 13632 KEYBOARD.kbd_code_hid[6] +.sym 13633 kbd_report[4][6] +.sym 13634 kbd_report[4][7] +.sym 13636 $abc$61381$n668 +.sym 13637 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 13638 $abc$61381$n712 +.sym 13639 RESET$2 +.sym 13641 kbd_report[4][4] +.sym 13642 kbd_report[4][5] +.sym 13643 kbd_report[4][6] +.sym 13644 kbd_report[4][7] +.sym 13646 $abc$61381$n668 +.sym 13647 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 13648 $abc$61381$n704_1 +.sym 13649 RESET$2 +.sym 13651 KEYBOARD.kbd_code_hid[4] +.sym 13652 KEYBOARD.kbd_code_hid[5] +.sym 13653 kbd_report[4][4] +.sym 13654 kbd_report[4][5] +.sym 13656 $abc$61381$n713 +.sym 13657 $abc$61381$n714 +.sym 13658 $abc$61381$n715 +.sym 13659 $abc$61381$n716 +.sym 13661 KEYBOARD.kbd_code_hid[6] .sym 13662 $false .sym 13663 $false .sym 13664 $false -.sym 13665 $true +.sym 13665 $auto$dff2dffe.cc:175:make_patterns_logic$55287 .sym 13666 CLK$2$2 -.sym 13667 $false -.sym 13668 $abc$92916$n1127_1 -.sym 13669 $abc$92916$n1136 -.sym 13670 $abc$92916$n1128 -.sym 13671 $abc$92916$n1115 -.sym 13672 $abc$92916$n1166 -.sym 13673 $abc$92916$n1137 -.sym 13674 $abc$92916$n1123 -.sym 13675 I2C.i2c_state_machine -.sym 13742 $abc$92916$n820 -.sym 13743 $abc$92916$n1121 -.sym 13744 $false -.sym 13745 $false -.sym 13747 $abc$92916$n1118 -.sym 13748 I2C.SCL_LAST -.sym 13749 I2C.FLT_SCL.out +.sym 13667 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 13669 kbd_report[4][5] +.sym 13670 kbd_report[4][4] +.sym 13673 kbd_report[4][6] +.sym 13742 kbd_report[5][4] +.sym 13743 kbd_report[5][5] +.sym 13744 kbd_report[5][6] +.sym 13745 kbd_report[5][7] +.sym 13747 RESET$2 +.sym 13748 $false +.sym 13749 $false .sym 13750 $false -.sym 13752 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 13753 I2C.SCL_LAST -.sym 13754 I2C.FLT_SCL.out -.sym 13755 $abc$92916$n820 -.sym 13757 $abc$92916$n1155 -.sym 13758 $abc$92916$n823 -.sym 13759 $techmap\I2C.$sub$i2c_slave.v:143$226_Y[2] -.sym 13760 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 13762 $abc$92916$n1118 -.sym 13763 $abc$92916$n1122 +.sym 13752 KEYBOARD.kbd_code_hid[4] +.sym 13753 KEYBOARD.kbd_code_hid[5] +.sym 13754 kbd_report[5][4] +.sym 13755 kbd_report[5][5] +.sym 13757 KEYBOARD.kbd_code_hid[7] +.sym 13758 KEYBOARD.kbd_code_hid[6] +.sym 13759 kbd_report[5][6] +.sym 13760 kbd_report[5][7] +.sym 13762 $abc$61381$n690 +.sym 13763 $abc$61381$n691 .sym 13764 $false .sym 13765 $false -.sym 13767 $abc$92916$n1118 -.sym 13768 $abc$92916$n1122 -.sym 13769 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 13770 $abc$92916$n1153 -.sym 13772 $abc$92916$n1120 -.sym 13773 $abc$92916$n1117 -.sym 13774 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 13775 $abc$92916$n1152 -.sym 13777 I2C.SCL_LAST -.sym 13778 I2C.FLT_SCL.out +.sym 13767 $abc$61381$n705 +.sym 13768 $abc$61381$n706 +.sym 13769 $abc$61381$n707 +.sym 13770 $abc$61381$n708 +.sym 13772 KEYBOARD.kbd_code_hid[4] +.sym 13773 $false +.sym 13774 $false +.sym 13775 $false +.sym 13777 KEYBOARD.kbd_code_hid[5] +.sym 13778 $false .sym 13779 $false .sym 13780 $false -.sym 13828 $auto$wreduce.cc:310:run$57529[3] -.sym 13858 $auto$rtlil.cc:1692:NotGate$92893 -.sym 13884 $auto$dff2dffe.cc:175:make_patterns_logic$92275 -.sym 13885 $abc$92916$n652 -.sym 13886 $techmap\I2C.FLT_SCL.$procmux$1018_Y[1] -.sym 13887 $abc$92916$n612 -.sym 13888 $abc$92916$n934 -.sym 13889 $abc$92916$n650 -.sym 13890 $abc$92916$n644 -.sym 13891 I2C.FLT_SCL.out -.sym 13959 $abc$92916$n933 -.sym 13960 $auto$wreduce.cc:310:run$57520[0] +.sym 13781 $auto$dff2dffe.cc:175:make_patterns_logic$55287 +.sym 13782 CLK$2$2 +.sym 13783 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 13828 $logic_not$top.v:60$10_Y +.sym 13858 $auto$rtlil.cc:1692:NotGate$61316 +.sym 13887 KEYBOARD.COLS_SHADOW[2] +.sym 13888 KEYBOARD.COLS_SHADOW[0] +.sym 13889 KEYBOARD.COLS_SHADOW[5] +.sym 13891 KEYBOARD.COLS_SHADOW[4] +.sym 13921 $true +.sym 13958 $auto$simplemap.cc:309:simplemap_lut$45894[3]$2 +.sym 13959 $false +.sym 13960 $auto$simplemap.cc:309:simplemap_lut$45894[3] .sym 13961 $false .sym 13962 $false -.sym 13964 $false -.sym 13965 I2C.FLT_SCL.counter[0] -.sym 13966 $false -.sym 13967 $true$2 -.sym 13969 $false -.sym 13970 I2C.FLT_SCL.counter[2] -.sym 13971 $true$2 -.sym 13972 $auto$alumacc.cc:484:replace_alu$57691[1] -.sym 13974 $abc$92916$n933 -.sym 13975 I2C.FLT_SCL.out -.sym 13976 I2C.SCLF -.sym 13977 $false -.sym 13979 I2C.FLT_SCL.counter[0] -.sym 13980 I2C.FLT_SCL.counter[1] -.sym 13981 I2C.FLT_SCL.counter[2] -.sym 13982 $false -.sym 13984 $abc$92916$n933 -.sym 13985 $auto$wreduce.cc:310:run$57520[2] -.sym 13986 $false -.sym 13987 $false -.sym 13989 $techmap\I2C.FLT_SCL.$procmux$1018_Y[1] +.sym 13963 $auto$alumacc.cc:484:replace_alu$36674[1] +.sym 13965 $true$2 +.sym 13966 $techmap$auto$alumacc.cc:470:replace_alu$36724.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37884_Y[1] +.sym 13968 $auto$alumacc.cc:484:replace_alu$36674[2] +.sym 13970 $false +.sym 13971 $auto$alumacc.cc:483:replace_alu$36673[2] +.sym 13973 $auto$alumacc.cc:484:replace_alu$36674[3] +.sym 13975 $false +.sym 13976 $auto$alumacc.cc:483:replace_alu$36673[3] +.sym 13978 $auto$alumacc.cc:484:replace_alu$36674[4] +.sym 13980 $false +.sym 13981 $auto$simplemap.cc:309:simplemap_lut$47343[1] +.sym 13983 $auto$alumacc.cc:484:replace_alu$36674[5] +.sym 13985 $false +.sym 13986 $auto$alumacc.cc:483:replace_alu$36673[5] +.sym 13988 $auto$alumacc.cc:484:replace_alu$36674[6] .sym 13990 $false -.sym 13991 $false -.sym 13992 $false -.sym 13994 $techmap\I2C.FLT_SCL.$procmux$1018_Y[0] +.sym 13991 $auto$alumacc.cc:483:replace_alu$36673[6] +.sym 13993 $auto$alumacc.cc:484:replace_alu$36674[7]$2 .sym 13995 $false -.sym 13996 $false -.sym 13997 $false -.sym 13998 $auto$dff2dffe.cc:158:make_patterns_logic$92290 -.sym 13999 CLK$2$2 -.sym 14000 $logic_not$top.v:66$10_Y$2 +.sym 13996 $auto$alumacc.cc:483:replace_alu$36673[7] .sym 14003 KBD_COLUMNS[5]$2 -.sym 14007 $auto$alumacc.cc:484:replace_alu$57691[1] -.sym 14008 $abc$92916$n655 -.sym 14009 $abc$92916$n659 -.sym 14010 $abc$92916$n654 -.sym 14011 $auto$rtlil.cc:1692:NotGate$92753 -.sym 14012 $abc$92916$n664 -.sym 14115 $abc$92916$n1230 -.sym 14116 $abc$92916$n1225_1 -.sym 14117 $abc$92916$n1233 -.sym 14118 $abc$92916$n1232 -.sym 14125 $abc$92916$n641 -.sym 14126 $auto$wreduce.cc:310:run$57525[0] -.sym 14127 $false -.sym 14128 $false -.sym 14130 $abc$92916$n647 -.sym 14131 $abc$92916$n653 -.sym 14132 KEYBOARD.COLS_SHADOW[3] -.sym 14133 $abc$92916$n651 -.sym 14135 $false -.sym 14136 $false -.sym 14137 $false -.sym 14138 $false -.sym 14140 $false -.sym 14141 $true$2 +.sym 14005 $auto$wreduce.cc:310:run$36595[0] +.sym 14007 $auto$wreduce.cc:310:run$36593[0] +.sym 14008 KEYBOARD.ROWS_EN[15] +.sym 14011 KEYBOARD.ROWS_EN[13] +.sym 14118 $auto$alumacc.cc:484:replace_alu$36674[7]$2 +.sym 14120 $false +.sym 14121 I2C.byte_counter[0] +.sym 14122 $false +.sym 14123 $true$2 +.sym 14130 I2C.byte_counter[1] +.sym 14131 $false +.sym 14132 $false +.sym 14133 $false +.sym 14140 I2C.byte_counter[3] +.sym 14141 $false .sym 14142 $false .sym 14143 $false -.sym 14145 $abc$92916$n647 -.sym 14146 $abc$92916$n653 -.sym 14147 $auto$wreduce.cc:310:run$57524[0] -.sym 14148 KEYBOARD.COLS_SHADOW[3] -.sym 14150 KEYBOARD.kbd_code_hid[6] +.sym 14150 I2C.byte_counter[0] .sym 14151 $false .sym 14152 $false .sym 14153 $false -.sym 14154 $auto$dff2dffe.cc:175:make_patterns_logic$85564 -.sym 14155 CLK$2$2 -.sym 14156 $auto$rtlil.cc:1692:NotGate$92753 -.sym 14157 $abc$92916$n627 -.sym 14158 $abc$92916$n660 -.sym 14159 $abc$92916$n1221 -.sym 14160 KEYBOARD.temp[3] -.sym 14161 KEYBOARD.temp[2] -.sym 14162 KEYBOARD.kbd_code[1] -.sym 14163 KEYBOARD.temp[0] -.sym 14164 KEYBOARD.temp[7] -.sym 14231 $auto$wreduce.cc:310:run$57527[0] -.sym 14232 $auto$wreduce.cc:310:run$57528[0] -.sym 14233 $abc$92916$n1229_1 -.sym 14234 $abc$92916$n1218 -.sym 14236 $abc$92916$n1228_1 -.sym 14237 $abc$92916$n1226_1 -.sym 14238 $abc$92916$n1227 -.sym 14239 $false -.sym 14241 KEYBOARD.kbd_code_hid[7] -.sym 14242 KEYBOARD.kbd_code_hid[6] -.sym 14243 KEYBOARD.report[6][6] -.sym 14244 KEYBOARD.report[6][7] -.sym 14246 $abc$92916$n870 -.sym 14247 $abc$92916$n845 -.sym 14248 $abc$92916$n856 +.sym 14157 $abc$61381$n544 +.sym 14158 $abc$61381$n1003 +.sym 14159 $abc$61381$n1005_1 +.sym 14160 $abc$61381$n548 +.sym 14161 $abc$61381$n532 +.sym 14162 $abc$61381$n1002 +.sym 14163 $abc$61381$n497 +.sym 14164 $abc$61381$n524 +.sym 14231 $abc$61381$n524 +.sym 14232 $abc$61381$n515 +.sym 14233 $abc$61381$n526 +.sym 14234 $false +.sym 14236 $abc$61381$n529 +.sym 14237 $abc$61381$n523 +.sym 14238 $abc$61381$n497 +.sym 14239 $abc$61381$n534 +.sym 14241 $techmap\I2C.$procmux$19301_Y +.sym 14242 I2C.i2c_bit_counter[1] +.sym 14243 $false +.sym 14244 $false +.sym 14246 $abc$61381$n544 +.sym 14247 $abc$61381$n546 +.sym 14248 $false .sym 14249 $false -.sym 14251 $false -.sym 14252 $false -.sym 14253 $false -.sym 14254 $false -.sym 14256 $abc$92916$n621 -.sym 14257 $abc$92916$n627 +.sym 14251 $abc$61381$n543 +.sym 14252 $abc$61381$n538 +.sym 14253 $abc$61381$n496_1 +.sym 14254 $abc$61381$n548 +.sym 14256 $abc$61381$n530 +.sym 14257 $abc$61381$n532 .sym 14258 $false .sym 14259 $false -.sym 14261 $abc$92916$n1218 -.sym 14262 $abc$92916$n1229_1 -.sym 14263 $abc$92916$n1226_1 +.sym 14261 $abc$61381$n539 +.sym 14262 $abc$61381$n541 +.sym 14263 $false .sym 14264 $false -.sym 14266 $auto$rtlil.cc:1692:NotGate$92753 -.sym 14267 $abc$92916$n846 -.sym 14268 $abc$92916$n851 -.sym 14269 $abc$92916$n855 -.sym 14273 $abc$92916$n917 -.sym 14274 $abc$92916$n916 -.sym 14275 $abc$92916$n638 -.sym 14276 $abc$92916$n915 -.sym 14277 $abc$92916$n918 -.sym 14278 $techmap\KEYBOARD.$procmux$5651_Y[0] -.sym 14279 $abc$92916$n1017_1 -.sym 14280 KEYBOARD.report[3][7] -.sym 14309 $true -.sym 14346 wr_cnt[0]$3 -.sym 14347 $false -.sym 14348 wr_cnt[0] +.sym 14266 $abc$61381$n559 +.sym 14267 $sub$top.v:78$20_Y[0] +.sym 14268 $false +.sym 14269 $false +.sym 14270 $auto$dff2dffe.cc:175:make_patterns_logic$50775 +.sym 14271 CLK$2$2 +.sym 14272 $logic_not$top.v:60$10_Y$2 +.sym 14273 $abc$61381$n1015_1 +.sym 14274 $abc$61381$n533 +.sym 14275 $abc$61381$n525 +.sym 14276 $abc$61381$n1016_1 +.sym 14277 $abc$61381$n1006 +.sym 14278 $abc$61381$n1007 +.sym 14279 KEYBOARD.temp[5] +.sym 14280 KEYBOARD.temp[4] +.sym 14347 KEYBOARD.ram_wr +.sym 14348 KEYBOARD.RAM.last_we .sym 14349 $false .sym 14350 $false -.sym 14351 $auto$alumacc.cc:484:replace_alu$57671[1]$2 -.sym 14353 wr_cnt[1] -.sym 14354 $true$2 -.sym 14360 $auto$alumacc.cc:484:replace_alu$57671[1]$2 -.sym 14362 KEYBOARD.report[0][7] -.sym 14363 $abc$92916$n1009 -.sym 14364 $abc$92916$n1056 -.sym 14365 $abc$92916$n1059 -.sym 14367 $abc$92916$n1008 -.sym 14368 KEYBOARD.report[4][7] -.sym 14369 $false +.sym 14352 $abc$61381$n915 +.sym 14353 $abc$61381$n646_1 +.sym 14354 I2C.received_byte[0] +.sym 14355 $false +.sym 14357 $techmap\I2C.$procmux$19301_Y +.sym 14358 I2C.is_ack +.sym 14359 $false +.sym 14360 $false +.sym 14362 $abc$61381$n644 +.sym 14363 $abc$61381$n910 +.sym 14364 $abc$61381$n943 +.sym 14365 $false +.sym 14367 $abc$61381$n937 +.sym 14368 $abc$61381$n936 +.sym 14369 $auto$alumacc.cc:470:replace_alu$36715.A_buf[1] .sym 14370 $false -.sym 14372 $abc$92916$n1008 -.sym 14373 KEYBOARD.report[4][0] -.sym 14374 $abc$92916$n1007 -.sym 14375 KEYBOARD.report[2][0] -.sym 14377 KEYBOARD.report[0][7] -.sym 14378 $abc$92916$n1010_1 -.sym 14379 $abc$92916$n1055_1 -.sym 14380 $2\INT[0:0] -.sym 14382 KEYBOARD.report[3][7] -.sym 14383 $abc$92916$n1002_1 -.sym 14384 $abc$92916$n1057 -.sym 14385 $abc$92916$n1058 -.sym 14389 $abc$92916$n1043 -.sym 14390 $abc$92916$n1059 -.sym 14391 $abc$92916$n653 -.sym 14392 $abc$92916$n1045 -.sym 14393 $abc$92916$n1052 -.sym 14394 $abc$92916$n919 -.sym 14395 $abc$92916$n914 -.sym 14396 KEYBOARD.report[5][1] -.sym 14463 $abc$92916$n883 -.sym 14464 $abc$92916$n879 -.sym 14465 $auto$rtlil.cc:1692:NotGate$92753 -.sym 14466 $abc$92916$n846 -.sym 14468 $abc$92916$n1007 -.sym 14469 KEYBOARD.report[2][1] -.sym 14470 $false -.sym 14471 $false -.sym 14473 KEYBOARD.report[3][1] -.sym 14474 $abc$92916$n1002_1 -.sym 14475 $abc$92916$n1015 -.sym 14476 $abc$92916$n1016 -.sym 14478 $techmap\KEYBOARD.$procmux$5651_Y[4] -.sym 14479 $false -.sym 14480 $false +.sym 14377 I2C.is_ack +.sym 14378 $abc$61381$n907 +.sym 14379 $abc$61381$n646_1 +.sym 14380 $false +.sym 14382 KEYBOARD.ram_wr +.sym 14383 $false +.sym 14384 $false +.sym 14385 $false +.sym 14386 $true +.sym 14387 CLK$2$2 +.sym 14388 $false +.sym 14389 $auto$wreduce.cc:310:run$36596[0] +.sym 14390 $abc$61381$n521 +.sym 14391 $abc$61381$n997 +.sym 14392 $abc$61381$n519 +.sym 14393 $auto$wreduce.cc:310:run$36597[0] +.sym 14394 $abc$61381$n1004_1 +.sym 14396 KEYBOARD.temp[6] +.sym 14463 $abc$61381$n901 +.sym 14464 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 14465 $abc$61381$n899 +.sym 14466 $abc$61381$n908 +.sym 14468 I2C_INPUT_LEN[4] +.sym 14469 I2C_INPUT_LEN[5] +.sym 14470 I2C_INPUT_LEN[6] +.sym 14471 I2C_INPUT_LEN[7] +.sym 14473 $abc$61381$n918 +.sym 14474 $abc$61381$n917 +.sym 14475 $abc$61381$n908 +.sym 14476 $abc$61381$n644 +.sym 14478 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 14479 $abc$61381$n901 +.sym 14480 $abc$61381$n898 .sym 14481 $false -.sym 14483 $techmap\KEYBOARD.$procmux$5651_Y[0] -.sym 14484 $false -.sym 14485 $false -.sym 14486 $false -.sym 14488 $techmap\KEYBOARD.$procmux$5651_Y[5] -.sym 14489 $false -.sym 14490 $false +.sym 14488 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 14489 $abc$61381$n898 +.sym 14490 $abc$61381$n901 .sym 14491 $false -.sym 14493 $techmap\KEYBOARD.$procmux$5651_Y[6] +.sym 14493 I2C_INPUT_LEN[5] .sym 14494 $false .sym 14495 $false .sym 14496 $false -.sym 14498 $techmap\KEYBOARD.$procmux$5651_Y[1] -.sym 14499 $false +.sym 14498 $abc$61381$n559 +.sym 14499 $abc$61381$n771 .sym 14500 $false .sym 14501 $false -.sym 14502 $auto$dff2dffe.cc:175:make_patterns_logic$90124 +.sym 14502 $auto$dff2dffe.cc:175:make_patterns_logic$50775 .sym 14503 CLK$2$2 -.sym 14504 $logic_not$top.v:66$10_Y$2 -.sym 14505 $abc$92916$n902 -.sym 14506 $abc$92916$n901 -.sym 14507 $abc$92916$n897 -.sym 14508 $abc$92916$n898 -.sym 14509 $abc$92916$n866 -.sym 14510 $abc$92916$n899 -.sym 14511 KEYBOARD.report[0][5] -.sym 14512 KEYBOARD.report[0][3] -.sym 14579 wr_cnt[0] -.sym 14580 wr_cnt[1] -.sym 14581 $sub$top.v:122$51_Y[0] -.sym 14582 $sub$top.v:122$51_Y[2] -.sym 14584 $abc$92916$n1007 -.sym 14585 KEYBOARD.report[2][4] -.sym 14586 KEYBOARD.report[3][4] -.sym 14587 $abc$92916$n1002_1 -.sym 14589 wr_cnt[0] -.sym 14590 wr_cnt[1] -.sym 14591 $sub$top.v:122$51_Y[0] -.sym 14592 $sub$top.v:122$51_Y[2] -.sym 14594 KEYBOARD.report[3][0] -.sym 14595 KEYBOARD.report[3][1] -.sym 14596 KEYBOARD.report[3][2] -.sym 14597 KEYBOARD.report[3][3] -.sym 14599 $abc$92916$n1007 -.sym 14600 KEYBOARD.report[2][2] -.sym 14601 $abc$92916$n1005 -.sym 14602 KEYBOARD.report[1][2] -.sym 14604 KEYBOARD.kbd_code_hid[3] -.sym 14605 KEYBOARD.kbd_code_hid[2] -.sym 14606 KEYBOARD.report[3][2] -.sym 14607 KEYBOARD.report[3][3] -.sym 14609 $techmap\KEYBOARD.$procmux$5651_Y[2] -.sym 14610 $false -.sym 14611 $false +.sym 14504 $logic_not$top.v:60$10_Y$2 +.sym 14505 $abc$61381$n629 +.sym 14506 $abc$61381$n635 +.sym 14507 $abc$61381$n633 +.sym 14508 $abc$61381$n858_1 +.sym 14509 $abc$61381$n627 +.sym 14510 $abc$61381$n625 +.sym 14511 i2c_input_data_type[1] +.sym 14512 i2c_input_data_type[2] +.sym 14579 $abc$61381$n949 +.sym 14580 $abc$61381$n948 +.sym 14581 $abc$61381$n644 +.sym 14582 $abc$61381$n646_1 +.sym 14584 $abc$61381$n631 +.sym 14585 I2C_INPUT_LEN[0] +.sym 14586 I2C_INPUT_LEN[1] +.sym 14587 $abc$61381$n613 +.sym 14589 $abc$61381$n627 +.sym 14590 $abc$61381$n630 +.sym 14591 $abc$61381$n632 +.sym 14592 $abc$61381$n634 +.sym 14594 I2C.FLT_SCL.out +.sym 14595 I2C.FLT_SDA.out +.sym 14596 I2C.SDA_LAST +.sym 14597 I2C.SDA_DIR +.sym 14599 $abc$61381$n628 +.sym 14600 I2C_INPUT_LEN[2] +.sym 14601 I2C_INPUT_LEN[3] +.sym 14602 $false +.sym 14604 $abc$61381$n631 +.sym 14605 I2C_INPUT_LEN[0] +.sym 14606 I2C_INPUT_LEN[1] +.sym 14607 $abc$61381$n611_1 +.sym 14609 $abc$61381$n633 +.sym 14610 $abc$61381$n631 +.sym 14611 $abc$61381$n590 .sym 14612 $false -.sym 14614 $techmap\KEYBOARD.$procmux$5651_Y[1] -.sym 14615 $false -.sym 14616 $false +.sym 14614 $abc$61381$n899 +.sym 14615 I2C.i2c_state_machine +.sym 14616 $abc$61381$n947 .sym 14617 $false -.sym 14618 $auto$dff2dffe.cc:175:make_patterns_logic$89305 +.sym 14618 $true .sym 14619 CLK$2$2 -.sym 14620 $logic_not$top.v:66$10_Y$2 -.sym 14621 $abc$92916$n1050 -.sym 14622 $abc$92916$n906 -.sym 14623 $techmap\KEYBOARD.$procmux$5651_Y[1] -.sym 14624 $abc$92916$n1031 -.sym 14625 $abc$92916$n911 -.sym 14626 $abc$92916$n862 -.sym 14627 KEYBOARD.report[2][7] -.sym 14628 KEYBOARD.report[2][3] -.sym 14695 KEYBOARD.kbd_code_hid[4] -.sym 14696 KEYBOARD.kbd_code_hid[5] -.sym 14697 KEYBOARD.report[2][4] -.sym 14698 KEYBOARD.report[2][5] -.sym 14700 KEYBOARD.kbd_code_hid[1] -.sym 14701 KEYBOARD.kbd_code_hid[0] -.sym 14702 KEYBOARD.report[2][0] -.sym 14703 KEYBOARD.report[2][1] -.sym 14705 $abc$92916$n908 -.sym 14706 $abc$92916$n909 -.sym 14707 $abc$92916$n910 -.sym 14708 $false -.sym 14710 KEYBOARD.kbd_code_hid[3] -.sym 14711 KEYBOARD.kbd_code_hid[2] -.sym 14712 KEYBOARD.report[2][2] -.sym 14713 KEYBOARD.report[2][3] -.sym 14715 KEYBOARD.report[2][0] -.sym 14716 KEYBOARD.report[2][1] -.sym 14717 KEYBOARD.report[2][2] -.sym 14718 KEYBOARD.report[2][3] -.sym 14720 wr_cnt[0] -.sym 14721 wr_cnt[1] -.sym 14722 $sub$top.v:122$51_Y[0] -.sym 14723 $sub$top.v:122$51_Y[2] -.sym 14725 $false -.sym 14726 wr_cnt[2] -.sym 14727 $true$2 -.sym 14728 $auto$alumacc.cc:484:replace_alu$57671[1] -.sym 14730 $techmap\KEYBOARD.$procmux$5651_Y[2] -.sym 14731 $false -.sym 14732 $false +.sym 14620 $false +.sym 14621 $abc$61381$n611_1 +.sym 14622 $abc$61381$n613 +.sym 14623 $abc$61381$n590 +.sym 14624 $abc$61381$n580 +.sym 14625 $auto$alumacc.cc:483:replace_alu$36644[0] +.sym 14626 $auto$alumacc.cc:483:replace_alu$36662[3] +.sym 14627 KEYBOARD.report[0][7] +.sym 14628 KEYBOARD.report[0][4] +.sym 14695 I2C.byte_counter[0] +.sym 14696 I2C.byte_counter[2] +.sym 14697 I2C.byte_counter[3] +.sym 14698 I2C.byte_counter[1] +.sym 14700 $abc$61381$n585 +.sym 14701 $abc$61381$n586 +.sym 14702 I2C.is_read +.sym 14703 $false +.sym 14705 $abc$61381$n581 +.sym 14706 I2C.byte_counter[1] +.sym 14707 $abc$61381$n582 +.sym 14708 $abc$61381$n578 +.sym 14710 I2C.is_read +.sym 14711 $techmap\I2C.$procmux$19301_Y +.sym 14712 I2C.i2c_state_machine +.sym 14713 I2C.i2c_start_latency +.sym 14715 I2C.byte_counter[1] +.sym 14716 $abc$61381$n589 +.sym 14717 $abc$61381$n577 +.sym 14718 $abc$61381$n583 +.sym 14720 I2C.byte_counter[0] +.sym 14721 $abc$61381$n590 +.sym 14722 I2C.byte_counter[2] +.sym 14723 I2C.byte_counter[3] +.sym 14725 $abc$61381$n584 +.sym 14726 $abc$61381$n587 +.sym 14727 RESET$2 +.sym 14728 $abc$61381$n559 +.sym 14730 I2C.byte_counter[0] +.sym 14731 I2C.byte_counter[1] +.sym 14732 $abc$61381$n581 .sym 14733 $false -.sym 14734 $auto$dff2dffe.cc:175:make_patterns_logic$90124 -.sym 14735 CLK$2$2 -.sym 14736 $logic_not$top.v:66$10_Y$2 -.sym 14737 $abc$92916$n751 -.sym 14738 $auto$simplemap.cc:309:simplemap_lut$69070[7] -.sym 14739 $abc$92916$n750 -.sym 14741 $abc$92916$n752 -.sym 14742 $abc$92916$n759 -.sym 14744 UART.tx_bit_counter[0] -.sym 14811 $abc$92916$n966 -.sym 14812 wr_cnt[3] -.sym 14813 ring_wr[0] -.sym 14814 $abc$92916$n687 -.sym 14816 $auto$alumacc.cc:470:replace_alu$57551.B_buf[3] -.sym 14817 $false -.sym 14818 $false +.sym 14737 $abc$61381$n636 +.sym 14738 $abc$61381$n862 +.sym 14739 $abc$61381$n578 +.sym 14740 $abc$61381$n574 +.sym 14741 $abc$61381$n980_1 +.sym 14742 $abc$61381$n883 +.sym 14743 i2c_input_data_type[3] +.sym 14744 i2c_input_data_type[0] +.sym 14811 I2C.received_byte[0] +.sym 14812 I2C.received_byte[1] +.sym 14813 $abc$61381$n611_1 +.sym 14814 $abc$61381$n601 +.sym 14816 $abc$61381$n596 +.sym 14817 I2C.byte_counter[0] +.sym 14818 I2C.byte_counter[1] .sym 14819 $false -.sym 14821 wr_cnt[0] -.sym 14822 wr_cnt[1] -.sym 14823 wr_cnt[2] -.sym 14824 wr_cnt[3] -.sym 14826 $2\ring_wr[3:0][0] -.sym 14827 $abc$92916$n965 -.sym 14828 $2\INT[0:0] +.sym 14821 $abc$61381$n602 +.sym 14822 $abc$61381$n595 +.sym 14823 $abc$61381$n581 +.sym 14824 $false +.sym 14826 $abc$61381$n579 +.sym 14827 $abc$61381$n603 +.sym 14828 $abc$61381$n606 .sym 14829 $false -.sym 14836 $add$top.v:184$103_Y[3] -.sym 14837 $2\ring_rd[3:0][0] -.sym 14838 $abc$92916$n733 +.sym 14831 I2C_OUTPUT_TYPE[2] +.sym 14832 I2C_OUTPUT_TYPE[1] +.sym 14833 I2C_OUTPUT_TYPE[0] +.sym 14834 $false +.sym 14836 $abc$61381$n601 +.sym 14837 $abc$61381$n597 +.sym 14838 $abc$61381$n590 .sym 14839 $false -.sym 14841 UART.tx_bit_counter[0] -.sym 14842 UART.tx_bit_counter[1] -.sym 14843 $false -.sym 14844 $false -.sym 14846 $auto$alumacc.cc:483:replace_alu$57552[1] -.sym 14847 $false +.sym 14841 I2C.received_byte[0] +.sym 14842 I2C.received_byte[1] +.sym 14843 $abc$61381$n578 +.sym 14844 $abc$61381$n610 +.sym 14846 $abc$61381$n889 +.sym 14847 $2\INT[0:0] .sym 14848 $false .sym 14849 $false -.sym 14850 $auto$dff2dffe.cc:175:make_patterns_logic$85121 +.sym 14850 $auto$dff2dffe.cc:175:make_patterns_logic$51864$2 .sym 14851 CLK$2$2 -.sym 14852 $eq$top.v:243$154_Y -.sym 14853 $abc$92916$n775 -.sym 14854 $abc$92916$n774 -.sym 14855 $abc$92916$n781 -.sym 14856 $abc$92916$n779 -.sym 14857 $abc$92916$n749_1 -.sym 14858 $abc$92916$n780 -.sym 14859 $abc$92916$n776 -.sym 14860 ring_wr[3] -.sym 14927 $abc$92916$n774 -.sym 14928 $abc$92916$n676 -.sym 14929 $abc$92916$n783 -.sym 14930 $abc$92916$n766 -.sym 14932 $abc$92916$n767_1 -.sym 14933 $abc$92916$n757 -.sym 14934 $abc$92916$n752 -.sym 14935 $abc$92916$n773_1 -.sym 14937 $abc$92916$n676 -.sym 14938 $abc$92916$n686 -.sym 14939 $abc$92916$n728 -.sym 14940 $false -.sym 14942 $abc$92916$n768 -.sym 14943 $abc$92916$n733 -.sym 14944 $abc$92916$n686 -.sym 14945 $abc$92916$n728 -.sym 14947 $abc$92916$n686 -.sym 14948 $abc$92916$n734 -.sym 14949 I2C.byte_counter[2] +.sym 14852 $logic_not$top.v:60$10_Y$2 +.sym 14853 $abc$61381$n557 +.sym 14854 $abc$61381$n982 +.sym 14855 $abc$61381$n637 +.sym 14856 $abc$61381$n836 +.sym 14857 $abc$61381$n623 +.sym 14858 $abc$61381$n579 +.sym 14859 KEYBOARD.report[0][0] +.sym 14860 KEYBOARD.report[0][5] +.sym 14927 I2C.i2c_state_machine +.sym 14928 I2C.i2c_start_latency +.sym 14929 $techmap\I2C.$procmux$19301_Y +.sym 14930 $false +.sym 14932 $abc$61381$n587 +.sym 14933 $abc$61381$n607 +.sym 14934 $abc$61381$n614_1 +.sym 14935 $abc$61381$n594 +.sym 14937 $abc$61381$n598 +.sym 14938 $abc$61381$n605 +.sym 14939 $abc$61381$n579 +.sym 14940 $abc$61381$n609 +.sym 14942 $abc$61381$n600 +.sym 14943 $abc$61381$n598 +.sym 14944 $abc$61381$n579 +.sym 14945 $false +.sym 14947 $abc$61381$n618_1 +.sym 14948 $abc$61381$n613 +.sym 14949 $abc$61381$n615 .sym 14950 $false -.sym 14952 $abc$92916$n755 -.sym 14953 $abc$92916$n768 -.sym 14954 $abc$92916$n772 -.sym 14955 $abc$92916$n761 -.sym 14957 i2c_input_data_type[1] -.sym 14958 i2c_input_data_type[0] -.sym 14959 i2c_input_data_type[3] -.sym 14960 i2c_input_data_type[2] -.sym 14962 $2\ring_wr[3:0][1] +.sym 14952 $abc$61381$n613 +.sym 14953 $abc$61381$n612 +.sym 14954 $abc$61381$n608 +.sym 14955 $false +.sym 14957 I2C.i2c_state_machine +.sym 14958 I2C.i2c_start_latency +.sym 14959 $false +.sym 14960 $false +.sym 14962 $techmap\I2C.$procmux$19301_Y .sym 14963 $false .sym 14964 $false .sym 14965 $false -.sym 14966 $auto$dff2dffe.cc:175:make_patterns_logic$73370 +.sym 14966 $auto$dff2dffe.cc:158:make_patterns_logic$53269 .sym 14967 CLK$2$2 -.sym 14968 $logic_not$top.v:66$10_Y$2 -.sym 14969 $abc$92916$n733 -.sym 14970 $auto$alumacc.cc:483:replace_alu$57552[0] -.sym 14972 $abc$92916$n1180_1 -.sym 14973 $techmap\UART.$sub$uart.v:40$540_Y[0] -.sym 14974 $abc$92916$n730 -.sym 14975 $abc$92916$n734 -.sym 14976 UART.tx_line -.sym 15043 $abc$92916$n747 -.sym 15044 $abc$92916$n756 -.sym 15045 $abc$92916$n744 -.sym 15046 $abc$92916$n760 -.sym 15048 $abc$92916$n753 -.sym 15049 $abc$92916$n758 -.sym 15050 $abc$92916$n757 -.sym 15051 $false -.sym 15053 $abc$92916$n730 -.sym 15054 $abc$92916$n754 +.sym 14968 $false +.sym 14969 $abc$61381$n638 +.sym 14970 $auto$dff2dffe.cc:175:make_patterns_logic$48548 +.sym 14971 $auto$dff2dffe.cc:175:make_patterns_logic$52500 +.sym 14972 $abc$61381$n863 +.sym 14973 $auto$dff2dffe.cc:175:make_patterns_logic$48604 +.sym 14974 $abc$61381$n571 +.sym 14975 $abc$61381$n570 +.sym 14976 INT +.sym 15043 $abc$61381$n598 +.sym 15044 $abc$61381$n605 +.sym 15045 I2C.received_byte[0] +.sym 15046 I2C.received_byte[1] +.sym 15048 I2C.received_byte[0] +.sym 15049 I2C.received_byte[3] +.sym 15050 I2C.received_byte[1] +.sym 15051 I2C.received_byte[2] +.sym 15053 $abc$61381$n562 +.sym 15054 $2\INT[0:0] .sym 15055 $false .sym 15056 $false -.sym 15058 $abc$92916$n749_1 -.sym 15059 $abc$92916$n734 -.sym 15060 $abc$92916$n759 -.sym 15061 I2C.is_read -.sym 15063 $abc$92916$n755 -.sym 15064 i2c_input_data_type[0] -.sym 15065 $abc$92916$n753 -.sym 15066 $abc$92916$n748 -.sym 15068 I2C.byte_counter[2] -.sym 15069 I2C.byte_counter[3] -.sym 15070 I2C.byte_counter[0] -.sym 15071 I2C.byte_counter[1] -.sym 15073 $abc$92916$n683 -.sym 15074 $abc$92916$n749_1 -.sym 15075 $abc$92916$n734 -.sym 15076 I2C.is_read -.sym 15078 I2C.received_byte[1] -.sym 15079 $false -.sym 15080 $false -.sym 15081 $false -.sym 15082 $auto$dff2dffe.cc:175:make_patterns_logic$78149 -.sym 15083 CLK$2$2 -.sym 15084 $false -.sym 15085 $abc$92916$n718 -.sym 15086 $abc$92916$n720_1 -.sym 15087 $2\ring_rd[3:0][1] -.sym 15088 $abc$92916$n719 -.sym 15089 ring_rd[0] -.sym 15090 ring_rd[1] -.sym 15091 ring_rd[2] -.sym 15092 ring_rd[3] -.sym 15159 I2C.wr -.sym 15160 last_wr +.sym 15058 I2C.is_read +.sym 15059 $abc$61381$n561 +.sym 15060 $abc$61381$n570 +.sym 15061 $false +.sym 15063 $2\INT[0:0] +.sym 15064 I2C.is_read +.sym 15065 $abc$61381$n562 +.sym 15066 $false +.sym 15068 $abc$61381$n559 +.sym 15069 $abc$61381$n593 +.sym 15070 $abc$61381$n586 +.sym 15071 I2C.is_read +.sym 15073 $abc$61381$n592 +.sym 15074 $abc$61381$n619_1 +.sym 15075 $auto$rtlil.cc:1692:NotGate$61156 +.sym 15076 RESET$2 +.sym 15078 $2\INT[0:0] +.sym 15079 $abc$61381$n568 +.sym 15080 $abc$61381$n562 +.sym 15081 $abc$61381$n572 +.sym 15085 $abc$61381$n860 +.sym 15086 $abc$61381$n854 +.sym 15087 $auto$dff2dffe.cc:175:make_patterns_logic$59740 +.sym 15088 $abc$61381$n669 +.sym 15089 $abc$61381$n672 +.sym 15090 $abc$61381$n859_1 +.sym 15091 $abc$61381$n855_1 +.sym 15092 temp_output_report[0] +.sym 15159 I2C.received_byte[3] +.sym 15160 I2C.received_byte[2] .sym 15161 $false .sym 15162 $false -.sym 15164 $auto$alumacc.cc:470:replace_alu$57551.B_buf[2] -.sym 15165 $false -.sym 15166 $false -.sym 15167 $false -.sym 15169 $abc$92916$n729 -.sym 15170 $abc$92916$n730 -.sym 15171 I2C.byte_counter[0] -.sym 15172 I2C.byte_counter[1] -.sym 15174 $abc$92916$n687 -.sym 15175 $abc$92916$n688 +.sym 15164 $abc$61381$n852 +.sym 15165 $abc$61381$n598 +.sym 15166 $abc$61381$n618_1 +.sym 15167 $abc$61381$n604 +.sym 15169 $abc$61381$n599 +.sym 15170 I2C.received_byte[4] +.sym 15171 I2C.received_byte[5] +.sym 15172 $false +.sym 15174 $abc$61381$n598 +.sym 15175 $abc$61381$n604 .sym 15176 $false .sym 15177 $false -.sym 15179 $abc$92916$n1086_1 -.sym 15180 $abc$92916$n1067_1 -.sym 15181 $2\uart_double_ff[0:0] -.sym 15182 $abc$92916$n688 -.sym 15184 $abc$92916$n1079 -.sym 15185 $abc$92916$n809 -.sym 15186 $2\uart_double_ff[0:0] -.sym 15187 $abc$92916$n688 -.sym 15189 $abc$92916$n1084 -.sym 15190 $abc$92916$n794 -.sym 15191 $2\uart_double_ff[0:0] -.sym 15192 $abc$92916$n688 -.sym 15194 $abc$92916$n1075 -.sym 15195 $abc$92916$n808 -.sym 15196 $2\uart_double_ff[0:0] -.sym 15197 $abc$92916$n688 -.sym 15198 $auto$dff2dffe.cc:175:make_patterns_logic$79939 +.sym 15179 $abc$61381$n604 +.sym 15180 $abc$61381$n599 +.sym 15181 I2C.received_byte[4] +.sym 15182 I2C.received_byte[5] +.sym 15184 $abc$61381$n605 +.sym 15185 I2C.received_byte[0] +.sym 15186 I2C.received_byte[1] +.sym 15187 $false +.sym 15189 $abc$61381$n851 +.sym 15190 $abc$61381$n780_1 +.sym 15191 $abc$61381$n850 +.sym 15192 I2C.received_byte[0] +.sym 15194 I2C.FLT_SDA.out +.sym 15195 $false +.sym 15196 $false +.sym 15197 $false +.sym 15198 $auto$dff2dffe.cc:175:make_patterns_logic$53126 .sym 15199 CLK$2$2 .sym 15200 $false -.sym 15201 $add$top.v:177$101_Y[0] -.sym 15202 $2\ring_rd[3:0][2] -.sym 15204 $2\ring_rd[3:0][3] -.sym 15205 $2\ring_rd[3:0][0] -.sym 15206 $auto$wreduce.cc:310:run$57522[5] -.sym 15207 $add$top.v:177$101_Y[3] -.sym 15208 KEYBOARD.row_counter[2] -.sym 15237 $true -.sym 15274 $auto$alumacc.cc:483:replace_alu$57552[0]$2 -.sym 15275 $false -.sym 15276 $auto$alumacc.cc:483:replace_alu$57552[0] -.sym 15277 $false -.sym 15278 $false -.sym 15279 $auto$alumacc.cc:484:replace_alu$57553[1] -.sym 15281 $false -.sym 15282 $auto$alumacc.cc:483:replace_alu$57552[1] -.sym 15284 $auto$alumacc.cc:484:replace_alu$57553[2] -.sym 15286 $false -.sym 15287 $auto$alumacc.cc:483:replace_alu$57552[2] -.sym 15289 $auto$alumacc.cc:484:replace_alu$57553[3]$2 -.sym 15291 $false -.sym 15292 $auto$alumacc.cc:483:replace_alu$57552[3] -.sym 15298 $auto$alumacc.cc:484:replace_alu$57553[3]$2 -.sym 15305 I2C.received_byte[2] -.sym 15306 $false -.sym 15307 $false -.sym 15308 $false -.sym 15310 I2C.received_byte[0] +.sym 15206 $auto$alumacc.cc:484:replace_alu$36629[7] +.sym 15207 $abc$61381$n668 +.sym 15208 KBD_FREEZE +.sym 15275 $abc$61381$n668 +.sym 15276 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15277 $abc$61381$n721 +.sym 15278 RESET$2 +.sym 15280 $abc$61381$n774 +.sym 15281 kbd_report[3][5] +.sym 15282 $false +.sym 15283 $false +.sym 15295 $auto$alumacc.cc:484:replace_alu$36650[7] +.sym 15296 $abc$61381$n612 +.sym 15297 $auto$alumacc.cc:484:replace_alu$36685[7] +.sym 15298 $false +.sym 15300 $abc$61381$n682 +.sym 15301 $abc$61381$n681 +.sym 15302 $abc$61381$n678 +.sym 15303 $false +.sym 15310 I2C.FLT_SDA.out .sym 15311 $false .sym 15312 $false .sym 15313 $false -.sym 15314 $auto$dff2dffe.cc:175:make_patterns_logic$78149 +.sym 15314 $auto$dff2dffe.cc:175:make_patterns_logic$53260 .sym 15315 CLK$2$2 .sym 15316 $false -.sym 15317 $abc$92916$n1186 -.sym 15318 $abc$92916$n1134 -.sym 15319 $abc$92916$n1184 -.sym 15320 $abc$92916$n1179 -.sym 15321 $abc$92916$n1133 -.sym 15322 $abc$92916$n740 -.sym 15323 UART_TX_DATA[6] -.sym 15324 UART_TX_DATA[2] -.sym 15391 UART_TX_DATA[4] -.sym 15392 UART_TX_DATA[0] -.sym 15393 $techmap\UART.$sub$uart.v:40$540_Y[2] +.sym 15317 $abc$61381$n680 +.sym 15318 $abc$61381$n778 +.sym 15319 $abc$61381$n733 +.sym 15320 $abc$61381$n728 +.sym 15321 $auto$dff2dffe.cc:175:make_patterns_logic$58513 +.sym 15322 $abc$61381$n727 +.sym 15323 $abc$61381$n678 +.sym 15324 KEYBOARD.report[2][1] +.sym 15391 $abc$61381$n677 +.sym 15392 $abc$61381$n673 +.sym 15393 $false .sym 15394 $false -.sym 15396 I2C.byte_counter[2] -.sym 15397 I2C.byte_counter[3] -.sym 15398 $false -.sym 15399 $false -.sym 15401 $abc$92916$n1132_1 -.sym 15402 I2C.byte_counter[4] -.sym 15403 I2C.byte_counter[6] -.sym 15404 I2C.byte_counter[7] -.sym 15406 $abc$92916$n729 -.sym 15407 I2C.byte_counter[0] -.sym 15408 I2C.byte_counter[1] -.sym 15409 I2C.byte_counter[5] -.sym 15411 UART_TX_DATA[5] -.sym 15412 UART_TX_DATA[1] -.sym 15413 $techmap\UART.$sub$uart.v:40$540_Y[2] -.sym 15414 $false -.sym 15416 I2C.received_byte[4] -.sym 15417 $abc$92916$n1105 -.sym 15418 I2C.is_read -.sym 15419 $false -.sym 15421 I2C.received_byte[5] -.sym 15422 $abc$92916$n1107 -.sym 15423 I2C.is_read -.sym 15424 $false -.sym 15426 I2C.received_byte[1] -.sym 15427 $abc$92916$n1099 -.sym 15428 I2C.is_read -.sym 15429 $false -.sym 15430 $auto$dff2dffe.cc:175:make_patterns_logic$71223 -.sym 15431 CLK$2$2 -.sym 15432 $auto$rtlil.cc:1692:NotGate$92623 -.sym 15433 $techmap\I2C.$procmux$19804_Y -.sym 15435 $abc$92916$n831_1 -.sym 15436 $abc$92916$n735 -.sym 15437 $abc$92916$n1183 -.sym 15438 $auto$alumacc.cc:483:replace_alu$57684[1] -.sym 15439 UART_TX_DATA[7] -.sym 15440 UART_TX_DATA[3] -.sym 15507 $abc$92916$n1111 -.sym 15508 $abc$92916$n1103 -.sym 15509 $abc$92916$n831_1 -.sym 15510 $techmap\I2C.$sub$i2c_slave.v:143$226_Y[2] -.sym 15512 $abc$92916$n1130 -.sym 15513 $abc$92916$n1133 -.sym 15514 $false -.sym 15515 $false -.sym 15517 I2C.FLT_SCL.out -.sym 15518 I2C.FLT_SDA.out -.sym 15519 I2C.SDA_LAST -.sym 15520 I2C.i2c_state_machine -.sym 15522 $abc$92916$n1131 -.sym 15523 $techmap\I2C.$procmux$19804_Y -.sym 15524 $false -.sym 15525 $false -.sym 15527 I2C.FLT_SCL.out -.sym 15528 I2C.FLT_SDA.out -.sym 15529 I2C.SDA_LAST -.sym 15530 I2C.SDA_DIR -.sym 15532 $abc$92916$n1130 -.sym 15533 $abc$92916$n1135 -.sym 15534 $abc$92916$n823 -.sym 15535 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 15537 $abc$92916$n1119 -.sym 15538 I2C.i2c_state_machine -.sym 15539 $abc$92916$n1168 -.sym 15540 $false -.sym 15542 $abc$92916$n820 -.sym 15543 $abc$92916$n1164_1 -.sym 15544 I2C.received_byte[0] +.sym 15396 $abc$61381$n667 +.sym 15397 $abc$61381$n718 +.sym 15398 $abc$61381$n719 +.sym 15399 $abc$61381$n720 +.sym 15401 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15402 $abc$61381$n668 +.sym 15403 $abc$61381$n677 +.sym 15404 $abc$61381$n673 +.sym 15406 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15407 $abc$61381$n668 +.sym 15408 $abc$61381$n684 +.sym 15409 $false +.sym 15411 $abc$61381$n736 +.sym 15412 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15413 $abc$61381$n668 +.sym 15414 RESET$2 +.sym 15416 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15417 $abc$61381$n668 +.sym 15418 $abc$61381$n673 +.sym 15419 $abc$61381$n735 +.sym 15421 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15422 $abc$61381$n668 +.sym 15423 $abc$61381$n673 +.sym 15424 $abc$61381$n678 +.sym 15426 RESET$2 +.sym 15427 $abc$61381$n668 +.sym 15428 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15429 $abc$61381$n673 +.sym 15433 $abc$61381$n833 +.sym 15434 $abc$61381$n730 +.sym 15435 $abc$61381$n804 +.sym 15436 $abc$61381$n825 +.sym 15437 $abc$61381$n731 +.sym 15438 $abc$61381$n789 +.sym 15439 $abc$61381$n819 +.sym 15440 $abc$61381$n729 +.sym 15507 $abc$61381$n771 +.sym 15508 $auto$wreduce.cc:310:run$36584[0] +.sym 15509 $auto$wreduce.cc:310:run$36584[2] +.sym 15510 KEYBOARD.report[2][4] +.sym 15512 $abc$61381$n816 +.sym 15513 $abc$61381$n817_1 +.sym 15514 $abc$61381$n818 +.sym 15515 $abc$61381$n819 +.sym 15517 $abc$61381$n771 +.sym 15518 $auto$wreduce.cc:310:run$36584[0] +.sym 15519 $auto$wreduce.cc:310:run$36584[2] +.sym 15520 $false +.sym 15522 kbd_report[6][6] +.sym 15523 $abc$61381$n770 +.sym 15524 $abc$61381$n825 +.sym 15525 $abc$61381$n824 +.sym 15527 $abc$61381$n772 +.sym 15528 kbd_report[4][4] +.sym 15529 $false +.sym 15530 $false +.sym 15532 $abc$61381$n772 +.sym 15533 kbd_report[4][6] +.sym 15534 $false +.sym 15535 $false +.sym 15537 kbd_report[6][4] +.sym 15538 $abc$61381$n770 +.sym 15539 $abc$61381$n811 +.sym 15540 $abc$61381$n810 +.sym 15542 KEYBOARD.kbd_code_hid[5] +.sym 15543 $false +.sym 15544 $false .sym 15545 $false -.sym 15546 $true +.sym 15546 $auto$dff2dffe.cc:175:make_patterns_logic$59214 .sym 15547 CLK$2$2 -.sym 15548 $false -.sym 15549 $abc$92916$n822 -.sym 15550 $auto$alumacc.cc:470:replace_alu$57683.C[1] -.sym 15551 $abc$92916$n825 -.sym 15552 $abc$92916$n819 -.sym 15553 $auto$wreduce.cc:310:run$57516[0] -.sym 15554 I2C.byte_counter[0] -.sym 15555 I2C.byte_counter[1] -.sym 15556 I2C.SDA_LAST -.sym 15623 I2C.FLT_SCL.out -.sym 15624 I2C.FLT_SDA.out -.sym 15625 I2C.SDA_LAST -.sym 15626 I2C.wr -.sym 15628 $abc$92916$n1135 -.sym 15629 $abc$92916$n1117 -.sym 15630 $abc$92916$n820 -.sym 15631 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 15633 $auto$alumacc.cc:484:replace_alu$57680[7] -.sym 15634 $abc$92916$n820 -.sym 15635 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 15636 $abc$92916$n823 -.sym 15638 $abc$92916$n1117 -.sym 15639 $abc$92916$n1119 -.sym 15640 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 15641 I2C.FLT_SDA.out -.sym 15643 $techmap\I2C.$procmux$19804_Y -.sym 15644 I2C.i2c_bit_counter[0] -.sym 15645 $false -.sym 15646 $false -.sym 15648 $abc$92916$n1155 -.sym 15649 $auto$simplemap.cc:250:simplemap_eqne$60443[0] -.sym 15650 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 15651 $false -.sym 15653 $abc$92916$n1154 -.sym 15654 $abc$92916$n1151 -.sym 15655 $auto$alumacc.cc:483:replace_alu$57687[0] -.sym 15656 $abc$92916$n823 -.sym 15658 $abc$92916$n1125 -.sym 15659 $abc$92916$n1124 -.sym 15660 $abc$92916$n1117 -.sym 15661 $abc$92916$n1115 -.sym 15662 $true -.sym 15663 CLK$2$2 -.sym 15664 $false -.sym 15665 $abc$92916$n1162 -.sym 15666 $abc$92916$n1155 -.sym 15667 $abc$92916$n824 -.sym 15668 $auto$alumacc.cc:483:replace_alu$57573[3] -.sym 15669 $abc$92916$n1118 -.sym 15670 $auto$simplemap.cc:250:simplemap_eqne$60443[4] -.sym 15671 I2C.i2c_bit_counter[3] -.sym 15672 I2C.is_ack -.sym 15739 $abc$92916$n820 -.sym 15740 $abc$92916$n1137 -.sym 15741 $abc$92916$n1128 -.sym 15742 $abc$92916$n1136 -.sym 15744 $abc$92916$n1122 -.sym 15745 $abc$92916$n1118 -.sym 15746 $abc$92916$n1120 +.sym 15548 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15549 $abc$61381$n695 +.sym 15550 $abc$61381$n696 +.sym 15551 $auto$dff2dffe.cc:175:make_patterns_logic$55122 +.sym 15552 $abc$61381$n697 +.sym 15553 $abc$61381$n692 +.sym 15554 $abc$61381$n694 +.sym 15555 kbd_report[6][5] +.sym 15556 kbd_report[6][6] +.sym 15623 $abc$61381$n667 +.sym 15624 $abc$61381$n676 +.sym 15625 $abc$61381$n710 +.sym 15626 $abc$61381$n711 +.sym 15628 $abc$61381$n667 +.sym 15629 $abc$61381$n676 +.sym 15630 $abc$61381$n701 +.sym 15631 $abc$61381$n703 +.sym 15633 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15634 $abc$61381$n668 +.sym 15635 $abc$61381$n684 +.sym 15636 $abc$61381$n685 +.sym 15638 $abc$61381$n772 +.sym 15639 kbd_report[4][5] +.sym 15640 kbd_report[6][5] +.sym 15641 $abc$61381$n770 +.sym 15643 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15644 $abc$61381$n668 +.sym 15645 $abc$61381$n684 +.sym 15646 $abc$61381$n702 +.sym 15648 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15649 $abc$61381$n668 +.sym 15650 $abc$61381$n684 +.sym 15651 $abc$61381$n686 +.sym 15653 $abc$61381$n686 +.sym 15654 $abc$61381$n689 +.sym 15655 $false +.sym 15656 $false +.sym 15658 $abc$61381$n686 +.sym 15659 $abc$61381$n689 +.sym 15660 $abc$61381$n692 +.sym 15661 $abc$61381$n693 +.sym 15666 kbd_report[6][3] +.sym 15668 kbd_report[6][7] +.sym 15671 kbd_report[6][4] +.sym 15744 KEYBOARD.kbd_code_hid[5] +.sym 15745 $false +.sym 15746 $false .sym 15747 $false -.sym 15749 $abc$92916$n1129 -.sym 15750 $abc$92916$n1135 -.sym 15751 $auto$alumacc.cc:484:replace_alu$57574[3] +.sym 15749 KEYBOARD.kbd_code_hid[4] +.sym 15750 $false +.sym 15751 $false .sym 15752 $false -.sym 15754 $abc$92916$n1120 -.sym 15755 $abc$92916$n1116 -.sym 15756 $abc$92916$n1123 -.sym 15757 $abc$92916$n823 -.sym 15759 $abc$92916$n1129 -.sym 15760 $abc$92916$n1135 -.sym 15761 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 15762 $false -.sym 15764 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 15765 $abc$92916$n1122 -.sym 15766 $abc$92916$n1118 +.sym 15764 KEYBOARD.kbd_code_hid[6] +.sym 15765 $false +.sym 15766 $false .sym 15767 $false -.sym 15769 $abc$92916$n1118 -.sym 15770 $abc$92916$n1122 -.sym 15771 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 15772 $abc$92916$n820 -.sym 15774 $abc$92916$n1116 -.sym 15775 $abc$92916$n1166 -.sym 15776 $abc$92916$n820 -.sym 15777 $abc$92916$n823 -.sym 15778 $true +.sym 15778 $auto$dff2dffe.cc:175:make_patterns_logic$56573 .sym 15779 CLK$2$2 -.sym 15780 $logic_not$top.v:66$10_Y$2 -.sym 15825 RESET -.sym 15881 $abc$92916$n850 -.sym 15883 $abc$92916$n637 -.sym 15884 $abc$92916$n645 -.sym 15885 $abc$92916$n849 -.sym 15886 $abc$92916$n635 -.sym 15887 $abc$92916$n620 -.sym 15888 $abc$92916$n848 -.sym 15956 $techmap\I2C.FLT_SCL.$procmux$1018_Y[0] -.sym 15957 $abc$92916$n934 -.sym 15958 $false -.sym 15959 $false -.sym 15961 $abc$92916$n653 -.sym 15962 KEYBOARD.is_pressed -.sym 15963 KEYBOARD.COLS_SHADOW[3] -.sym 15964 $abc$92916$n647 -.sym 15966 I2C.FLT_SCL.counter[0] -.sym 15967 I2C.FLT_SCL.counter[1] -.sym 15968 $false -.sym 15969 $false -.sym 15971 $abc$92916$n644 -.sym 15972 $abc$92916$n638 -.sym 15973 $abc$92916$n613 -.sym 15974 $abc$92916$n650 -.sym 15976 $techmap\I2C.FLT_SCL.$procmux$1018_Y[1] -.sym 15977 $auto$wreduce.cc:310:run$57520[2] -.sym 15978 I2C.FLT_SCL.out -.sym 15979 I2C.SCLF -.sym 15981 $abc$92916$n651 -.sym 15982 $abc$92916$n652 +.sym 15780 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 15825 $auto$dff2dffe.cc:175:make_patterns_logic$51864 +.sym 15971 KBD_COLUMNS[2]$2 +.sym 15972 $false +.sym 15973 $false +.sym 15974 $false +.sym 15976 KBD_COLUMNS[0]$2 +.sym 15977 $false +.sym 15978 $false +.sym 15979 $false +.sym 15981 KBD_COLUMNS[5]$2 +.sym 15982 $false .sym 15983 $false .sym 15984 $false -.sym 15986 $abc$92916$n645 -.sym 15987 $abc$92916$n647 -.sym 15988 $false -.sym 15989 $false -.sym 15991 I2C.SCLF +.sym 15991 KBD_COLUMNS[4]$2 .sym 15992 $false .sym 15993 $false .sym 15994 $false -.sym 15995 $auto$dff2dffe.cc:175:make_patterns_logic$92275 +.sym 15995 $true .sym 15996 CLK$2$2 -.sym 15997 $logic_not$top.v:66$10_Y$2 +.sym 15997 $false .sym 15998 KBD_COLUMNS[6]$2 .sym 16000 KBD_COLUMNS[7]$2 -.sym 16002 $abc$92916$n1223_1 -.sym 16003 $abc$92916$n1230 -.sym 16004 $abc$92916$n1234_1 -.sym 16005 $abc$92916$n1242 -.sym 16006 $abc$92916$n1235_1 -.sym 16007 $auto$wreduce.cc:310:run$57523[0] -.sym 16008 KEYBOARD.ROWS_EN[8] -.sym 16009 KEYBOARD.ROWS_EN[14] -.sym 16074 $true -.sym 16111 I2C.FLT_SCL.counter[0]$2 +.sym 16003 KEYBOARD.COLS_SHADOW[6] +.sym 16004 KEYBOARD.COLS_SHADOW[7] +.sym 16005 I2C.SCLF +.sym 16006 I2C.SDAF +.sym 16007 KEYBOARD.COLS_SHADOW[1] +.sym 16008 KEYBOARD.COLS_SHADOW[3] .sym 16112 $false -.sym 16113 I2C.FLT_SCL.counter[0] +.sym 16113 $true$2 .sym 16114 $false .sym 16115 $false -.sym 16116 $auto$alumacc.cc:484:replace_alu$57691[1]$2 -.sym 16118 I2C.FLT_SCL.counter[1] -.sym 16119 $true$2 -.sym 16125 $auto$alumacc.cc:484:replace_alu$57691[1]$2 -.sym 16127 $abc$92916$n656 -.sym 16128 KEYBOARD.is_pressed -.sym 16129 KEYBOARD.COLS_SHADOW[1] -.sym 16130 $abc$92916$n651 -.sym 16132 $abc$92916$n660 -.sym 16133 $abc$92916$n662 -.sym 16134 $false -.sym 16135 $false -.sym 16137 $abc$92916$n655 -.sym 16138 $abc$92916$n657 -.sym 16139 $false -.sym 16140 $false -.sym 16142 $abc$92916$n659 -.sym 16143 $abc$92916$n654 -.sym 16144 $abc$92916$n612 -.sym 16145 $abc$92916$n664 -.sym 16147 $abc$92916$n665 -.sym 16148 KEYBOARD.is_pressed -.sym 16149 KEYBOARD.COLS_SHADOW[0] -.sym 16150 $abc$92916$n662 -.sym 16154 $abc$92916$n1239 -.sym 16155 $abc$92916$n1236 -.sym 16156 $techmap\KEYBOARD.$procmux$8869_Y[7] -.sym 16157 $techmap\KEYBOARD.$procmux$8869_Y[2] -.sym 16158 $abc$92916$n1244_1 -.sym 16159 $abc$92916$n1248 -.sym 16160 $abc$92916$n636 -.sym 16161 I2C.FLT_SDA.out -.sym 16228 KEYBOARD.RAM.r_data[7] -.sym 16229 KEYBOARD.temp[7] -.sym 16230 $abc$92916$n628 -.sym 16231 KEYBOARD.COLS_SHADOW[7] -.sym 16233 $abc$92916$n661 -.sym 16234 KEYBOARD.is_pressed -.sym 16235 KEYBOARD.COLS_SHADOW[2] -.sym 16236 $abc$92916$n657 -.sym 16238 KEYBOARD.temp[7] -.sym 16239 KEYBOARD.RAM.r_data[7] -.sym 16240 $abc$92916$n628 -.sym 16241 $false -.sym 16243 KEYBOARD.COLS_SHADOW[3] -.sym 16244 $abc$92916$n653 -.sym 16245 $abc$92916$n647 -.sym 16246 $false -.sym 16248 KEYBOARD.COLS_SHADOW[2] -.sym 16249 $abc$92916$n661 -.sym 16250 $abc$92916$n657 -.sym 16251 $false -.sym 16253 $abc$92916$n662 -.sym 16254 $abc$92916$n1234_1 -.sym 16255 $abc$92916$n1239 -.sym 16256 $abc$92916$n1236 -.sym 16258 KEYBOARD.COLS_SHADOW[0] -.sym 16259 $abc$92916$n665 -.sym 16260 $abc$92916$n662 -.sym 16261 $false -.sym 16263 KEYBOARD.COLS_SHADOW[7] -.sym 16264 $abc$92916$n1221 -.sym 16265 $abc$92916$n621 +.sym 16122 $false +.sym 16123 $true$2 +.sym 16124 $false +.sym 16125 $false +.sym 16127 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[15] +.sym 16128 $false +.sym 16129 $false +.sym 16130 $false +.sym 16142 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[13] +.sym 16143 $false +.sym 16144 $false +.sym 16145 $false +.sym 16151 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 +.sym 16152 CLK$2$2 +.sym 16153 $auto$rtlil.cc:1692:NotGate$61332 +.sym 16154 $abc$61381$n1019 +.sym 16155 $abc$61381$n1010 +.sym 16156 $techmap\KEYBOARD.$add$matrix_kbd.v:240$336_Y[0] +.sym 16157 $abc$61381$n1008 +.sym 16158 $abc$61381$n1001 +.sym 16159 $abc$61381$n1018 +.sym 16160 $techmap\KEYBOARD.$procmux$8366_Y[2] +.sym 16161 I2C.FLT_SCL.out +.sym 16228 $abc$61381$n545 +.sym 16229 KEYBOARD.is_pressed +.sym 16230 KEYBOARD.COLS_SHADOW[2] +.sym 16231 $abc$61381$n541 +.sym 16233 $abc$61381$n1004_1 +.sym 16234 $abc$61381$n1005_1 +.sym 16235 $abc$61381$n526 +.sym 16236 $false +.sym 16238 $abc$61381$n515 +.sym 16239 $abc$61381$n525 +.sym 16240 $auto$wreduce.cc:310:run$36595[0] +.sym 16241 KEYBOARD.COLS_SHADOW[5] +.sym 16243 $abc$61381$n549 +.sym 16244 KEYBOARD.is_pressed +.sym 16245 KEYBOARD.COLS_SHADOW[0] +.sym 16246 $abc$61381$n546 +.sym 16248 $abc$61381$n533 +.sym 16249 KEYBOARD.is_pressed +.sym 16250 KEYBOARD.COLS_SHADOW[4] +.sym 16251 $abc$61381$n526 +.sym 16253 $auto$wreduce.cc:310:run$36594[0] +.sym 16254 $abc$61381$n526 +.sym 16255 $abc$61381$n1003 +.sym 16256 $abc$61381$n1006 +.sym 16258 KEYBOARD.is_pressed +.sym 16259 $abc$61381$n498_1 +.sym 16260 $abc$61381$n519 +.sym 16261 $abc$61381$n515 +.sym 16263 $abc$61381$n525 +.sym 16264 KEYBOARD.is_pressed +.sym 16265 KEYBOARD.COLS_SHADOW[5] .sym 16266 $false -.sym 16267 RESET$2 -.sym 16268 CLK$2$2 -.sym 16269 $false -.sym 16270 $abc$92916$n639 -.sym 16271 $abc$92916$n1227 -.sym 16272 $auto$wreduce.cc:310:run$57526[0] -.sym 16273 $abc$92916$n1226_1 -.sym 16274 $abc$92916$n1240_1 -.sym 16275 $abc$92916$n1237_1 -.sym 16276 $auto$wreduce.cc:310:run$57522[0] -.sym 16277 KEYBOARD.report[4][7] -.sym 16344 KEYBOARD.kbd_code_hid[3] -.sym 16345 KEYBOARD.kbd_code_hid[2] -.sym 16346 KEYBOARD.report[1][2] -.sym 16347 KEYBOARD.report[1][3] -.sym 16349 KEYBOARD.kbd_code_hid[4] -.sym 16350 KEYBOARD.kbd_code_hid[5] -.sym 16351 KEYBOARD.report[1][4] -.sym 16352 KEYBOARD.report[1][5] -.sym 16354 $abc$92916$n639 -.sym 16355 $abc$92916$n614 -.sym 16356 $abc$92916$n641 +.sym 16270 $abc$61381$n545 +.sym 16271 $abc$61381$n999 +.sym 16272 $techmap\KEYBOARD.$procmux$8366_Y[7] +.sym 16273 $abc$61381$n1022 +.sym 16274 KEYBOARD.temp[0] +.sym 16275 KEYBOARD.temp[2] +.sym 16276 KEYBOARD.temp[7] +.sym 16277 KEYBOARD.kbd_code[1] +.sym 16344 $abc$61381$n1007 +.sym 16345 $abc$61381$n1016_1 +.sym 16346 $abc$61381$n535 +.sym 16347 $false +.sym 16349 KEYBOARD.temp[4] +.sym 16350 KEYBOARD.RAM.r_data[4] +.sym 16351 $abc$61381$n507 +.sym 16352 $false +.sym 16354 KEYBOARD.temp[5] +.sym 16355 KEYBOARD.RAM.r_data[5] +.sym 16356 $abc$61381$n507 .sym 16357 $false -.sym 16359 $abc$92916$n916 -.sym 16360 $abc$92916$n917 -.sym 16361 $abc$92916$n918 -.sym 16362 $false -.sym 16364 KEYBOARD.kbd_code_hid[1] -.sym 16365 KEYBOARD.kbd_code_hid[0] -.sym 16366 KEYBOARD.report[1][0] -.sym 16367 KEYBOARD.report[1][1] -.sym 16369 $auto$rtlil.cc:1692:NotGate$92753 -.sym 16370 KEYBOARD.kbd_code_hid[0] -.sym 16371 $false +.sym 16359 $abc$61381$n515 +.sym 16360 $abc$61381$n525 +.sym 16361 KEYBOARD.COLS_SHADOW[5] +.sym 16362 $abc$61381$n526 +.sym 16364 $abc$61381$n530 +.sym 16365 $abc$61381$n1007 +.sym 16366 $false +.sym 16367 $false +.sym 16369 $abc$61381$n526 +.sym 16370 $abc$61381$n533 +.sym 16371 KEYBOARD.COLS_SHADOW[4] .sym 16372 $false -.sym 16374 $abc$92916$n1008 -.sym 16375 KEYBOARD.report[4][1] -.sym 16376 $abc$92916$n1005 -.sym 16377 KEYBOARD.report[1][1] -.sym 16379 $techmap\KEYBOARD.$procmux$5651_Y[7] -.sym 16380 $false -.sym 16381 $false +.sym 16374 KEYBOARD.COLS_SHADOW[5] +.sym 16375 $abc$61381$n525 +.sym 16376 $abc$61381$n515 +.sym 16377 $false +.sym 16379 KEYBOARD.COLS_SHADOW[4] +.sym 16380 $abc$61381$n533 +.sym 16381 $abc$61381$n526 .sym 16382 $false -.sym 16383 $auto$dff2dffe.cc:175:make_patterns_logic$89305 +.sym 16383 RESET$2 .sym 16384 CLK$2$2 -.sym 16385 $logic_not$top.v:66$10_Y$2 -.sym 16386 $auto$wreduce.cc:310:run$57521[0] -.sym 16387 $auto$dff2dffe.cc:175:make_patterns_logic$90769 -.sym 16388 $abc$92916$n913 -.sym 16389 KEYBOARD.is_pressed -.sym 16390 KEYBOARD.temp[1] -.sym 16391 KEYBOARD.temp[6] -.sym 16392 KEYBOARD.kbd_code[0] -.sym 16393 KEYBOARD.temp[4] -.sym 16460 $abc$92916$n1008 -.sym 16461 KEYBOARD.report[4][5] +.sym 16385 $false +.sym 16386 $abc$61381$n498_1 +.sym 16387 $abc$61381$n514 +.sym 16388 $abc$61381$n1013 +.sym 16389 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[13] +.sym 16390 $abc$61381$n506 +.sym 16391 KEYBOARD.ram_adr[6] +.sym 16393 KEYBOARD.ram_adr[2] +.sym 16460 $false +.sym 16461 $false .sym 16462 $false .sym 16463 $false -.sym 16465 $abc$92916$n1007 -.sym 16466 KEYBOARD.report[2][7] -.sym 16467 $abc$92916$n1005 -.sym 16468 KEYBOARD.report[1][7] -.sym 16470 KEYBOARD.temp[3] -.sym 16471 KEYBOARD.RAM.r_data[3] -.sym 16472 $abc$92916$n628 +.sym 16465 KEYBOARD.temp[6] +.sym 16466 KEYBOARD.RAM.r_data[6] +.sym 16467 $abc$61381$n507 +.sym 16468 KEYBOARD.COLS_SHADOW[6] +.sym 16470 KEYBOARD.temp[6] +.sym 16471 KEYBOARD.RAM.r_data[6] +.sym 16472 $abc$61381$n507 .sym 16473 $false -.sym 16475 $abc$92916$n1007 -.sym 16476 KEYBOARD.report[2][5] -.sym 16477 $abc$92916$n1005 -.sym 16478 KEYBOARD.report[1][5] -.sym 16480 $abc$92916$n1007 -.sym 16481 KEYBOARD.report[2][6] -.sym 16482 $abc$92916$n1005 -.sym 16483 KEYBOARD.report[1][6] -.sym 16485 KEYBOARD.kbd_code_hid[7] -.sym 16486 KEYBOARD.kbd_code_hid[6] -.sym 16487 KEYBOARD.report[1][6] -.sym 16488 KEYBOARD.report[1][7] -.sym 16490 $abc$92916$n919 -.sym 16491 $abc$92916$n915 -.sym 16492 $auto$rtlil.cc:1692:NotGate$92753 -.sym 16493 $abc$92916$n846 -.sym 16495 $techmap\KEYBOARD.$procmux$5651_Y[1] -.sym 16496 $false -.sym 16497 $false +.sym 16475 $abc$61381$n521 +.sym 16476 $abc$61381$n522 +.sym 16477 $abc$61381$n499 +.sym 16478 $abc$61381$n520 +.sym 16480 $false +.sym 16481 $true$2 +.sym 16482 $false +.sym 16483 $false +.sym 16485 $auto$wreduce.cc:310:run$36596[0] +.sym 16486 $auto$wreduce.cc:310:run$36597[0] +.sym 16487 $abc$61381$n498_1 +.sym 16488 $abc$61381$n511 +.sym 16495 KEYBOARD.COLS_SHADOW[6] +.sym 16496 $abc$61381$n997 +.sym 16497 $abc$61381$n511 .sym 16498 $false -.sym 16499 $auto$dff2dffe.cc:175:make_patterns_logic$87074 +.sym 16499 RESET$2 .sym 16500 CLK$2$2 -.sym 16501 $logic_not$top.v:66$10_Y$2 -.sym 16502 $abc$92916$n877 -.sym 16503 $abc$92916$n896 -.sym 16504 $abc$92916$n661 -.sym 16505 $abc$92916$n665 -.sym 16506 $sub$top.v:122$51_Y[0] -.sym 16507 $auto$dff2dffe.cc:175:make_patterns_logic$89305 -.sym 16508 $auto$dff2dffe.cc:175:make_patterns_logic$87074 -.sym 16509 KEYBOARD.ram_adr[5] -.sym 16576 KEYBOARD.kbd_code_hid[7] -.sym 16577 KEYBOARD.kbd_code_hid[6] -.sym 16578 KEYBOARD.report[3][6] -.sym 16579 KEYBOARD.report[3][7] -.sym 16581 KEYBOARD.kbd_code_hid[1] -.sym 16582 KEYBOARD.kbd_code_hid[0] -.sym 16583 KEYBOARD.report[3][0] -.sym 16584 KEYBOARD.report[3][1] -.sym 16586 $abc$92916$n902 -.sym 16587 $abc$92916$n898 -.sym 16588 $auto$rtlil.cc:1692:NotGate$92753 -.sym 16589 $abc$92916$n846 -.sym 16591 $abc$92916$n899 -.sym 16592 $abc$92916$n900 -.sym 16593 $abc$92916$n901 +.sym 16501 $false +.sym 16502 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[11] +.sym 16503 $false$2 +.sym 16504 $auto$alumacc.cc:483:replace_alu$36689[6] +.sym 16505 $auto$alumacc.cc:483:replace_alu$36689[2] +.sym 16506 $auto$rtlil.cc:1692:NotGate$61332 +.sym 16507 $abc$61381$n624 +.sym 16508 KEYBOARD.kbd_code[2] +.sym 16509 KEYBOARD.kbd_code[0] +.sym 16576 I2C_INPUT_LEN[2] +.sym 16577 I2C_INPUT_LEN[3] +.sym 16578 I2C_INPUT_LEN[0] +.sym 16579 I2C_INPUT_LEN[1] +.sym 16581 $abc$61381$n628 +.sym 16582 $abc$61381$n633 +.sym 16583 I2C_INPUT_LEN[2] +.sym 16584 I2C_INPUT_LEN[3] +.sym 16586 I2C_INPUT_LEN[0] +.sym 16587 I2C_INPUT_LEN[1] +.sym 16588 $false +.sym 16589 $false +.sym 16591 $abc$61381$n625 +.sym 16592 i2c_input_data_type[2] +.sym 16593 $false .sym 16594 $false -.sym 16596 KEYBOARD.report[3][4] -.sym 16597 KEYBOARD.report[3][5] -.sym 16598 KEYBOARD.report[3][6] -.sym 16599 KEYBOARD.report[3][7] -.sym 16601 KEYBOARD.kbd_code_hid[4] -.sym 16602 KEYBOARD.kbd_code_hid[5] -.sym 16603 KEYBOARD.report[3][4] -.sym 16604 KEYBOARD.report[3][5] -.sym 16606 KEYBOARD.report[0][5] -.sym 16607 $auto$rtlil.cc:1692:NotGate$92753 -.sym 16608 $abc$92916$n1203 -.sym 16609 $false -.sym 16611 KEYBOARD.report[0][3] -.sym 16612 $auto$rtlil.cc:1692:NotGate$92753 -.sym 16613 $abc$92916$n1199 -.sym 16614 $false -.sym 16615 $auto$dff2dffe.cc:175:make_patterns_logic$91231 +.sym 16596 $abc$61381$n629 +.sym 16597 $abc$61381$n628 +.sym 16598 $abc$61381$n590 +.sym 16599 $auto$alumacc.cc:484:replace_alu$36663[3] +.sym 16601 $abc$61381$n635 +.sym 16602 $abc$61381$n578 +.sym 16603 $abc$61381$n626 +.sym 16604 $false +.sym 16606 $abc$61381$n854 +.sym 16607 $abc$61381$n624 +.sym 16608 $0\uart_double_ff[0:0] +.sym 16609 $abc$61381$n559 +.sym 16611 $abc$61381$n859_1 +.sym 16612 $abc$61381$n858_1 +.sym 16613 $0\uart_double_ff[0:0] +.sym 16614 $abc$61381$n559 +.sym 16615 $auto$dff2dffe.cc:175:make_patterns_logic$49520 .sym 16616 CLK$2$2 -.sym 16617 $logic_not$top.v:66$10_Y$2 -.sym 16618 $auto$dff2dffe.cc:175:make_patterns_logic$90124 -.sym 16619 $abc$92916$n894 -.sym 16620 $abc$92916$n904 -.sym 16621 $abc$92916$n905 -.sym 16622 $abc$92916$n858 -.sym 16623 $abc$92916$n869 -.sym 16624 $abc$92916$n857 -.sym 16625 KEYBOARD.ROWS_EN[13] -.sym 16692 $abc$92916$n1008 -.sym 16693 KEYBOARD.report[4][6] -.sym 16694 $false +.sym 16617 $false +.sym 16618 $techmap$auto$alumacc.cc:470:replace_alu$36661.$ternary$/usr/bin/../share/yosys/ice40/arith_map.v:42$37725_Y[2] +.sym 16619 $auto$alumacc.cc:483:replace_alu$36662[1] +.sym 16620 $auto$alumacc.cc:470:replace_alu$36715.A_buf[2] +.sym 16621 $techmap\I2C.$procmux$19301_Y +.sym 16622 $auto$alumacc.cc:483:replace_alu$36644[1] +.sym 16624 I2C.SDA_LAST +.sym 16625 I2C.i2c_bit_counter[2] +.sym 16692 $abc$61381$n580 +.sym 16693 i2c_input_data_type[1] +.sym 16694 i2c_input_data_type[0] .sym 16695 $false -.sym 16697 $abc$92916$n911 -.sym 16698 $abc$92916$n907 -.sym 16699 $auto$rtlil.cc:1692:NotGate$92753 -.sym 16700 $abc$92916$n846 -.sym 16702 $auto$rtlil.cc:1692:NotGate$92753 -.sym 16703 KEYBOARD.kbd_code_hid[1] -.sym 16704 $false +.sym 16697 $abc$61381$n580 +.sym 16698 i2c_input_data_type[1] +.sym 16699 i2c_input_data_type[0] +.sym 16700 $false +.sym 16702 $abc$61381$n580 +.sym 16703 i2c_input_data_type[1] +.sym 16704 i2c_input_data_type[0] .sym 16705 $false -.sym 16707 $abc$92916$n1008 -.sym 16708 KEYBOARD.report[4][3] -.sym 16709 $abc$92916$n1007 -.sym 16710 KEYBOARD.report[2][3] -.sym 16712 KEYBOARD.kbd_code_hid[7] -.sym 16713 KEYBOARD.kbd_code_hid[6] -.sym 16714 KEYBOARD.report[2][6] -.sym 16715 KEYBOARD.report[2][7] -.sym 16717 KEYBOARD.report[2][4] -.sym 16718 KEYBOARD.report[2][5] -.sym 16719 KEYBOARD.report[2][6] -.sym 16720 KEYBOARD.report[2][7] -.sym 16722 $techmap\KEYBOARD.$procmux$5651_Y[7] -.sym 16723 $false -.sym 16724 $false +.sym 16707 i2c_input_data_type[3] +.sym 16708 i2c_input_data_type[2] +.sym 16709 $false +.sym 16710 $false +.sym 16712 $auto$alumacc.cc:470:replace_alu$36715.A_buf[0] +.sym 16713 $false +.sym 16714 $false +.sym 16715 $false +.sym 16717 i2c_input_data_type[3] +.sym 16718 $false +.sym 16719 $false +.sym 16720 $false +.sym 16722 KEYBOARD.report[0][7] +.sym 16723 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 16724 $abc$61381$n672 .sym 16725 $false -.sym 16727 $techmap\KEYBOARD.$procmux$5651_Y[3] -.sym 16728 $false -.sym 16729 $false +.sym 16727 KEYBOARD.report[0][4] +.sym 16728 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 16729 $abc$61381$n980_1 .sym 16730 $false -.sym 16731 $auto$dff2dffe.cc:175:make_patterns_logic$90124 +.sym 16731 $auto$dff2dffe.cc:175:make_patterns_logic$59740 .sym 16732 CLK$2$2 -.sym 16733 $logic_not$top.v:66$10_Y$2 -.sym 16734 $auto$simplemap.cc:309:simplemap_lut$69160[0] -.sym 16736 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[13] -.sym 16737 $techmap\KEYBOARD.$procmux$5651_Y[3] -.sym 16738 KEYBOARD.ram_adr[7] -.sym 16739 KEYBOARD.ram_adr[0] -.sym 16808 I2C.byte_counter[2] -.sym 16809 I2C.byte_counter[3] +.sym 16733 $false +.sym 16734 $auto$dff2dffe.cc:175:make_patterns_logic$59992 +.sym 16735 $abc$61381$n889 +.sym 16736 $abc$61381$n887 +.sym 16737 $abc$61381$n882 +.sym 16738 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 16739 $abc$61381$n886 +.sym 16740 $abc$61381$n884 +.sym 16741 $abc$61381$n890 +.sym 16808 $abc$61381$n625 +.sym 16809 i2c_input_data_type[0] .sym 16810 $false .sym 16811 $false -.sym 16813 $false -.sym 16814 UART.tx_bit_counter[0] +.sym 16813 $abc$61381$n625 +.sym 16814 i2c_input_data_type[3] .sym 16815 $false -.sym 16816 $true$2 -.sym 16818 $abc$92916$n730 -.sym 16819 $abc$92916$n751 -.sym 16820 I2C.byte_counter[0] -.sym 16821 I2C.byte_counter[1] -.sym 16828 $abc$92916$n730 -.sym 16829 $abc$92916$n751 -.sym 16830 I2C.byte_counter[0] -.sym 16831 I2C.byte_counter[1] -.sym 16833 $abc$92916$n730 -.sym 16834 $abc$92916$n751 -.sym 16835 I2C.byte_counter[0] -.sym 16836 I2C.byte_counter[1] -.sym 16843 $auto$simplemap.cc:309:simplemap_lut$69070[7] -.sym 16844 $false -.sym 16845 $false -.sym 16846 $false -.sym 16847 $auto$dff2dffe.cc:175:make_patterns_logic$85121 +.sym 16816 $false +.sym 16818 $abc$61381$n579 +.sym 16819 i2c_input_data_type[0] +.sym 16820 $false +.sym 16821 $false +.sym 16823 I2C_OUTPUT_TYPE[2] +.sym 16824 I2C_OUTPUT_TYPE[1] +.sym 16825 I2C_OUTPUT_TYPE[0] +.sym 16826 $auto$alumacc.cc:484:replace_alu$36690[7] +.sym 16828 KEYBOARD.kbd_code_hid[2] +.sym 16829 KEYBOARD.kbd_code_hid[1] +.sym 16830 KEYBOARD.kbd_code_hid[0] +.sym 16831 $false +.sym 16833 $abc$61381$n858_1 +.sym 16834 $abc$61381$n862 +.sym 16835 $false +.sym 16836 $false +.sym 16838 $abc$61381$n863 +.sym 16839 $abc$61381$n862 +.sym 16840 $0\uart_double_ff[0:0] +.sym 16841 $abc$61381$n559 +.sym 16843 $abc$61381$n849 +.sym 16844 $abc$61381$n636 +.sym 16845 $0\uart_double_ff[0:0] +.sym 16846 $abc$61381$n559 +.sym 16847 $auto$dff2dffe.cc:175:make_patterns_logic$49520 .sym 16848 CLK$2$2 -.sym 16849 $eq$top.v:243$154_Y -.sym 16850 $abc$92916$n769_1 -.sym 16851 $abc$92916$n768 -.sym 16852 $abc$92916$n1081 -.sym 16853 $abc$92916$n1082 -.sym 16854 $abc$92916$n778 -.sym 16855 $abc$92916$n771 -.sym 16856 $2\ring_wr[3:0][1] -.sym 16857 $abc$92916$n1077_1 -.sym 16924 $abc$92916$n776 -.sym 16925 $abc$92916$n750 -.sym 16926 $abc$92916$n780 +.sym 16849 $false +.sym 16850 $abc$61381$n556 +.sym 16851 $0\uart_double_ff[0:0] +.sym 16852 $2\INT[0:0] +.sym 16853 $auto$wreduce.cc:310:run$36592[6] +.sym 16854 last_isr +.sym 16857 KEYBOARD.row_counter[3] +.sym 16924 KEYBOARD.kbd_code_hid[2] +.sym 16925 KEYBOARD.kbd_code_hid[1] +.sym 16926 KEYBOARD.kbd_code_hid[0] .sym 16927 $false -.sym 16929 $abc$92916$n775 -.sym 16930 $abc$92916$n733 -.sym 16931 $abc$92916$n781 +.sym 16929 KEYBOARD.kbd_code_hid[2] +.sym 16930 KEYBOARD.kbd_code_hid[1] +.sym 16931 KEYBOARD.kbd_code_hid[0] .sym 16932 $false -.sym 16934 $abc$92916$n755 -.sym 16935 $abc$92916$n769_1 -.sym 16936 $abc$92916$n782 -.sym 16937 $abc$92916$n759 -.sym 16939 $abc$92916$n755 -.sym 16940 $abc$92916$n769_1 -.sym 16941 I2C.received_byte[3] -.sym 16942 I2C.received_byte[2] -.sym 16944 $abc$92916$n750 -.sym 16945 $abc$92916$n752 -.sym 16946 $false -.sym 16947 $false -.sym 16949 $abc$92916$n755 -.sym 16950 i2c_input_data_type[0] -.sym 16951 I2C.received_byte[0] -.sym 16952 I2C.received_byte[1] -.sym 16954 $abc$92916$n773_1 -.sym 16955 $abc$92916$n777 -.sym 16956 $abc$92916$n779 +.sym 16934 $abc$61381$n625 +.sym 16935 $abc$61381$n580 +.sym 16936 $false +.sym 16937 $false +.sym 16939 $abc$61381$n636 +.sym 16940 $abc$61381$n637 +.sym 16941 $abc$61381$n638 +.sym 16942 i2c_input_data_type[1] +.sym 16944 $abc$61381$n637 +.sym 16945 $abc$61381$n636 +.sym 16946 $abc$61381$n624 +.sym 16947 $abc$61381$n638 +.sym 16949 $abc$61381$n580 +.sym 16950 i2c_input_data_type[1] +.sym 16951 $false +.sym 16952 $false +.sym 16954 KEYBOARD.report[0][0] +.sym 16955 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 16956 $abc$61381$n557 .sym 16957 $false -.sym 16959 $2\ring_wr[3:0][3] -.sym 16960 $false -.sym 16961 $false +.sym 16959 KEYBOARD.report[0][5] +.sym 16960 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 16961 $abc$61381$n982 .sym 16962 $false -.sym 16963 $auto$dff2dffe.cc:175:make_patterns_logic$73370 +.sym 16963 $auto$dff2dffe.cc:175:make_patterns_logic$59740 .sym 16964 CLK$2$2 -.sym 16965 $logic_not$top.v:66$10_Y$2 -.sym 16966 $abc$92916$n1080 -.sym 16967 $2\ring_wr[3:0][0] -.sym 16968 $abc$92916$n1084 -.sym 16969 $abc$92916$n1079 -.sym 16970 $2\ring_wr[3:0][2] -.sym 16971 $auto$dff2dffe.cc:175:make_patterns_logic$84986 -.sym 16972 $2\ring_wr[3:0][3] -.sym 16973 I2C.received_byte[6] -.sym 17040 $abc$92916$n734 -.sym 17041 I2C.byte_counter[2] +.sym 16965 $false +.sym 16966 $abc$61381$n573 +.sym 16967 $auto$dff2dffe.cc:158:make_patterns_logic$53542 +.sym 16968 $auto$simplemap.cc:250:simplemap_eqne$55268[1] +.sym 16971 I2C_OUTPUT_TYPE[0] +.sym 16972 I2C_OUTPUT_TYPE[1] +.sym 16973 I2C_OUT_DESC_MASK[4] +.sym 17040 $abc$61381$n561 +.sym 17041 I2C.is_read .sym 17042 $false .sym 17043 $false -.sym 17045 $auto$simplemap.cc:309:simplemap_lut$69070[7] -.sym 17046 $false -.sym 17047 $false -.sym 17048 $false -.sym 17055 $auto$alumacc.cc:483:replace_alu$57552[1] -.sym 17056 $auto$simplemap.cc:309:simplemap_lut$69070[7] -.sym 17057 $false +.sym 17045 $abc$61381$n574 +.sym 17046 I2C.is_read +.sym 17047 $abc$61381$n561 +.sym 17048 $abc$61381$n570 +.sym 17050 $abc$61381$n623 +.sym 17051 $abc$61381$n571 +.sym 17052 $abc$61381$n619_1 +.sym 17053 $false +.sym 17055 $abc$61381$n850 +.sym 17056 $abc$61381$n780_1 +.sym 17057 I2C.received_byte[3] .sym 17058 $false -.sym 17060 $false -.sym 17061 $false -.sym 17062 $auto$alumacc.cc:483:replace_alu$57552[0] -.sym 17063 $true$2 -.sym 17065 I2C.byte_counter[4] -.sym 17066 I2C.byte_counter[5] -.sym 17067 I2C.byte_counter[6] -.sym 17068 I2C.byte_counter[7] -.sym 17070 $abc$92916$n730 -.sym 17071 $abc$92916$n735 -.sym 17072 I2C.byte_counter[3] -.sym 17073 $false -.sym 17075 $abc$92916$n1184 -.sym 17076 $abc$92916$n1179 -.sym 17077 $auto$alumacc.cc:484:replace_alu$57553[3] -.sym 17078 $techmap\UART.$sub$uart.v:40$540_Y[0] -.sym 17079 $auto$dff2dffe.cc:175:make_patterns_logic$85121 +.sym 17060 $abc$61381$n567 +.sym 17061 RESET$2 +.sym 17062 I2C.wr +.sym 17063 last_wr +.sym 17065 $abc$61381$n559 +.sym 17066 RESET$2 +.sym 17067 $abc$61381$n572 +.sym 17068 $false +.sym 17070 $abc$61381$n568 +.sym 17071 $abc$61381$n573 +.sym 17072 $abc$61381$n567 +.sym 17073 $abc$61381$n571 +.sym 17075 $2\INT[0:0] +.sym 17076 $false +.sym 17077 $false +.sym 17078 $false +.sym 17079 $auto$dff2dffe.cc:175:make_patterns_logic$48548 .sym 17080 CLK$2$2 -.sym 17081 $eq$top.v:243$154_Y -.sym 17084 $add$top.v:98$31_Y[2] -.sym 17085 $add$top.v:98$31_Y[3] -.sym 17086 $auto$alumacc.cc:484:replace_alu$57668[3] -.sym 17087 $abc$92916$n971_1 -.sym 17088 $abc$92916$n969 -.sym 17089 $abc$92916$n970 -.sym 17156 $abc$92916$n719 -.sym 17157 $abc$92916$n720_1 -.sym 17158 $false +.sym 17081 $logic_not$top.v:60$10_Y$2 +.sym 17082 $abc$61381$n671 +.sym 17083 $abc$61381$n670 +.sym 17084 $auto$wreduce.cc:310:run$36590[0] +.sym 17085 $auto$dff2dffe.cc:175:make_patterns_logic$60842 +.sym 17087 $techmap\I2C.FLT_SCL.$procmux$993_Y[0] +.sym 17088 KEYBOARD.ROWS_EN[0] +.sym 17089 KEYBOARD.ROWS_EN[3] +.sym 17156 $abc$61381$n856 +.sym 17157 $abc$61381$n603 +.sym 17158 $abc$61381$n618_1 .sym 17159 $false -.sym 17161 ring_wr[0] -.sym 17162 ring_wr[2] -.sym 17163 ring_rd[0] -.sym 17164 ring_rd[2] -.sym 17166 $abc$92916$n718 -.sym 17167 ring_rd[0] -.sym 17168 ring_rd[1] +.sym 17161 $abc$61381$n618_1 +.sym 17162 $abc$61381$n856 +.sym 17163 $abc$61381$n855_1 +.sym 17164 $false +.sym 17166 $auto$simplemap.cc:250:simplemap_eqne$55268[1] +.sym 17167 $abc$61381$n669 +.sym 17168 RESET$2 .sym 17169 $false -.sym 17171 ring_wr[1] -.sym 17172 ring_wr[3] -.sym 17173 ring_rd[1] -.sym 17174 ring_rd[3] -.sym 17176 $2\ring_rd[3:0][0] -.sym 17177 $false -.sym 17178 $false +.sym 17171 $abc$61381$n672 +.sym 17172 $abc$61381$n670 +.sym 17173 $auto$alumacc.cc:484:replace_alu$36629[7] +.sym 17174 $auto$alumacc.cc:484:replace_alu$36634[7] +.sym 17176 KEYBOARD.kbd_code_hid[2] +.sym 17177 KEYBOARD.kbd_code_hid[1] +.sym 17178 KEYBOARD.kbd_code_hid[0] .sym 17179 $false -.sym 17181 $2\ring_rd[3:0][1] -.sym 17182 $false -.sym 17183 $false -.sym 17184 $false -.sym 17186 $2\ring_rd[3:0][2] -.sym 17187 $false -.sym 17188 $false +.sym 17181 $abc$61381$n860 +.sym 17182 $abc$61381$n850 +.sym 17183 $abc$61381$n780_1 +.sym 17184 I2C.received_byte[2] +.sym 17186 $abc$61381$n850 +.sym 17187 $abc$61381$n780_1 +.sym 17188 I2C.received_byte[1] .sym 17189 $false -.sym 17191 $2\ring_rd[3:0][3] +.sym 17191 I2C.received_byte[0] .sym 17192 $false .sym 17193 $false .sym 17194 $false -.sym 17195 $auto$dff2dffe.cc:175:make_patterns_logic$73607 +.sym 17195 $auto$dff2dffe.cc:175:make_patterns_logic$49267 .sym 17196 CLK$2$2 -.sym 17197 $logic_not$top.v:66$10_Y$2 -.sym 17200 $add$top.v:177$101_Y[2] -.sym 17201 $auto$alumacc.cc:484:replace_alu$57653[2] -.sym 17202 $auto$alumacc.cc:483:replace_alu$57618[5] -.sym 17203 $auto$alumacc.cc:483:replace_alu$57618[7] -.sym 17204 $auto$dff2dffe.cc:175:make_patterns_logic$84852 -.sym 17205 I2C.received_byte[4] +.sym 17197 $false +.sym 17198 $abc$61381$n754 +.sym 17199 $abc$61381$n755 +.sym 17200 $auto$wreduce.cc:310:run$36590[2] +.sym 17201 $techmap\I2C.FLT_SCL.$procmux$993_Y[1] +.sym 17202 $auto$dff2dffe.cc:158:make_patterns_logic$60857 +.sym 17203 I2C.FLT_SCL.counter[1] +.sym 17204 I2C.FLT_SCL.counter[2] +.sym 17205 I2C.FLT_SCL.counter[0] +.sym 17234 $true +.sym 17271 KEYBOARD.kbd_code_hid[3]$2 .sym 17272 $false -.sym 17273 $true$2 -.sym 17274 ring_rd[0] +.sym 17273 KEYBOARD.kbd_code_hid[3] +.sym 17274 $false .sym 17275 $false -.sym 17277 $add$top.v:177$101_Y[2] -.sym 17278 ring_rd[2] -.sym 17279 $abc$92916$n718 -.sym 17280 $false -.sym 17287 $add$top.v:177$101_Y[3] -.sym 17288 ring_rd[3] -.sym 17289 $abc$92916$n718 -.sym 17290 $false -.sym 17292 $add$top.v:177$101_Y[0] -.sym 17293 ring_rd[0] -.sym 17294 $abc$92916$n718 -.sym 17295 $false -.sym 17297 KEYBOARD.row_counter[2] -.sym 17298 $auto$wreduce.cc:310:run$57529[2] -.sym 17299 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 17300 $false -.sym 17302 $false -.sym 17303 $false -.sym 17304 ring_rd[3] -.sym 17305 $auto$alumacc.cc:484:replace_alu$57653[2] -.sym 17307 $auto$wreduce.cc:310:run$57522[5] +.sym 17276 $auto$alumacc.cc:484:replace_alu$36629[4] +.sym 17278 KEYBOARD.kbd_code_hid[4] +.sym 17279 $true$2 +.sym 17281 $auto$alumacc.cc:484:replace_alu$36629[5] +.sym 17283 KEYBOARD.kbd_code_hid[5] +.sym 17284 $false +.sym 17286 $auto$alumacc.cc:484:replace_alu$36629[6] +.sym 17288 KEYBOARD.kbd_code_hid[6] +.sym 17289 $false +.sym 17291 $auto$alumacc.cc:484:replace_alu$36629[7]$2 +.sym 17293 KEYBOARD.kbd_code_hid[7] +.sym 17294 $false +.sym 17300 $auto$alumacc.cc:484:replace_alu$36629[7]$2 +.sym 17302 $auto$simplemap.cc:250:simplemap_eqne$55268[1] +.sym 17303 $abc$61381$n669 +.sym 17304 $false +.sym 17305 $false +.sym 17307 $false .sym 17308 $false .sym 17309 $false .sym 17310 $false -.sym 17311 RESET$2 +.sym 17311 $auto$dff2dffe.cc:175:make_patterns_logic$48604 .sym 17312 CLK$2$2 .sym 17313 $false -.sym 17314 $add$top.v:123$53_Y[3] -.sym 17315 $add$top.v:123$53_Y[0] -.sym 17316 wr_cnt[1] -.sym 17317 wr_cnt[3] -.sym 17319 wr_cnt[2] -.sym 17320 wr_cnt[0] -.sym 17388 UART_TX_DATA[6] -.sym 17389 UART_TX_DATA[2] -.sym 17390 $techmap\UART.$sub$uart.v:40$540_Y[2] -.sym 17391 $false -.sym 17393 I2C.received_byte[3] -.sym 17394 I2C.received_byte[4] -.sym 17395 I2C.received_byte[5] -.sym 17396 I2C.received_byte[1] -.sym 17398 $abc$92916$n1186 -.sym 17399 $abc$92916$n1185_1 -.sym 17400 $abc$92916$n1180_1 -.sym 17401 $false -.sym 17403 $abc$92916$n1183 -.sym 17404 $abc$92916$n1182_1 -.sym 17405 $abc$92916$n1180_1 -.sym 17406 $false -.sym 17408 $abc$92916$n1134 -.sym 17409 I2C.received_byte[2] -.sym 17410 I2C.received_byte[7] -.sym 17411 I2C.received_byte[6] -.sym 17413 wr_cnt[0] -.sym 17414 wr_cnt[1] -.sym 17415 wr_cnt[2] -.sym 17416 wr_cnt[3] -.sym 17418 I2C.received_byte[6] -.sym 17419 $abc$92916$n1109 -.sym 17420 I2C.is_read +.sym 17314 $true$2 +.sym 17316 KEYBOARD.report[2][0] +.sym 17317 KEYBOARD.report[2][2] +.sym 17319 KEYBOARD.report[2][3] +.sym 17388 KEYBOARD.report[2][0] +.sym 17389 KEYBOARD.report[2][1] +.sym 17390 KEYBOARD.report[2][2] +.sym 17391 KEYBOARD.report[2][3] +.sym 17393 $abc$61381$n771 +.sym 17394 $auto$wreduce.cc:310:run$36584[0] +.sym 17395 $auto$wreduce.cc:310:run$36584[2] +.sym 17396 KEYBOARD.report[2][0] +.sym 17398 KEYBOARD.kbd_code_hid[1] +.sym 17399 KEYBOARD.kbd_code_hid[0] +.sym 17400 KEYBOARD.report[2][0] +.sym 17401 KEYBOARD.report[2][1] +.sym 17403 $abc$61381$n668 +.sym 17404 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 17405 $abc$61381$n729 +.sym 17406 RESET$2 +.sym 17408 $abc$61381$n667 +.sym 17409 $abc$61381$n727 +.sym 17410 $abc$61381$n728 +.sym 17411 $false +.sym 17413 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 17414 $abc$61381$n668 +.sym 17415 $abc$61381$n673 +.sym 17416 $abc$61381$n678 +.sym 17418 $abc$61381$n679 +.sym 17419 $abc$61381$n680 +.sym 17420 $false .sym 17421 $false -.sym 17423 I2C.received_byte[2] -.sym 17424 $abc$92916$n1101 -.sym 17425 I2C.is_read +.sym 17423 KEYBOARD.kbd_code_hid[1] +.sym 17424 $false +.sym 17425 $false .sym 17426 $false -.sym 17427 $auto$dff2dffe.cc:175:make_patterns_logic$71223 +.sym 17427 $auto$dff2dffe.cc:175:make_patterns_logic$58513 .sym 17428 CLK$2$2 -.sym 17429 $auto$rtlil.cc:1692:NotGate$92623 -.sym 17430 $abc$92916$n1142 -.sym 17431 $abc$92916$n1141 -.sym 17432 $auto$dff2dffe.cc:175:make_patterns_logic$85053 -.sym 17433 $abc$92916$n833 -.sym 17434 $auto$dff2dffe.cc:175:make_patterns_logic$84785 -.sym 17436 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[1] -.sym 17437 I2C.received_byte[7] -.sym 17504 I2C.FLT_SCL.out -.sym 17505 I2C.FLT_SDA.out -.sym 17506 I2C.SDA_LAST -.sym 17507 $false -.sym 17514 $abc$92916$n825 -.sym 17515 $auto$simplemap.cc:250:simplemap_eqne$60443[0] -.sym 17516 $false -.sym 17517 $false -.sym 17519 I2C.byte_counter[0] -.sym 17520 I2C.byte_counter[1] -.sym 17521 $false -.sym 17522 $false -.sym 17524 UART_TX_DATA[7] -.sym 17525 UART_TX_DATA[3] -.sym 17526 $techmap\UART.$sub$uart.v:40$540_Y[2] -.sym 17527 $false -.sym 17529 $techmap\I2C.$procmux$19804_Y -.sym 17530 I2C.byte_counter[1] -.sym 17531 $false -.sym 17532 $false -.sym 17534 I2C.received_byte[7] -.sym 17535 $abc$92916$n1111 -.sym 17536 I2C.is_read -.sym 17537 $false -.sym 17539 I2C.received_byte[3] -.sym 17540 $abc$92916$n1103 -.sym 17541 I2C.is_read -.sym 17542 $false -.sym 17543 $auto$dff2dffe.cc:175:make_patterns_logic$71223 -.sym 17544 CLK$2$2 -.sym 17545 $auto$rtlil.cc:1692:NotGate$92623 -.sym 17546 $auto$alumacc.cc:483:replace_alu$57684[4] -.sym 17547 $auto$simplemap.cc:250:simplemap_eqne$60443[0] -.sym 17548 $auto$alumacc.cc:483:replace_alu$57684[3] -.sym 17549 $auto$wreduce.cc:310:run$57516[7] -.sym 17550 $auto$alumacc.cc:483:replace_alu$57684[2] -.sym 17552 $auto$alumacc.cc:483:replace_alu$57684[7] -.sym 17553 I2C.byte_counter[5] -.sym 17620 $abc$92916$n823 -.sym 17621 $abc$92916$n824 -.sym 17622 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 17623 $false -.sym 17625 $techmap\I2C.$procmux$19804_Y -.sym 17626 I2C.byte_counter[0] -.sym 17627 $false -.sym 17628 $false -.sym 17630 $auto$alumacc.cc:470:replace_alu$57572.B_buf[1] -.sym 17631 $auto$alumacc.cc:483:replace_alu$57687[0] -.sym 17632 $false -.sym 17633 $false -.sym 17635 $abc$92916$n820 -.sym 17636 $abc$92916$n822 -.sym 17637 I2C.SCL_LAST -.sym 17638 I2C.FLT_SCL.out -.sym 17640 $false -.sym 17641 $true$2 -.sym 17642 $auto$alumacc.cc:470:replace_alu$57683.C[1] -.sym 17643 $false -.sym 17645 $auto$alumacc.cc:470:replace_alu$57683.C[1] -.sym 17646 $abc$92916$n1141 -.sym 17647 $auto$wreduce.cc:310:run$57516[0] -.sym 17648 $abc$92916$n1139 -.sym 17650 $abc$92916$n1139 -.sym 17651 $abc$92916$n1141 -.sym 17652 $auto$alumacc.cc:470:replace_alu$57683.C[1] -.sym 17653 $auto$alumacc.cc:483:replace_alu$57684[1] -.sym 17655 I2C.FLT_SDA.out +.sym 17429 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 17430 $abc$61381$n679 +.sym 17432 $abc$61381$n732 +.sym 17433 KEYBOARD.report[2][7] +.sym 17434 KEYBOARD.report[2][4] +.sym 17436 KEYBOARD.report[2][6] +.sym 17504 $abc$61381$n771 +.sym 17505 $auto$wreduce.cc:310:run$36584[0] +.sym 17506 $auto$wreduce.cc:310:run$36584[2] +.sym 17507 KEYBOARD.report[2][7] +.sym 17509 KEYBOARD.kbd_code_hid[4] +.sym 17510 KEYBOARD.kbd_code_hid[5] +.sym 17511 KEYBOARD.report[2][4] +.sym 17512 KEYBOARD.report[2][5] +.sym 17514 $abc$61381$n771 +.sym 17515 $auto$wreduce.cc:310:run$36584[0] +.sym 17516 $auto$wreduce.cc:310:run$36584[2] +.sym 17517 KEYBOARD.report[2][3] +.sym 17519 $abc$61381$n771 +.sym 17520 $auto$wreduce.cc:310:run$36584[0] +.sym 17521 $auto$wreduce.cc:310:run$36584[2] +.sym 17522 KEYBOARD.report[2][6] +.sym 17524 KEYBOARD.kbd_code_hid[7] +.sym 17525 KEYBOARD.kbd_code_hid[6] +.sym 17526 KEYBOARD.report[2][6] +.sym 17527 KEYBOARD.report[2][7] +.sym 17529 $abc$61381$n771 +.sym 17530 $auto$wreduce.cc:310:run$36584[0] +.sym 17531 $auto$wreduce.cc:310:run$36584[2] +.sym 17532 KEYBOARD.report[2][1] +.sym 17534 $abc$61381$n771 +.sym 17535 $auto$wreduce.cc:310:run$36584[0] +.sym 17536 $auto$wreduce.cc:310:run$36584[2] +.sym 17537 KEYBOARD.report[2][5] +.sym 17539 $abc$61381$n730 +.sym 17540 $abc$61381$n731 +.sym 17541 $abc$61381$n732 +.sym 17542 $abc$61381$n733 +.sym 17620 $abc$61381$n696 +.sym 17621 $abc$61381$n697 +.sym 17622 $abc$61381$n698 +.sym 17623 $abc$61381$n699 +.sym 17625 KEYBOARD.kbd_code_hid[4] +.sym 17626 KEYBOARD.kbd_code_hid[5] +.sym 17627 kbd_report[6][4] +.sym 17628 kbd_report[6][5] +.sym 17630 $abc$61381$n667 +.sym 17631 $abc$61381$n676 +.sym 17632 $abc$61381$n683 +.sym 17633 $abc$61381$n694 +.sym 17635 KEYBOARD.kbd_code_hid[7] +.sym 17636 KEYBOARD.kbd_code_hid[6] +.sym 17637 kbd_report[6][6] +.sym 17638 kbd_report[6][7] +.sym 17640 kbd_report[6][4] +.sym 17641 kbd_report[6][5] +.sym 17642 kbd_report[6][6] +.sym 17643 kbd_report[6][7] +.sym 17645 $abc$61381$n668 +.sym 17646 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 17647 $abc$61381$n695 +.sym 17648 RESET$2 +.sym 17650 KEYBOARD.kbd_code_hid[5] +.sym 17651 $false +.sym 17652 $false +.sym 17653 $false +.sym 17655 KEYBOARD.kbd_code_hid[6] .sym 17656 $false .sym 17657 $false .sym 17658 $false -.sym 17659 $true +.sym 17659 $auto$dff2dffe.cc:175:make_patterns_logic$55122 .sym 17660 CLK$2$2 -.sym 17661 $false -.sym 17664 $techmap\I2C.$sub$i2c_slave.v:143$226_Y[2] -.sym 17665 $auto$simplemap.cc:250:simplemap_eqne$60443[3] -.sym 17666 $auto$simplemap.cc:309:simplemap_lut$68433[2] -.sym 17667 $auto$alumacc.cc:470:replace_alu$57572.B_buf[3] -.sym 17669 KEYBOARD.ROWS_EN[5] -.sym 17736 $abc$92916$n1155 -.sym 17737 $abc$92916$n823 -.sym 17738 $auto$simplemap.cc:250:simplemap_eqne$60443[3] -.sym 17739 $auto$alumacc.cc:484:replace_alu$57574[3] -.sym 17741 $abc$92916$n820 -.sym 17742 I2C.SCL_LAST -.sym 17743 I2C.FLT_SCL.out +.sym 17661 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 17662 $auto$alumacc.cc:484:replace_alu$36634[7] +.sym 17666 KEYBOARD.ROWS_EN[4] +.sym 17741 KEYBOARD.kbd_code_hid[3] +.sym 17742 $false +.sym 17743 $false .sym 17744 $false -.sym 17746 $auto$simplemap.cc:250:simplemap_eqne$60443[3] -.sym 17747 $auto$simplemap.cc:250:simplemap_eqne$60443[4] -.sym 17748 $auto$simplemap.cc:309:simplemap_lut$68433[2] -.sym 17749 $false -.sym 17751 $techmap\I2C.$procmux$19804_Y -.sym 17752 I2C.i2c_bit_counter[3] +.sym 17751 KEYBOARD.kbd_code_hid[7] +.sym 17752 $false .sym 17753 $false .sym 17754 $false -.sym 17756 $techmap\I2C.$procmux$19804_Y -.sym 17757 I2C.is_ack -.sym 17758 $false -.sym 17759 $false -.sym 17761 $false -.sym 17762 $false -.sym 17763 $true$2 -.sym 17764 $auto$simplemap.cc:309:simplemap_lut$68433[2] -.sym 17766 $auto$alumacc.cc:483:replace_alu$57573[3] -.sym 17767 $abc$92916$n1157 -.sym 17768 $abc$92916$n1162 +.sym 17766 KEYBOARD.kbd_code_hid[4] +.sym 17767 $false +.sym 17768 $false .sym 17769 $false -.sym 17771 I2C.is_ack -.sym 17772 $abc$92916$n1127_1 -.sym 17773 $abc$92916$n823 -.sym 17774 $false -.sym 17775 $true +.sym 17775 $auto$dff2dffe.cc:175:make_patterns_logic$55122 .sym 17776 CLK$2$2 -.sym 17777 $false -.sym 17952 KEYBOARD.kbd_code_hid[7] -.sym 17953 KEYBOARD.kbd_code_hid[6] -.sym 17954 KEYBOARD.kbd_code_hid[4] -.sym 17955 KEYBOARD.kbd_code_hid[5] -.sym 17962 KEYBOARD.temp[6] -.sym 17963 KEYBOARD.RAM.r_data[6] -.sym 17964 $abc$92916$n628 -.sym 17965 KEYBOARD.COLS_SHADOW[6] -.sym 17967 $abc$92916$n646 -.sym 17968 KEYBOARD.is_pressed -.sym 17969 KEYBOARD.COLS_SHADOW[4] -.sym 17970 $abc$92916$n641 -.sym 17972 KEYBOARD.kbd_code_hid[2] -.sym 17973 KEYBOARD.kbd_code_hid[1] -.sym 17974 KEYBOARD.kbd_code_hid[0] -.sym 17975 $false -.sym 17977 $abc$92916$n637 -.sym 17978 $abc$92916$n631 -.sym 17979 $abc$92916$n621 -.sym 17980 $abc$92916$n636 -.sym 17982 $abc$92916$n634 -.sym 17983 $abc$92916$n627 -.sym 17984 $abc$92916$n621 -.sym 17985 $abc$92916$n631 -.sym 17987 $auto$alumacc.cc:484:replace_alu$57563[7] -.sym 17988 $abc$92916$n850 -.sym 17989 KEYBOARD.kbd_code_hid[3] -.sym 17990 $abc$92916$n849 -.sym 18108 $abc$92916$n1234_1 -.sym 18109 $abc$92916$n1224 -.sym 18110 $abc$92916$n1235_1 -.sym 18111 $abc$92916$n657 -.sym 18113 $abc$92916$n1231 -.sym 18114 $abc$92916$n647 +.sym 17777 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 18113 KBD_COLUMNS[6]$2 +.sym 18114 $false .sym 18115 $false .sym 18116 $false -.sym 18118 $abc$92916$n651 -.sym 18119 $abc$92916$n656 -.sym 18120 KEYBOARD.COLS_SHADOW[1] +.sym 18118 KBD_COLUMNS[7]$2 +.sym 18119 $false +.sym 18120 $false .sym 18121 $false -.sym 18123 $abc$92916$n1243_1 -.sym 18124 $abc$92916$n1234_1 -.sym 18125 $abc$92916$n657 +.sym 18123 SCL$2 +.sym 18124 $false +.sym 18125 $false .sym 18126 $false -.sym 18128 $abc$92916$n651 -.sym 18129 $auto$wreduce.cc:310:run$57523[0] +.sym 18128 I2C.SDA_IN +.sym 18129 $false .sym 18130 $false .sym 18131 $false -.sym 18133 $false -.sym 18134 $true$2 +.sym 18133 KBD_COLUMNS[1]$2 +.sym 18134 $false .sym 18135 $false .sym 18136 $false -.sym 18138 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[8] +.sym 18138 KBD_COLUMNS[3]$2 .sym 18139 $false .sym 18140 $false .sym 18141 $false -.sym 18143 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[14] -.sym 18144 $false -.sym 18145 $false -.sym 18146 $false -.sym 18147 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 +.sym 18147 $true .sym 18148 CLK$2$2 -.sym 18149 $auto$rtlil.cc:1692:NotGate$92861 -.sym 18224 $abc$92916$n1231 -.sym 18225 $abc$92916$n1240_1 -.sym 18226 $abc$92916$n651 -.sym 18227 $false -.sym 18229 $abc$92916$n662 -.sym 18230 $abc$92916$n665 -.sym 18231 KEYBOARD.COLS_SHADOW[0] +.sym 18149 $false +.sym 18150 KEYBOARD.RAM.r_data[0] +.sym 18152 KEYBOARD.RAM.r_data[1] +.sym 18154 KEYBOARD.RAM.r_data[2] +.sym 18156 KEYBOARD.RAM.r_data[3] +.sym 18224 $abc$61381$n541 +.sym 18225 $abc$61381$n545 +.sym 18226 KEYBOARD.COLS_SHADOW[2] +.sym 18227 $abc$61381$n1012 +.sym 18229 $techmap\KEYBOARD.$add$matrix_kbd.v:240$336_Y[0] +.sym 18230 $abc$61381$n535 +.sym 18231 $abc$61381$n1011 .sym 18232 $false -.sym 18234 $abc$92916$n1248 -.sym 18235 $abc$92916$n1247_1 -.sym 18236 $abc$92916$n1240_1 -.sym 18237 $techmap\KEYBOARD.$procmux$8869_Y[2] -.sym 18239 $abc$92916$n1236 -.sym 18240 $abc$92916$n662 -.sym 18241 $abc$92916$n1242 -.sym 18242 $abc$92916$n1244_1 -.sym 18244 $abc$92916$n657 -.sym 18245 $abc$92916$n661 -.sym 18246 KEYBOARD.COLS_SHADOW[2] -.sym 18247 $abc$92916$n1236 -.sym 18249 $abc$92916$n1234_1 -.sym 18250 $abc$92916$n1230 -.sym 18251 $abc$92916$n1244_1 -.sym 18252 $false -.sym 18254 KEYBOARD.temp[7] -.sym 18255 KEYBOARD.RAM.r_data[7] -.sym 18256 $abc$92916$n628 -.sym 18257 KEYBOARD.COLS_SHADOW[7] -.sym 18259 I2C.SDAF +.sym 18234 $false +.sym 18235 $true$2 +.sym 18236 $false +.sym 18237 $false +.sym 18239 $auto$wreduce.cc:310:run$36593[0] +.sym 18240 $abc$61381$n1009 +.sym 18241 $abc$61381$n535 +.sym 18242 $false +.sym 18244 $abc$61381$n1010 +.sym 18245 $abc$61381$n1008 +.sym 18246 $abc$61381$n1002 +.sym 18247 $abc$61381$n541 +.sym 18249 $abc$61381$n1011 +.sym 18250 $abc$61381$n1009 +.sym 18251 $abc$61381$n535 +.sym 18252 $abc$61381$n541 +.sym 18254 $abc$61381$n1012 +.sym 18255 $abc$61381$n546 +.sym 18256 $abc$61381$n1018 +.sym 18257 $abc$61381$n1019 +.sym 18259 I2C.SCLF .sym 18260 $false .sym 18261 $false .sym 18262 $false -.sym 18263 $auto$dff2dffe.cc:175:make_patterns_logic$92215 +.sym 18263 $auto$dff2dffe.cc:175:make_patterns_logic$60842 .sym 18264 CLK$2$2 -.sym 18265 $logic_not$top.v:66$10_Y$2 -.sym 18340 $abc$92916$n640 -.sym 18341 KEYBOARD.is_pressed -.sym 18342 KEYBOARD.COLS_SHADOW[5] +.sym 18265 $logic_not$top.v:60$10_Y$2 +.sym 18266 KEYBOARD.RAM.r_data[4] +.sym 18268 KEYBOARD.RAM.r_data[5] +.sym 18270 KEYBOARD.RAM.r_data[6] +.sym 18272 KEYBOARD.RAM.r_data[7] +.sym 18340 KEYBOARD.temp[2] +.sym 18341 KEYBOARD.RAM.r_data[2] +.sym 18342 $abc$61381$n507 .sym 18343 $false -.sym 18345 $abc$92916$n614 -.sym 18346 $abc$92916$n640 -.sym 18347 $auto$wreduce.cc:310:run$57526[0] -.sym 18348 KEYBOARD.COLS_SHADOW[5] -.sym 18350 $false -.sym 18351 $true$2 -.sym 18352 $false -.sym 18353 $false -.sym 18355 $abc$92916$n1218 -.sym 18356 $abc$92916$n1219_1 -.sym 18357 KEYBOARD.COLS_SHADOW[6] -.sym 18358 $abc$92916$n614 -.sym 18360 $abc$92916$n614 -.sym 18361 $abc$92916$n640 -.sym 18362 KEYBOARD.COLS_SHADOW[5] -.sym 18363 $abc$92916$n641 -.sym 18365 $abc$92916$n657 -.sym 18366 $abc$92916$n661 -.sym 18367 $auto$wreduce.cc:310:run$57522[0] -.sym 18368 KEYBOARD.COLS_SHADOW[2] -.sym 18370 $false -.sym 18371 $false -.sym 18372 $false +.sym 18345 KEYBOARD.temp[7] +.sym 18346 KEYBOARD.RAM.r_data[7] +.sym 18347 $abc$61381$n507 +.sym 18348 $false +.sym 18350 $abc$61381$n1016_1 +.sym 18351 $abc$61381$n498_1 +.sym 18352 $abc$61381$n1022 +.sym 18353 $techmap\KEYBOARD.$procmux$8366_Y[2] +.sym 18355 $abc$61381$n1006 +.sym 18356 $abc$61381$n1011 +.sym 18357 $abc$61381$n1019 +.sym 18358 $false +.sym 18360 KEYBOARD.COLS_SHADOW[0] +.sym 18361 $abc$61381$n549 +.sym 18362 $abc$61381$n546 +.sym 18363 $false +.sym 18365 KEYBOARD.COLS_SHADOW[2] +.sym 18366 $abc$61381$n545 +.sym 18367 $abc$61381$n541 +.sym 18368 $false +.sym 18370 KEYBOARD.COLS_SHADOW[7] +.sym 18371 $abc$61381$n999 +.sym 18372 $abc$61381$n499 .sym 18373 $false -.sym 18375 $techmap\KEYBOARD.$procmux$5651_Y[7] -.sym 18376 $false -.sym 18377 $false -.sym 18378 $false -.sym 18379 $auto$dff2dffe.cc:175:make_patterns_logic$88296 +.sym 18375 $abc$61381$n546 +.sym 18376 $abc$61381$n1011 +.sym 18377 $abc$61381$n1015_1 +.sym 18378 $abc$61381$n1012 +.sym 18379 RESET$2 .sym 18380 CLK$2$2 -.sym 18381 $logic_not$top.v:66$10_Y$2 -.sym 18382 KEYBOARD.RAM.r_data[0] -.sym 18384 KEYBOARD.RAM.r_data[1] -.sym 18386 KEYBOARD.RAM.r_data[2] -.sym 18388 KEYBOARD.RAM.r_data[3] -.sym 18456 $false -.sym 18457 I2C.FLT_SDA.counter[0] -.sym 18458 $false -.sym 18459 $true$2 -.sym 18461 $abc$92916$n913 -.sym 18462 $abc$92916$n914 -.sym 18463 $abc$92916$n885 -.sym 18464 $false -.sym 18466 $auto$rtlil.cc:1692:NotGate$92753 -.sym 18467 $abc$92916$n846 -.sym 18468 $abc$92916$n859 -.sym 18469 $false -.sym 18471 $auto$rtlil.cc:1692:NotGate$92753 -.sym 18472 $false -.sym 18473 $false +.sym 18381 $false +.sym 18456 $abc$61381$n511 +.sym 18457 $abc$61381$n514 +.sym 18458 $abc$61381$n499 +.sym 18459 $abc$61381$n506 +.sym 18461 KEYBOARD.RAM.r_data[6] +.sym 18462 KEYBOARD.temp[6] +.sym 18463 $abc$61381$n507 +.sym 18464 KEYBOARD.COLS_SHADOW[6] +.sym 18466 $abc$61381$n541 +.sym 18467 $abc$61381$n545 +.sym 18468 $auto$wreduce.cc:310:run$36592[0] +.sym 18469 KEYBOARD.COLS_SHADOW[2] +.sym 18471 $auto$wreduce.cc:310:run$36598[1] +.sym 18472 $auto$wreduce.cc:310:run$36598[0] +.sym 18473 $auto$wreduce.cc:310:run$36598[2] .sym 18474 $false -.sym 18476 KEYBOARD.COLS_SHADOW[1] -.sym 18477 $abc$92916$n656 -.sym 18478 $abc$92916$n651 -.sym 18479 $false -.sym 18481 KEYBOARD.COLS_SHADOW[6] -.sym 18482 $abc$92916$n1219_1 -.sym 18483 $abc$92916$n1218 +.sym 18476 KEYBOARD.RAM.r_data[7] +.sym 18477 KEYBOARD.temp[7] +.sym 18478 $abc$61381$n507 +.sym 18479 KEYBOARD.COLS_SHADOW[7] +.sym 18481 $false +.sym 18482 $false +.sym 18483 $false .sym 18484 $false -.sym 18486 $abc$92916$n662 -.sym 18487 $abc$92916$n1237_1 -.sym 18488 $abc$92916$n1223_1 -.sym 18489 $abc$92916$n1236 -.sym 18491 KEYBOARD.COLS_SHADOW[4] -.sym 18492 $abc$92916$n646 -.sym 18493 $abc$92916$n641 +.sym 18491 $auto$wreduce.cc:310:run$36598[2] +.sym 18492 $false +.sym 18493 $false .sym 18494 $false -.sym 18495 RESET$2 +.sym 18495 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 .sym 18496 CLK$2$2 .sym 18497 $false -.sym 18498 KEYBOARD.RAM.r_data[4] -.sym 18500 KEYBOARD.RAM.r_data[5] -.sym 18502 KEYBOARD.RAM.r_data[6] -.sym 18504 KEYBOARD.RAM.r_data[7] -.sym 18572 $auto$rtlil.cc:1692:NotGate$92753 -.sym 18573 $abc$92916$n846 -.sym 18574 $abc$92916$n857 -.sym 18575 $abc$92916$n871 -.sym 18577 $auto$rtlil.cc:1692:NotGate$92753 -.sym 18578 $abc$92916$n846 -.sym 18579 $abc$92916$n858 -.sym 18580 $abc$92916$n865 -.sym 18582 KEYBOARD.temp[2] -.sym 18583 KEYBOARD.RAM.r_data[2] -.sym 18584 $abc$92916$n628 +.sym 18572 $auto$wreduce.cc:310:run$36598[1] +.sym 18573 $auto$wreduce.cc:310:run$36598[0] +.sym 18574 $auto$wreduce.cc:310:run$36598[2] +.sym 18575 $false +.sym 18577 $false +.sym 18578 $false +.sym 18579 $false +.sym 18580 $false +.sym 18582 I2C_INPUT_LEN[6] +.sym 18583 $false +.sym 18584 $false .sym 18585 $false -.sym 18587 KEYBOARD.temp[0] -.sym 18588 KEYBOARD.RAM.r_data[0] -.sym 18589 $abc$92916$n628 +.sym 18587 I2C_INPUT_LEN[2] +.sym 18588 $false +.sym 18589 $false .sym 18590 $false -.sym 18592 $false -.sym 18593 wr_cnt[0] +.sym 18592 $auto$wreduce.cc:310:run$36598[3]$2 +.sym 18593 $false .sym 18594 $false -.sym 18595 $true$2 -.sym 18597 $abc$92916$n896 -.sym 18598 $abc$92916$n887 -.sym 18599 $abc$92916$n897 +.sym 18595 $false +.sym 18597 $abc$61381$n625 +.sym 18598 i2c_input_data_type[1] +.sym 18599 $false .sym 18600 $false -.sym 18602 $abc$92916$n877 -.sym 18603 $abc$92916$n878 -.sym 18604 $abc$92916$n884 -.sym 18605 $abc$92916$n885 -.sym 18607 $false -.sym 18608 $false -.sym 18609 $false -.sym 18610 $false -.sym 18611 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 +.sym 18602 $techmap\KEYBOARD.$procmux$8366_Y[2] +.sym 18603 $false +.sym 18604 $false +.sym 18605 $false +.sym 18607 $abc$61381$n546 +.sym 18608 $abc$61381$n1013 +.sym 18609 $abc$61381$n1001 +.sym 18610 $abc$61381$n1012 +.sym 18611 RESET$2 .sym 18612 CLK$2$2 .sym 18613 $false .sym 18614 KEYBOARD.kbd_code_hid[0] @@ -8954,434 +8224,362 @@ .sym 18619 KEYBOARD.kbd_code_hid[5] .sym 18620 KEYBOARD.kbd_code_hid[6] .sym 18621 KEYBOARD.kbd_code_hid[7] -.sym 18688 $abc$92916$n904 -.sym 18689 $abc$92916$n905 -.sym 18690 $abc$92916$n906 -.sym 18691 $abc$92916$n885 -.sym 18693 KEYBOARD.kbd_code_hid[7] -.sym 18694 KEYBOARD.kbd_code_hid[6] -.sym 18695 KEYBOARD.report[4][6] -.sym 18696 KEYBOARD.report[4][7] -.sym 18698 $auto$rtlil.cc:1692:NotGate$92753 -.sym 18699 $abc$92916$n846 -.sym 18700 $abc$92916$n858 +.sym 18688 i2c_input_data_type[2] +.sym 18689 $false +.sym 18690 $false +.sym 18691 $false +.sym 18693 i2c_input_data_type[1] +.sym 18694 $false +.sym 18695 $false +.sym 18696 $false +.sym 18698 $techmap\I2C.$procmux$19301_Y +.sym 18699 I2C.i2c_bit_counter[2] +.sym 18700 $false .sym 18701 $false -.sym 18703 $auto$rtlil.cc:1692:NotGate$92753 -.sym 18704 $abc$92916$n846 -.sym 18705 $abc$92916$n859 +.sym 18703 I2C.FLT_SCL.out +.sym 18704 I2C.FLT_SDA.out +.sym 18705 I2C.SDA_LAST .sym 18706 $false -.sym 18708 $abc$92916$n863 -.sym 18709 $abc$92916$n862 -.sym 18710 $abc$92916$n859 +.sym 18708 $auto$alumacc.cc:470:replace_alu$36715.A_buf[1] +.sym 18709 $false +.sym 18710 $false .sym 18711 $false -.sym 18713 KEYBOARD.report[4][0] -.sym 18714 KEYBOARD.report[4][1] -.sym 18715 KEYBOARD.report[4][2] -.sym 18716 KEYBOARD.report[4][3] -.sym 18718 $abc$92916$n858 -.sym 18719 $abc$92916$n864 +.sym 18718 I2C.FLT_SDA.out +.sym 18719 $false .sym 18720 $false .sym 18721 $false -.sym 18723 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[13] -.sym 18724 $false -.sym 18725 $false +.sym 18723 $abc$61381$n939 +.sym 18724 $abc$61381$n936 +.sym 18725 $auto$alumacc.cc:470:replace_alu$36715.A_buf[2] .sym 18726 $false -.sym 18727 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 +.sym 18727 $true .sym 18728 CLK$2$2 -.sym 18729 $auto$rtlil.cc:1692:NotGate$92861 -.sym 18804 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 18805 KEYBOARD.row_counter[0] -.sym 18806 KEYBOARD.row_counter[1] +.sym 18729 $false +.sym 18804 $auto$rtlil.cc:1692:NotGate$61364$2 +.sym 18805 RESET$2 +.sym 18806 $false .sym 18807 $false -.sym 18814 $auto$wreduce.cc:310:run$57529[1] -.sym 18815 $auto$wreduce.cc:310:run$57529[0] -.sym 18816 $auto$wreduce.cc:310:run$57529[2] +.sym 18809 $abc$61381$n624 +.sym 18810 $abc$61381$n636 +.sym 18811 $abc$61381$n883 +.sym 18812 $abc$61381$n890 +.sym 18814 $abc$61381$n611_1 +.sym 18815 $abc$61381$n625 +.sym 18816 I2C_OUTPUT_TYPE[1] .sym 18817 $false -.sym 18819 $auto$rtlil.cc:1692:NotGate$92753 -.sym 18820 KEYBOARD.kbd_code_hid[3] -.sym 18821 $false -.sym 18822 $false -.sym 18824 $false -.sym 18825 $false -.sym 18826 $false -.sym 18827 $false -.sym 18829 $auto$wreduce.cc:310:run$57529[0] -.sym 18830 $false -.sym 18831 $false -.sym 18832 $false -.sym 18843 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 -.sym 18844 CLK$2$2 -.sym 18845 $false -.sym 18920 $abc$92916$n770 -.sym 18921 I2C.received_byte[4] -.sym 18922 I2C.received_byte[5] -.sym 18923 $false -.sym 18925 $abc$92916$n769_1 -.sym 18926 $abc$92916$n771 +.sym 18819 $abc$61381$n624 +.sym 18820 $abc$61381$n883 +.sym 18821 $abc$61381$n636 +.sym 18822 $abc$61381$n884 +.sym 18824 $abc$61381$n882 +.sym 18825 $abc$61381$n886 +.sym 18826 $abc$61381$n889 +.sym 18827 $2\INT[0:0] +.sym 18829 $abc$61381$n636 +.sym 18830 $abc$61381$n624 +.sym 18831 $abc$61381$n883 +.sym 18832 $abc$61381$n887 +.sym 18834 $abc$61381$n611_1 +.sym 18835 $abc$61381$n625 +.sym 18836 I2C_OUTPUT_TYPE[0] +.sym 18837 $false +.sym 18839 $abc$61381$n611_1 +.sym 18840 $abc$61381$n625 +.sym 18841 I2C_OUTPUT_TYPE[2] +.sym 18842 $false +.sym 18920 $abc$61381$n557 +.sym 18921 KEYBOARD.kbd_code_hid[7] +.sym 18922 KEYBOARD.kbd_code_hid[6] +.sym 18923 KEYBOARD.kbd_code_hid[3] +.sym 18925 I2C_TRANS +.sym 18926 last_trans .sym 18927 $false .sym 18928 $false -.sym 18930 $abc$92916$n752 -.sym 18931 $abc$92916$n1082 -.sym 18932 $abc$92916$n771 +.sym 18930 I2C_TRANS +.sym 18931 last_trans +.sym 18932 $false .sym 18933 $false -.sym 18935 I2C.received_byte[5] -.sym 18936 I2C.received_byte[4] -.sym 18937 $abc$92916$n770 +.sym 18935 KEYBOARD.row_counter[3] +.sym 18936 $auto$wreduce.cc:310:run$36598[3]$2 +.sym 18937 $auto$rtlil.cc:1692:NotGate$61364$2 .sym 18938 $false -.sym 18940 I2C.received_byte[0] -.sym 18941 I2C.received_byte[3] -.sym 18942 I2C.received_byte[1] -.sym 18943 I2C.received_byte[2] -.sym 18945 I2C.received_byte[0] -.sym 18946 I2C.received_byte[3] -.sym 18947 I2C.received_byte[1] -.sym 18948 I2C.received_byte[2] -.sym 18950 $abc$92916$n969 -.sym 18951 ring_wr[0] -.sym 18952 ring_wr[1] -.sym 18953 $false -.sym 18955 $abc$92916$n752 -.sym 18956 $abc$92916$n770 -.sym 18957 $abc$92916$n771 -.sym 18958 I2C.received_byte[4] -.sym 19036 I2C.received_byte[5] -.sym 19037 I2C.received_byte[4] -.sym 19038 $abc$92916$n1081 -.sym 19039 $false -.sym 19041 $add$top.v:98$31_Y[0] -.sym 19042 ring_wr[0] -.sym 19043 $abc$92916$n969 -.sym 19044 $false -.sym 19046 $abc$92916$n1081 -.sym 19047 $abc$92916$n1076 -.sym 19048 $abc$92916$n728 -.sym 19049 I2C.received_byte[2] -.sym 19051 $abc$92916$n1080 -.sym 19052 $abc$92916$n1076 -.sym 19053 $abc$92916$n728 -.sym 19054 I2C.received_byte[1] -.sym 19056 $add$top.v:98$31_Y[2] -.sym 19057 ring_wr[2] -.sym 19058 $abc$92916$n969 -.sym 19059 $false -.sym 19061 $abc$92916$n833 -.sym 19062 $abc$92916$n825 -.sym 19063 $auto$simplemap.cc:250:simplemap_eqne$60443[0] +.sym 18940 KEYBOARD.isr +.sym 18941 $false +.sym 18942 $false +.sym 18943 $false +.sym 18955 $auto$wreduce.cc:310:run$36592[6] +.sym 18956 $false +.sym 18957 $false +.sym 18958 $false +.sym 18959 RESET$2 +.sym 18960 CLK$2$2 +.sym 18961 $false +.sym 19036 UART_WR +.sym 19037 KEYBOARD.isr +.sym 19038 INT +.sym 19039 last_isr +.sym 19041 UART.TX_sig_last +.sym 19042 UART_WR +.sym 19043 RESET$2 +.sym 19044 UART.tx_activity +.sym 19046 $abc$61381$n556 +.sym 19047 KEYBOARD.kbd_code_hid[4] +.sym 19048 KEYBOARD.kbd_code_hid[5] +.sym 19049 $false +.sym 19061 $abc$61381$n882 +.sym 19062 $2\INT[0:0] +.sym 19063 $false .sym 19064 $false -.sym 19066 $add$top.v:98$31_Y[3] -.sym 19067 ring_wr[3] -.sym 19068 $abc$92916$n969 +.sym 19066 $abc$61381$n886 +.sym 19067 $2\INT[0:0] +.sym 19068 $false .sym 19069 $false -.sym 19071 I2C.FLT_SDA.out +.sym 19071 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 19072 $false .sym 19073 $false .sym 19074 $false -.sym 19075 $auto$dff2dffe.cc:175:make_patterns_logic$84986 +.sym 19075 $auto$dff2dffe.cc:175:make_patterns_logic$51864$2 .sym 19076 CLK$2$2 -.sym 19077 $false -.sym 19114 $true -.sym 19151 ring_wr[0]$2 -.sym 19152 $false -.sym 19153 ring_wr[0] -.sym 19154 $false +.sym 19077 $logic_not$top.v:60$10_Y$2 +.sym 19152 KEYBOARD.kbd_code_hid[4] +.sym 19153 KEYBOARD.kbd_code_hid[3] +.sym 19154 KEYBOARD.kbd_code_hid[5] .sym 19155 $false -.sym 19156 $auto$alumacc.cc:484:replace_alu$57668[1] -.sym 19158 $false -.sym 19159 ring_wr[1] -.sym 19161 $auto$alumacc.cc:484:replace_alu$57668[2] +.sym 19157 $abc$61381$n671 +.sym 19158 KEYBOARD.kbd_code_hid[7] +.sym 19159 KEYBOARD.kbd_code_hid[6] +.sym 19160 $false .sym 19162 $false -.sym 19163 $false -.sym 19164 ring_wr[2] -.sym 19165 $auto$alumacc.cc:484:replace_alu$57668[1] -.sym 19166 $auto$alumacc.cc:484:replace_alu$57668[3]$2 -.sym 19167 $false -.sym 19168 $false -.sym 19169 ring_wr[3] -.sym 19170 $auto$alumacc.cc:484:replace_alu$57668[2] -.sym 19175 $auto$alumacc.cc:484:replace_alu$57668[3]$2 -.sym 19177 $add$top.v:98$31_Y[0] -.sym 19178 $add$top.v:98$31_Y[3] -.sym 19179 ring_rd[0] -.sym 19180 ring_rd[3] -.sym 19182 $abc$92916$n970 -.sym 19183 ring_wr[0] -.sym 19184 ring_wr[1] -.sym 19185 ring_rd[1] -.sym 19187 $abc$92916$n971_1 -.sym 19188 $add$top.v:98$31_Y[4] -.sym 19189 $add$top.v:98$31_Y[2] -.sym 19190 ring_rd[2] -.sym 19230 $true -.sym 19267 ring_rd[0]$2 -.sym 19268 $false -.sym 19269 ring_rd[0] -.sym 19270 $false +.sym 19163 I2C.FLT_SCL.counter[0] +.sym 19164 $false +.sym 19165 $true$2 +.sym 19167 $techmap\I2C.FLT_SCL.$procmux$993_Y[0] +.sym 19168 $abc$61381$n755 +.sym 19169 $false +.sym 19170 $false +.sym 19177 $abc$61381$n754 +.sym 19178 $auto$wreduce.cc:310:run$36590[0] +.sym 19179 $false +.sym 19180 $false +.sym 19182 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[8] +.sym 19183 $false +.sym 19184 $false +.sym 19185 $false +.sym 19187 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[11] +.sym 19188 $false +.sym 19189 $false +.sym 19190 $false +.sym 19191 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 +.sym 19192 CLK$2$2 +.sym 19193 $auto$wreduce.cc:310:run$36598[3]$2 +.sym 19268 I2C.FLT_SCL.counter[0] +.sym 19269 I2C.FLT_SCL.counter[1] +.sym 19270 I2C.FLT_SCL.counter[2] .sym 19271 $false -.sym 19272 $auto$alumacc.cc:484:replace_alu$57653[1] -.sym 19274 $false -.sym 19275 ring_rd[1] -.sym 19277 $auto$alumacc.cc:484:replace_alu$57653[2]$2 +.sym 19273 $techmap\I2C.FLT_SCL.$procmux$993_Y[1] +.sym 19274 $auto$wreduce.cc:310:run$36590[2] +.sym 19275 I2C.FLT_SCL.out +.sym 19276 I2C.SCLF .sym 19278 $false -.sym 19279 $false -.sym 19280 ring_rd[2] -.sym 19281 $auto$alumacc.cc:484:replace_alu$57653[1] -.sym 19286 $auto$alumacc.cc:484:replace_alu$57653[2]$2 -.sym 19288 I2C.received_byte[5] -.sym 19289 $false -.sym 19290 $false +.sym 19279 I2C.FLT_SCL.counter[2] +.sym 19280 $true$2 +.sym 19281 $auto$alumacc.cc:484:replace_alu$36720[1] +.sym 19283 I2C.FLT_SCL.counter[0] +.sym 19284 I2C.FLT_SCL.counter[1] +.sym 19285 $false +.sym 19286 $false +.sym 19288 $abc$61381$n754 +.sym 19289 I2C.FLT_SCL.out +.sym 19290 I2C.SCLF .sym 19291 $false -.sym 19293 I2C.received_byte[7] +.sym 19293 $techmap\I2C.FLT_SCL.$procmux$993_Y[1] .sym 19294 $false .sym 19295 $false .sym 19296 $false -.sym 19298 $abc$92916$n833 -.sym 19299 $abc$92916$n825 -.sym 19300 $auto$simplemap.cc:250:simplemap_eqne$60443[0] +.sym 19298 $abc$61381$n754 +.sym 19299 $auto$wreduce.cc:310:run$36590[2] +.sym 19300 $false .sym 19301 $false -.sym 19303 I2C.FLT_SDA.out +.sym 19303 $techmap\I2C.FLT_SCL.$procmux$993_Y[0] .sym 19304 $false .sym 19305 $false .sym 19306 $false -.sym 19307 $auto$dff2dffe.cc:175:make_patterns_logic$84852 +.sym 19307 $auto$dff2dffe.cc:158:make_patterns_logic$60857 .sym 19308 CLK$2$2 -.sym 19309 $false +.sym 19309 $logic_not$top.v:60$10_Y$2 .sym 19384 $false .sym 19385 $false -.sym 19386 wr_cnt[3] -.sym 19387 $auto$alumacc.cc:484:replace_alu$57650[2] -.sym 19389 $false -.sym 19390 $true$2 -.sym 19391 wr_cnt[0] -.sym 19392 $false -.sym 19394 $2\INT[0:0] -.sym 19395 wr_cnt[0] -.sym 19396 wr_cnt[1] +.sym 19386 $false +.sym 19387 $false +.sym 19394 KEYBOARD.kbd_code_hid[0] +.sym 19395 $false +.sym 19396 $false .sym 19397 $false -.sym 19399 $2\INT[0:0] -.sym 19400 $abc$92916$n740 -.sym 19401 $add$top.v:123$53_Y[3] +.sym 19399 KEYBOARD.kbd_code_hid[2] +.sym 19400 $false +.sym 19401 $false .sym 19402 $false -.sym 19409 $2\INT[0:0] -.sym 19410 $abc$92916$n740 -.sym 19411 $add$top.v:123$53_Y[2] +.sym 19409 KEYBOARD.kbd_code_hid[3] +.sym 19410 $false +.sym 19411 $false .sym 19412 $false -.sym 19414 $abc$92916$n740 -.sym 19415 $add$top.v:123$53_Y[0] -.sym 19416 $2\INT[0:0] -.sym 19417 $false -.sym 19423 $auto$dff2dffe.cc:175:make_patterns_logic$74485 +.sym 19423 $auto$dff2dffe.cc:175:make_patterns_logic$58513 .sym 19424 CLK$2$2 -.sym 19425 $logic_not$top.v:66$10_Y$2 -.sym 19500 I2C.byte_counter[3] -.sym 19501 I2C.byte_counter[4] -.sym 19502 I2C.byte_counter[5] -.sym 19503 I2C.byte_counter[6] -.sym 19505 $auto$alumacc.cc:483:replace_alu$57684[2] -.sym 19506 $auto$alumacc.cc:483:replace_alu$57684[7] -.sym 19507 $abc$92916$n735 -.sym 19508 $abc$92916$n1142 -.sym 19510 $abc$92916$n831_1 -.sym 19511 $abc$92916$n833 -.sym 19512 $false -.sym 19513 $false -.sym 19515 $abc$92916$n819 -.sym 19516 $techmap\I2C.$sub$i2c_slave.v:143$226_Y[2] +.sym 19425 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 19500 KEYBOARD.report[2][4] +.sym 19501 KEYBOARD.report[2][5] +.sym 19502 KEYBOARD.report[2][6] +.sym 19503 KEYBOARD.report[2][7] +.sym 19510 KEYBOARD.kbd_code_hid[3] +.sym 19511 KEYBOARD.kbd_code_hid[2] +.sym 19512 KEYBOARD.report[2][2] +.sym 19513 KEYBOARD.report[2][3] +.sym 19515 KEYBOARD.kbd_code_hid[7] +.sym 19516 $false .sym 19517 $false .sym 19518 $false -.sym 19520 $abc$92916$n818 -.sym 19521 $abc$92916$n831_1 +.sym 19520 KEYBOARD.kbd_code_hid[4] +.sym 19521 $false .sym 19522 $false .sym 19523 $false -.sym 19530 $auto$alumacc.cc:483:replace_alu$57684[1] +.sym 19530 KEYBOARD.kbd_code_hid[6] .sym 19531 $false .sym 19532 $false .sym 19533 $false -.sym 19535 I2C.FLT_SDA.out -.sym 19536 $false -.sym 19537 $false -.sym 19538 $false -.sym 19539 $auto$dff2dffe.cc:175:make_patterns_logic$85053 +.sym 19539 $auto$dff2dffe.cc:175:make_patterns_logic$58513 .sym 19540 CLK$2$2 -.sym 19541 $false -.sym 19616 $techmap\I2C.$procmux$19804_Y -.sym 19617 I2C.byte_counter[4] +.sym 19541 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 19578 $true +.sym 19615 KEYBOARD.kbd_code_hid[0]$2 +.sym 19616 $false +.sym 19617 KEYBOARD.kbd_code_hid[0] .sym 19618 $false .sym 19619 $false -.sym 19621 $false -.sym 19622 $auto$alumacc.cc:483:replace_alu$57687[0] +.sym 19620 $auto$alumacc.cc:484:replace_alu$36634[1] +.sym 19622 KEYBOARD.kbd_code_hid[1] .sym 19623 $false -.sym 19624 $true$2 -.sym 19626 $techmap\I2C.$procmux$19804_Y -.sym 19627 I2C.byte_counter[3] +.sym 19625 $auto$alumacc.cc:484:replace_alu$36634[2] +.sym 19627 KEYBOARD.kbd_code_hid[2] .sym 19628 $false -.sym 19629 $false -.sym 19631 $false -.sym 19632 $false -.sym 19633 $auto$alumacc.cc:483:replace_alu$57684[7] -.sym 19634 $auto$alumacc.cc:470:replace_alu$57683.C[7] -.sym 19636 $techmap\I2C.$procmux$19804_Y -.sym 19637 I2C.byte_counter[2] +.sym 19630 $auto$alumacc.cc:484:replace_alu$36634[3] +.sym 19632 KEYBOARD.kbd_code_hid[3] +.sym 19633 $false +.sym 19635 $auto$alumacc.cc:484:replace_alu$36634[4] +.sym 19637 KEYBOARD.kbd_code_hid[4] .sym 19638 $false -.sym 19639 $false -.sym 19646 $techmap\I2C.$procmux$19804_Y -.sym 19647 I2C.byte_counter[7] +.sym 19640 $auto$alumacc.cc:484:replace_alu$36634[5] +.sym 19642 KEYBOARD.kbd_code_hid[5] +.sym 19643 $true$2 +.sym 19645 $auto$alumacc.cc:484:replace_alu$36634[6] +.sym 19647 KEYBOARD.kbd_code_hid[6] .sym 19648 $false -.sym 19649 $false -.sym 19651 $auto$alumacc.cc:483:replace_alu$57684[5] -.sym 19652 $abc$92916$n1141 -.sym 19653 $auto$wreduce.cc:310:run$57516[5] -.sym 19654 $abc$92916$n1139 -.sym 19655 $true -.sym 19656 CLK$2$2 -.sym 19657 $false -.sym 19694 $true -.sym 19731 $auto$alumacc.cc:483:replace_alu$57687[0]$2 -.sym 19732 $false -.sym 19733 $auto$alumacc.cc:483:replace_alu$57687[0] -.sym 19734 $false -.sym 19735 $false -.sym 19736 $auto$alumacc.cc:484:replace_alu$57688[1] -.sym 19738 $auto$alumacc.cc:470:replace_alu$57572.B_buf[1] -.sym 19739 $true$2 -.sym 19741 $auto$alumacc.cc:484:replace_alu$57688[2] -.sym 19742 $false -.sym 19743 $auto$alumacc.cc:470:replace_alu$57572.B_buf[2] -.sym 19744 $true$2 -.sym 19745 $auto$alumacc.cc:484:replace_alu$57688[1] -.sym 19746 $auto$simplemap.cc:309:simplemap_lut$68433[2]$2 -.sym 19747 $false -.sym 19748 $auto$alumacc.cc:470:replace_alu$57572.B_buf[3] -.sym 19749 $true$2 -.sym 19750 $auto$alumacc.cc:484:replace_alu$57688[2] -.sym 19755 $auto$simplemap.cc:309:simplemap_lut$68433[2]$2 -.sym 19757 $auto$alumacc.cc:483:replace_alu$57573[3] -.sym 19758 $false -.sym 19759 $false -.sym 19760 $false -.sym 19767 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[13] -.sym 19768 $false -.sym 19769 $false -.sym 19770 $false -.sym 19771 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 +.sym 19650 $auto$alumacc.cc:484:replace_alu$36634[7]$2 +.sym 19652 KEYBOARD.kbd_code_hid[7] +.sym 19653 $false +.sym 19735 $auto$alumacc.cc:484:replace_alu$36634[7]$2 +.sym 19752 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[12] +.sym 19753 $false +.sym 19754 $false +.sym 19755 $false +.sym 19771 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 .sym 19772 CLK$2$2 -.sym 19773 $auto$wreduce.cc:310:run$57529[3]$2 +.sym 19773 $auto$wreduce.cc:310:run$36598[3]$2 .sym 19819 $false .sym 19821 KEYBOARD.ROWS_EN[0] .sym 19822 $false .sym 19824 KEYBOARD.ROWS_EN[1] -.sym 19874 $abc$92916$n634 -.sym 19875 $abc$92916$n847 -.sym 19877 $abc$92916$n1231 -.sym 19878 $abc$92916$n613 -.sym 19881 KEYBOARD.report[0][7] -.sym 19950 KEYBOARD.COLS_SHADOW[7] -.sym 19952 KEYBOARD.COLS_SHADOW[6] -.sym 19953 KEYBOARD.COLS_SHADOW[5] -.sym 19956 KEYBOARD.COLS_SHADOW[4] -.sym 19957 KEYBOARD.COLS_SHADOW[0] -.sym 20088 KEYBOARD.COLS_SHADOW[2] -.sym 20089 I2C.SCLF -.sym 20094 I2C.SDAF -.sym 20190 $abc$92916$n860 -.sym 20191 $techmap\KEYBOARD.$procmux$5651_Y[4] -.sym 20192 $abc$92916$n1219_1 -.sym 20193 $abc$92916$n846 -.sym 20194 $abc$92916$n861 -.sym 20195 $abc$92916$n859 -.sym 20196 KEYBOARD.report[3][0] -.sym 20197 KEYBOARD.report[3][4] -.sym 20292 $auto$dff2dffe.cc:175:make_patterns_logic$91231 -.sym 20293 $abc$92916$n885 -.sym 20294 $abc$92916$n656 -.sym 20295 $abc$92916$n640 -.sym 20296 $abc$92916$n646 -.sym 20297 $auto$wreduce.cc:310:run$57522[6] -.sym 20298 KEYBOARD.row_counter[3] -.sym 20299 KEYBOARD.temp[5] -.sym 20394 $abc$92916$n1001 -.sym 20395 $abc$92916$n1005 -.sym 20396 $abc$92916$n1199 -.sym 20397 $abc$92916$n1203 -.sym 20398 $abc$92916$n865 -.sym 20399 KEYBOARD.ram_adr[3] -.sym 20400 KEYBOARD.ram_adr[4] -.sym 20401 KEYBOARD.ram_adr[6] -.sym 20402 $undef -.sym 20403 $undef -.sym 20404 $undef -.sym 20405 $undef -.sym 20406 $undef -.sym 20407 $undef -.sym 20408 $undef -.sym 20409 $undef -.sym 20410 KEYBOARD.ram_adr[0] -.sym 20411 KEYBOARD.ram_adr[1] -.sym 20412 $false -.sym 20413 KEYBOARD.ram_adr[2] -.sym 20414 KEYBOARD.ram_adr[3] -.sym 20415 KEYBOARD.ram_adr[4] -.sym 20416 KEYBOARD.ram_adr[5] -.sym 20417 KEYBOARD.ram_adr[6] -.sym 20418 KEYBOARD.ram_adr[7] -.sym 20419 $false -.sym 20420 $false -.sym 20421 CLK$2$2 -.sym 20422 $true -.sym 20423 KEYBOARD.temp[0] -.sym 20424 $undef -.sym 20425 KEYBOARD.temp[1] -.sym 20426 $undef -.sym 20427 KEYBOARD.temp[2] -.sym 20428 $undef -.sym 20429 KEYBOARD.temp[3] -.sym 20430 $undef -.sym 20431 KEYBOARD.ram_wr -.sym 20496 $abc$92916$n868 -.sym 20497 $abc$92916$n856 -.sym 20498 $abc$92916$n864 -.sym 20499 $abc$92916$n888 -.sym 20500 $auto$dff2dffe.cc:175:make_patterns_logic$88296 -.sym 20501 $abc$92916$n887 -.sym 20502 $abc$92916$n889 -.sym 20503 $abc$92916$n884 -.sym 20504 $undef -.sym 20505 $undef -.sym 20506 $undef -.sym 20507 $undef -.sym 20508 $undef -.sym 20509 $undef -.sym 20510 $undef -.sym 20511 $undef -.sym 20512 KEYBOARD.ram_adr[0] -.sym 20513 KEYBOARD.ram_adr[1] -.sym 20514 $false -.sym 20515 KEYBOARD.ram_adr[2] -.sym 20516 KEYBOARD.ram_adr[3] -.sym 20517 KEYBOARD.ram_adr[4] -.sym 20518 KEYBOARD.ram_adr[5] -.sym 20519 KEYBOARD.ram_adr[6] -.sym 20520 KEYBOARD.ram_adr[7] -.sym 20521 $false -.sym 20522 $false -.sym 20523 CLK$2$2 -.sym 20524 $true -.sym 20525 $true$2 -.sym 20526 KEYBOARD.temp[5] -.sym 20527 $undef -.sym 20528 KEYBOARD.temp[6] -.sym 20529 $undef -.sym 20530 KEYBOARD.temp[7] -.sym 20531 $undef -.sym 20532 KEYBOARD.temp[4] -.sym 20533 $undef -.sym 20598 KEYBOARD.kbd_code[7] -.sym 20599 KEYBOARD.kbd_code[5] -.sym 20600 KEYBOARD.kbd_code[6] -.sym 20601 KEYBOARD.kbd_code[4] -.sym 20602 KEYBOARD.kbd_code[2] -.sym 20603 KEYBOARD.row_counter[1] -.sym 20604 KEYBOARD.kbd_code[3] -.sym 20605 KEYBOARD.row_counter[0] +.sym 19950 $auto$wreduce.cc:310:run$36594[0] +.sym 19957 KEYBOARD.ROWS_EN[5] +.sym 20088 $abc$61381$n536 +.sym 20089 $abc$61381$n539 +.sym 20090 $abc$61381$n1009 +.sym 20091 $abc$61381$n1011 +.sym 20092 $abc$61381$n1012 +.sym 20093 $abc$61381$n534 +.sym 20094 KEYBOARD.temp[1] +.sym 20095 KEYBOARD.temp[3] +.sym 20190 $abc$61381$n549 +.sym 20191 $abc$61381$n537 +.sym 20192 $abc$61381$n540 +.sym 20193 KEYBOARD.ram_adr[4] +.sym 20194 KEYBOARD.ram_adr[5] +.sym 20195 KEYBOARD.ram_adr[1] +.sym 20196 KEYBOARD.ram_adr[3] +.sym 20197 KEYBOARD.ram_adr[7] +.sym 20198 $undef +.sym 20199 $undef +.sym 20200 $undef +.sym 20201 $undef +.sym 20202 $undef +.sym 20203 $undef +.sym 20204 $undef +.sym 20205 $undef +.sym 20206 KEYBOARD.ram_adr[0] +.sym 20207 KEYBOARD.ram_adr[1] +.sym 20208 $false +.sym 20209 KEYBOARD.ram_adr[2] +.sym 20210 KEYBOARD.ram_adr[3] +.sym 20211 KEYBOARD.ram_adr[4] +.sym 20212 KEYBOARD.ram_adr[5] +.sym 20213 KEYBOARD.ram_adr[6] +.sym 20214 KEYBOARD.ram_adr[7] +.sym 20215 $false +.sym 20216 $false +.sym 20217 CLK$2$2 +.sym 20218 $true +.sym 20219 KEYBOARD.temp[0] +.sym 20220 $undef +.sym 20221 KEYBOARD.temp[1] +.sym 20222 $undef +.sym 20223 KEYBOARD.temp[2] +.sym 20224 $undef +.sym 20225 KEYBOARD.temp[3] +.sym 20226 $undef +.sym 20227 $techmap$techmap36770\KEYBOARD.RAM.mem.0.0.0.$reduce_or$/usr/bin/../share/yosys/ice40/brams_map.v:308$36769_Y +.sym 20292 $abc$61381$n520 +.sym 20293 $auto$alumacc.cc:483:replace_alu$36689[4] +.sym 20295 $auto$alumacc.cc:483:replace_alu$36689[1] +.sym 20296 $auto$alumacc.cc:483:replace_alu$36689[7] +.sym 20299 $auto$alumacc.cc:483:replace_alu$36689[3] +.sym 20300 $undef +.sym 20301 $undef +.sym 20302 $undef +.sym 20303 $undef +.sym 20304 $undef +.sym 20305 $undef +.sym 20306 $undef +.sym 20307 $undef +.sym 20308 KEYBOARD.ram_adr[0] +.sym 20309 KEYBOARD.ram_adr[1] +.sym 20310 $false +.sym 20311 KEYBOARD.ram_adr[2] +.sym 20312 KEYBOARD.ram_adr[3] +.sym 20313 KEYBOARD.ram_adr[4] +.sym 20314 KEYBOARD.ram_adr[5] +.sym 20315 KEYBOARD.ram_adr[6] +.sym 20316 KEYBOARD.ram_adr[7] +.sym 20317 $false +.sym 20318 $false +.sym 20319 CLK$2$2 +.sym 20320 $true +.sym 20321 $true$2 +.sym 20322 KEYBOARD.temp[5] +.sym 20323 $undef +.sym 20324 KEYBOARD.temp[6] +.sym 20325 $undef +.sym 20326 KEYBOARD.temp[7] +.sym 20327 $undef +.sym 20328 KEYBOARD.temp[4] +.sym 20329 $undef +.sym 20401 $auto$alumacc.cc:484:replace_alu$36690[7] +.sym 20500 $auto$simplemap.cc:250:simplemap_eqne$52942[1] +.sym 20501 $auto$alumacc.cc:483:replace_alu$36644[2] +.sym 20502 KEYBOARD.ram_adr[0] +.sym 20599 KEYBOARD.kbd_code[4] +.sym 20600 KEYBOARD.kbd_code[3] +.sym 20601 KEYBOARD.kbd_code[5] +.sym 20603 KEYBOARD.kbd_code[6] +.sym 20604 KEYBOARD.kbd_code[7] .sym 20614 $false .sym 20615 $false .sym 20617 $false @@ -9401,12 +8599,8 @@ .sym 20633 $false .sym 20634 $false .sym 20635 $false -.sym 20700 $add$top.v:98$31_Y[0] -.sym 20701 $abc$92916$n782 -.sym 20702 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[8] -.sym 20704 $abc$92916$n777 -.sym 20705 $auto$wreduce.cc:310:run$57529[1] -.sym 20707 I2C.received_byte[5] +.sym 20703 last_trans +.sym 20707 last_uart_active .sym 20716 KEYBOARD.kbd_code[0] .sym 20717 KEYBOARD.kbd_code[1] .sym 20719 KEYBOARD.kbd_code[2] @@ -9418,974 +8612,633 @@ .sym 20727 CLK$2$2 .sym 20728 $true .sym 20729 $true$2 -.sym 20804 $auto$alumacc.cc:484:replace_alu$57694[1] -.sym 20805 $abc$92916$n770 -.sym 20806 $abc$92916$n1075 -.sym 20807 $auto$dff2dffe.cc:175:make_patterns_logic$84919 -.sym 20808 ring_wr[0] -.sym 20809 ring_wr[2] -.sym 20904 $auto$alumacc.cc:483:replace_alu$57618[3] -.sym 20905 $abc$92916$n1086_1 -.sym 20906 $add$top.v:98$31_Y[4] -.sym 20907 $abc$92916$n1076 -.sym 20908 $techmap\UART.$sub$uart.v:40$540_Y[2] -.sym 20909 $auto$alumacc.cc:483:replace_alu$57618[6] -.sym 20910 $auto$alumacc.cc:483:replace_alu$57618[4] -.sym 20911 I2C.received_byte[0] -.sym 21013 $auto$alumacc.cc:484:replace_alu$57619[7] -.sym 21110 $add$top.v:123$53_Y[2] -.sym 21111 $auto$alumacc.cc:484:replace_alu$57650[2] -.sym 21113 $auto$alumacc.cc:483:replace_alu$57618[2] -.sym 21114 KEYBOARD.ROWS_EN[2] -.sym 21115 KEYBOARD.ROWS_EN[0] -.sym 21210 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[7] -.sym 21211 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[6] -.sym 21212 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[2] -.sym 21213 $auto$alumacc.cc:483:replace_alu$57684[5] -.sym 21214 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[5] -.sym 21215 $auto$alumacc.cc:483:replace_alu$57684[6] -.sym 21216 $abc$92916$n818 -.sym 21217 I2C.received_byte[3] -.sym 21312 $abc$92916$n1139 -.sym 21313 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[4] -.sym 21314 I2C.byte_counter[7] -.sym 21315 I2C.byte_counter[3] -.sym 21316 I2C.byte_counter[6] -.sym 21318 I2C.byte_counter[4] -.sym 21319 I2C.byte_counter[2] -.sym 21416 $auto$wreduce.cc:310:run$57516[2] -.sym 21417 $auto$wreduce.cc:310:run$57516[3] -.sym 21418 $auto$wreduce.cc:310:run$57516[4] -.sym 21419 $auto$wreduce.cc:310:run$57516[5] -.sym 21420 $auto$wreduce.cc:310:run$57516[6] -.sym 21421 $auto$alumacc.cc:470:replace_alu$57683.C[7] +.sym 20803 $abc$61381$n572 +.sym 20804 UART.tx_activity +.sym 20806 KEYBOARD.isr +.sym 20807 UART.TX_sig_last +.sym 20809 last_wr +.sym 20907 I2C.received_byte[0] +.sym 21008 $auto$wreduce.cc:310:run$36604[2] +.sym 21009 $auto$alumacc.cc:484:replace_alu$36756[2] +.sym 21010 $abc$61381$n663 +.sym 21012 UART.tx_clk_counter[2] +.sym 21013 UART.tx_clk_counter[1] +.sym 21110 $auto$alumacc.cc:484:replace_alu$36720[1] +.sym 21114 I2C.FLT_SDA.out +.sym 21212 $auto$alumacc.cc:484:replace_alu$36723[1] +.sym 21216 KEYBOARD.report[2][5] .sym 21591 $false .sym 21593 KEYBOARD.ROWS_EN[2] .sym 21594 $false .sym 21596 KEYBOARD.ROWS_EN[3] -.sym 21651 $auto$alumacc.cc:484:replace_alu$57558[7] -.sym 21721 KEYBOARD.RAM.r_data[6] -.sym 21722 KEYBOARD.temp[6] -.sym 21723 $abc$92916$n628 -.sym 21724 KEYBOARD.COLS_SHADOW[6] -.sym 21726 $abc$92916$n848 -.sym 21727 $auto$alumacc.cc:484:replace_alu$57558[7] -.sym 21728 $false -.sym 21729 $false -.sym 21736 $abc$92916$n641 -.sym 21737 $abc$92916$n646 -.sym 21738 KEYBOARD.COLS_SHADOW[4] -.sym 21739 $false -.sym 21741 KEYBOARD.is_pressed -.sym 21742 $abc$92916$n620 -.sym 21743 $abc$92916$n635 -.sym 21744 $abc$92916$n614 -.sym 21756 KEYBOARD.report[0][7] -.sym 21757 $auto$rtlil.cc:1692:NotGate$92753 -.sym 21758 $abc$92916$n849 -.sym 21759 $false -.sym 21760 $auto$dff2dffe.cc:175:make_patterns_logic$91231 -.sym 21761 CLK$2$2 -.sym 21762 $logic_not$top.v:66$10_Y$2 -.sym 21774 $auto$rtlil.cc:1692:NotGate$92861 -.sym 21877 KBD_COLUMNS[7]$2 +.sym 21770 KEYBOARD.ROWS_EN[14] +.sym 21877 $false .sym 21878 $false .sym 21879 $false .sym 21880 $false -.sym 21887 KBD_COLUMNS[6]$2 -.sym 21888 $false -.sym 21889 $false -.sym 21890 $false -.sym 21892 KBD_COLUMNS[5]$2 -.sym 21893 $false -.sym 21894 $false -.sym 21895 $false -.sym 21907 KBD_COLUMNS[4]$2 -.sym 21908 $false -.sym 21909 $false -.sym 21910 $false -.sym 21912 KBD_COLUMNS[0]$2 +.sym 21912 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[13] .sym 21913 $false .sym 21914 $false .sym 21915 $false -.sym 21916 $true +.sym 21916 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 .sym 21917 CLK$2$2 -.sym 21918 $false -.sym 21919 $auto$wreduce.cc:310:run$57528[0] -.sym 21920 KEYBOARD.report[4][5] -.sym 21921 KEYBOARD.report[4][0] -.sym 21923 KEYBOARD.report[4][4] -.sym 21993 KBD_COLUMNS[2]$2 -.sym 21994 $false -.sym 21995 $false +.sym 21918 $auto$wreduce.cc:310:run$36598[3]$2 +.sym 21920 KEYBOARD.is_pressed +.sym 21993 $abc$61381$n537 +.sym 21994 KEYBOARD.is_pressed +.sym 21995 KEYBOARD.COLS_SHADOW[3] .sym 21996 $false -.sym 21998 SCL$2 -.sym 21999 $false -.sym 22000 $false -.sym 22001 $false -.sym 22023 I2C.SDA_IN -.sym 22024 $false -.sym 22025 $false +.sym 21998 $abc$61381$n540 +.sym 21999 KEYBOARD.is_pressed +.sym 22000 KEYBOARD.COLS_SHADOW[1] +.sym 22001 $abc$61381$n535 +.sym 22003 $abc$61381$n530 +.sym 22004 $abc$61381$n537 +.sym 22005 KEYBOARD.COLS_SHADOW[3] +.sym 22006 $false +.sym 22008 $abc$61381$n535 +.sym 22009 $abc$61381$n540 +.sym 22010 KEYBOARD.COLS_SHADOW[1] +.sym 22011 $false +.sym 22013 $abc$61381$n546 +.sym 22014 $abc$61381$n549 +.sym 22015 KEYBOARD.COLS_SHADOW[0] +.sym 22016 $false +.sym 22018 $abc$61381$n536 +.sym 22019 $abc$61381$n530 +.sym 22020 $abc$61381$n535 +.sym 22021 $false +.sym 22023 KEYBOARD.COLS_SHADOW[1] +.sym 22024 $abc$61381$n540 +.sym 22025 $abc$61381$n535 .sym 22026 $false -.sym 22032 $true +.sym 22028 KEYBOARD.COLS_SHADOW[3] +.sym 22029 $abc$61381$n537 +.sym 22030 $abc$61381$n530 +.sym 22031 $false +.sym 22032 RESET$2 .sym 22033 CLK$2$2 .sym 22034 $false -.sym 22035 KEYBOARD.report[1][7] -.sym 22036 KEYBOARD.report[1][2] -.sym 22037 KEYBOARD.report[1][4] -.sym 22038 KEYBOARD.report[1][1] -.sym 22039 KEYBOARD.report[1][6] -.sym 22040 KEYBOARD.report[1][0] -.sym 22041 KEYBOARD.report[1][3] -.sym 22042 KEYBOARD.report[1][5] -.sym 22109 KEYBOARD.report[1][4] -.sym 22110 KEYBOARD.report[1][5] -.sym 22111 KEYBOARD.report[1][6] -.sym 22112 KEYBOARD.report[1][7] -.sym 22114 $auto$rtlil.cc:1692:NotGate$92753 -.sym 22115 KEYBOARD.kbd_code_hid[4] -.sym 22116 $false +.sym 22035 KEYBOARD.ROWS_EN[9] +.sym 22037 KEYBOARD.ROWS_EN[10] +.sym 22040 KEYBOARD.ROWS_EN[8] +.sym 22109 KEYBOARD.temp[0] +.sym 22110 KEYBOARD.RAM.r_data[0] +.sym 22111 $abc$61381$n507 +.sym 22112 $false +.sym 22114 KEYBOARD.temp[3] +.sym 22115 KEYBOARD.RAM.r_data[3] +.sym 22116 $abc$61381$n507 .sym 22117 $false -.sym 22119 KEYBOARD.temp[6] -.sym 22120 KEYBOARD.RAM.r_data[6] -.sym 22121 $abc$92916$n628 +.sym 22119 KEYBOARD.temp[1] +.sym 22120 KEYBOARD.RAM.r_data[1] +.sym 22121 $abc$61381$n507 .sym 22122 $false -.sym 22124 $techmap\KEYBOARD.$procmux$18803_CMP -.sym 22125 $abc$92916$n847 -.sym 22126 RESET$2 +.sym 22124 $false +.sym 22125 $false +.sym 22126 $false .sym 22127 $false -.sym 22129 KEYBOARD.report[1][0] -.sym 22130 KEYBOARD.report[1][1] -.sym 22131 KEYBOARD.report[1][2] -.sym 22132 KEYBOARD.report[1][3] -.sym 22134 $abc$92916$n860 -.sym 22135 $abc$92916$n861 +.sym 22129 $false +.sym 22130 $false +.sym 22131 $false +.sym 22132 $false +.sym 22134 $auto$wreduce.cc:310:run$36598[1] +.sym 22135 $false .sym 22136 $false .sym 22137 $false -.sym 22139 $techmap\KEYBOARD.$procmux$5651_Y[0] +.sym 22139 $auto$wreduce.cc:310:run$36598[3]$2 .sym 22140 $false .sym 22141 $false .sym 22142 $false -.sym 22144 $techmap\KEYBOARD.$procmux$5651_Y[4] +.sym 22144 $false .sym 22145 $false .sym 22146 $false .sym 22147 $false -.sym 22148 $auto$dff2dffe.cc:175:make_patterns_logic$89305 +.sym 22148 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 .sym 22149 CLK$2$2 -.sym 22150 $logic_not$top.v:66$10_Y$2 -.sym 22151 $abc$92916$n927 -.sym 22152 $techmap\I2C.FLT_SDA.$procmux$1018_Y[0] -.sym 22153 $abc$92916$n928 -.sym 22154 $auto$dff2dffe.cc:175:make_patterns_logic$92215 -.sym 22155 $auto$dff2dffe.cc:158:make_patterns_logic$92230 -.sym 22156 I2C.FLT_SDA.counter[2] -.sym 22157 I2C.FLT_SDA.counter[1] -.sym 22158 I2C.FLT_SDA.counter[0] -.sym 22225 RESET$2 -.sym 22226 $abc$92916$n847 -.sym 22227 $techmap\KEYBOARD.$procmux$18803_CMP -.sym 22228 $false -.sym 22230 $abc$92916$n847 -.sym 22231 $techmap\KEYBOARD.$procmux$18803_CMP -.sym 22232 RESET$2 +.sym 22150 $false +.sym 22152 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[9] +.sym 22153 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[10] +.sym 22154 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[15] +.sym 22155 $auto$wreduce.cc:310:run$36598[0] +.sym 22157 KEYBOARD.row_counter[0] +.sym 22225 KEYBOARD.temp[7] +.sym 22226 KEYBOARD.RAM.r_data[7] +.sym 22227 $abc$61381$n507 +.sym 22228 KEYBOARD.COLS_SHADOW[7] +.sym 22230 I2C_INPUT_LEN[4] +.sym 22231 $false +.sym 22232 $false .sym 22233 $false -.sym 22235 KEYBOARD.temp[1] -.sym 22236 KEYBOARD.RAM.r_data[1] -.sym 22237 $abc$92916$n628 -.sym 22238 $false -.sym 22240 KEYBOARD.temp[5] -.sym 22241 KEYBOARD.RAM.r_data[5] -.sym 22242 $abc$92916$n628 +.sym 22240 I2C_INPUT_LEN[1] +.sym 22241 $false +.sym 22242 $false .sym 22243 $false -.sym 22245 KEYBOARD.temp[4] -.sym 22246 KEYBOARD.RAM.r_data[4] -.sym 22247 $abc$92916$n628 +.sym 22245 I2C_INPUT_LEN[7] +.sym 22246 $false +.sym 22247 $false .sym 22248 $false -.sym 22250 KEYBOARD.row_counter[3] -.sym 22251 $auto$wreduce.cc:310:run$57529[3]$2 -.sym 22252 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 22253 $false -.sym 22255 $auto$wreduce.cc:310:run$57522[6] -.sym 22256 $false -.sym 22257 $false -.sym 22258 $false -.sym 22260 KEYBOARD.COLS_SHADOW[5] -.sym 22261 $abc$92916$n640 -.sym 22262 $abc$92916$n614 +.sym 22260 I2C_INPUT_LEN[3] +.sym 22261 $false +.sym 22262 $false .sym 22263 $false -.sym 22264 RESET$2 -.sym 22265 CLK$2$2 -.sym 22266 $false -.sym 22267 $techmap\I2C.FLT_SDA.$procmux$1018_Y[1] -.sym 22268 $auto$wreduce.cc:310:run$57521[2] -.sym 22269 KEYBOARD.ROWS_EN[15] -.sym 22270 KEYBOARD.ROWS_EN[11] -.sym 22273 KEYBOARD.ROWS_EN[10] -.sym 22341 wr_cnt[0] -.sym 22342 wr_cnt[1] -.sym 22343 $sub$top.v:122$51_Y[0] -.sym 22344 $sub$top.v:122$51_Y[2] -.sym 22346 wr_cnt[0] -.sym 22347 wr_cnt[1] -.sym 22348 $sub$top.v:122$51_Y[0] -.sym 22349 $sub$top.v:122$51_Y[2] -.sym 22351 KEYBOARD.kbd_code_hid[2] -.sym 22352 KEYBOARD.kbd_code_hid[1] -.sym 22353 KEYBOARD.kbd_code_hid[0] -.sym 22354 $false -.sym 22356 KEYBOARD.kbd_code_hid[2] -.sym 22357 KEYBOARD.kbd_code_hid[1] -.sym 22358 KEYBOARD.kbd_code_hid[0] -.sym 22359 $false -.sym 22361 $abc$92916$n866 -.sym 22362 $abc$92916$n867 -.sym 22363 $false -.sym 22364 $false -.sym 22366 $auto$wreduce.cc:310:run$57529[3]$2 +.sym 22267 $auto$simplemap.cc:309:simplemap_lut$46578[3] +.sym 22268 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[12] +.sym 22270 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[14] +.sym 22271 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[8] +.sym 22272 KEYBOARD.ROWS_EN[11] +.sym 22274 KEYBOARD.ROWS_EN[12] +.sym 22303 $true +.sym 22340 $auto$alumacc.cc:483:replace_alu$36689[1]$2 +.sym 22341 $false +.sym 22342 $auto$alumacc.cc:483:replace_alu$36689[1] +.sym 22343 $false +.sym 22344 $false +.sym 22345 $auto$alumacc.cc:484:replace_alu$36690[2] +.sym 22347 $false +.sym 22348 $auto$alumacc.cc:483:replace_alu$36689[2] +.sym 22350 $auto$alumacc.cc:484:replace_alu$36690[3] +.sym 22352 $false +.sym 22353 $auto$alumacc.cc:483:replace_alu$36689[3] +.sym 22355 $auto$alumacc.cc:484:replace_alu$36690[4] +.sym 22357 $false +.sym 22358 $auto$alumacc.cc:483:replace_alu$36689[4] +.sym 22360 $auto$alumacc.cc:484:replace_alu$36690[5] +.sym 22362 $false +.sym 22363 $auto$alumacc.cc:483:replace_alu$36689[5] +.sym 22365 $auto$alumacc.cc:484:replace_alu$36690[6] .sym 22367 $false -.sym 22368 $false -.sym 22369 $false -.sym 22371 $false +.sym 22368 $auto$alumacc.cc:483:replace_alu$36689[6] +.sym 22370 $auto$alumacc.cc:484:replace_alu$36690[7]$2 .sym 22372 $false -.sym 22373 $false -.sym 22374 $false -.sym 22376 $false -.sym 22377 $false -.sym 22378 $false -.sym 22379 $false -.sym 22380 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 -.sym 22381 CLK$2$2 -.sym 22382 $false -.sym 22383 $techmap\KEYBOARD.$procmux$5651_Y[2] -.sym 22384 $abc$92916$n892 -.sym 22386 $abc$92916$n893 -.sym 22387 $abc$92916$n890 -.sym 22388 $techmap\KEYBOARD.$procmux$5651_Y[7] -.sym 22389 $abc$92916$n891 -.sym 22390 I2C.received_byte[1] -.sym 22457 KEYBOARD.report[4][4] -.sym 22458 KEYBOARD.report[4][5] -.sym 22459 KEYBOARD.report[4][6] -.sym 22460 KEYBOARD.report[4][7] -.sym 22462 $auto$rtlil.cc:1692:NotGate$92753 -.sym 22463 $abc$92916$n846 -.sym 22464 $abc$92916$n857 -.sym 22465 $false -.sym 22467 $abc$92916$n869 -.sym 22468 $abc$92916$n868 -.sym 22469 $abc$92916$n865 -.sym 22470 $false -.sym 22472 $auto$rtlil.cc:1692:NotGate$92753 -.sym 22473 $abc$92916$n846 -.sym 22474 $abc$92916$n858 -.sym 22475 $abc$92916$n865 -.sym 22477 $abc$92916$n856 -.sym 22478 $abc$92916$n887 -.sym 22479 $abc$92916$n888 -.sym 22480 $abc$92916$n889 -.sym 22482 $abc$92916$n885 -.sym 22483 $abc$92916$n846 -.sym 22484 $auto$rtlil.cc:1692:NotGate$92753 -.sym 22485 $abc$92916$n858 -.sym 22487 $abc$92916$n894 -.sym 22488 $abc$92916$n890 -.sym 22489 $auto$rtlil.cc:1692:NotGate$92753 -.sym 22490 $abc$92916$n846 -.sym 22492 $abc$92916$n864 -.sym 22493 $abc$92916$n858 -.sym 22494 $auto$rtlil.cc:1692:NotGate$92753 -.sym 22495 $abc$92916$n846 -.sym 22499 $techmap\KEYBOARD.$procmux$5651_Y[6] -.sym 22500 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[11] -.sym 22501 $auto$simplemap.cc:309:simplemap_lut$68704[0] -.sym 22502 $auto$dff2dffe.cc:175:make_patterns_logic$91425 -.sym 22503 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[14] -.sym 22504 KEYBOARD.ram_adr[1] -.sym 22506 KEYBOARD.ram_adr[2] -.sym 22573 $techmap\KEYBOARD.$procmux$8869_Y[7] -.sym 22574 $false -.sym 22575 $false -.sym 22576 $false -.sym 22578 $techmap\KEYBOARD.$procmux$8869_Y[7] -.sym 22579 $auto$wreduce.cc:310:run$57522[5] +.sym 22373 $auto$alumacc.cc:483:replace_alu$36689[7] +.sym 22379 $auto$alumacc.cc:484:replace_alu$36690[7]$2 +.sym 22387 $auto$alumacc.cc:484:replace_alu$36663[3] +.sym 22388 $auto$alumacc.cc:483:replace_alu$36662[0] +.sym 22390 KEYBOARD.ROWS_EN[1] +.sym 22419 $true +.sym 22456 $auto$alumacc.cc:483:replace_alu$36644[0]$2 +.sym 22457 $false +.sym 22458 $auto$alumacc.cc:483:replace_alu$36644[0] +.sym 22459 $false +.sym 22460 $false +.sym 22461 $auto$alumacc.cc:484:replace_alu$36645[1] +.sym 22463 $false +.sym 22464 $auto$alumacc.cc:483:replace_alu$36644[1] +.sym 22466 $auto$alumacc.cc:484:replace_alu$36645[2] +.sym 22468 $false +.sym 22469 $auto$alumacc.cc:483:replace_alu$36644[2] +.sym 22471 $auto$simplemap.cc:250:simplemap_eqne$52942[1]$2 +.sym 22473 $false +.sym 22474 $auto$alumacc.cc:483:replace_alu$36644[3] +.sym 22480 $auto$simplemap.cc:250:simplemap_eqne$52942[1]$2 +.sym 22482 $auto$alumacc.cc:470:replace_alu$36715.A_buf[2] +.sym 22483 $false +.sym 22484 $false +.sym 22485 $false +.sym 22487 $auto$wreduce.cc:310:run$36598[0] +.sym 22488 $false +.sym 22489 $false +.sym 22490 $false +.sym 22496 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 +.sym 22497 CLK$2$2 +.sym 22498 $false +.sym 22501 $auto$wreduce.cc:310:run$36598[1] +.sym 22503 $auto$wreduce.cc:310:run$36592[4] +.sym 22504 KEYBOARD.row_counter[1] +.sym 22578 $techmap\KEYBOARD.$procmux$8366_Y[7] +.sym 22579 $auto$wreduce.cc:310:run$36592[4] .sym 22580 $false .sym 22581 $false -.sym 22583 $techmap\KEYBOARD.$procmux$8869_Y[7] -.sym 22584 $auto$wreduce.cc:310:run$57522[6] +.sym 22583 $techmap\KEYBOARD.$procmux$8366_Y[7] +.sym 22584 $auto$simplemap.cc:309:simplemap_lut$46578[3] .sym 22585 $false .sym 22586 $false -.sym 22588 $techmap\KEYBOARD.$procmux$8869_Y[7] -.sym 22589 $auto$simplemap.cc:309:simplemap_lut$69160[0] +.sym 22588 $techmap\KEYBOARD.$procmux$8366_Y[7] +.sym 22589 $auto$wreduce.cc:310:run$36592[5] .sym 22590 $false .sym 22591 $false -.sym 22593 $techmap\KEYBOARD.$procmux$8869_Y[2] -.sym 22594 $false -.sym 22595 $false -.sym 22596 $false -.sym 22598 $auto$simplemap.cc:309:simplemap_lut$69160[0] -.sym 22599 $false +.sym 22598 $techmap\KEYBOARD.$procmux$8366_Y[7] +.sym 22599 $auto$wreduce.cc:310:run$36592[6] .sym 22600 $false .sym 22601 $false -.sym 22603 $techmap\KEYBOARD.$procmux$8869_Y[7] -.sym 22604 $auto$simplemap.cc:309:simplemap_lut$68704[0] +.sym 22603 $techmap\KEYBOARD.$procmux$8366_Y[7] +.sym 22604 $false .sym 22605 $false .sym 22606 $false -.sym 22608 $auto$simplemap.cc:309:simplemap_lut$68704[0] -.sym 22609 $false -.sym 22610 $false -.sym 22611 $false .sym 22612 RESET$2 .sym 22613 CLK$2$2 .sym 22614 $false -.sym 22616 $logic_not$top.v:66$10_Y -.sym 22617 KEYBOARD.report[4][2] -.sym 22619 KEYBOARD.report[4][1] -.sym 22620 KEYBOARD.report[4][6] -.sym 22622 KEYBOARD.report[4][3] -.sym 22689 $false -.sym 22690 $true$2 -.sym 22691 ring_wr[0] -.sym 22692 $false -.sym 22694 I2C.received_byte[0] -.sym 22695 I2C.received_byte[3] -.sym 22696 I2C.received_byte[1] -.sym 22697 I2C.received_byte[2] -.sym 22699 $auto$wreduce.cc:310:run$57529[1] -.sym 22700 $auto$wreduce.cc:310:run$57529[0] -.sym 22701 $auto$wreduce.cc:310:run$57529[2] -.sym 22702 $false -.sym 22709 $abc$92916$n769_1 -.sym 22710 $abc$92916$n778 -.sym 22711 $false -.sym 22712 $false -.sym 22714 KEYBOARD.row_counter[0] -.sym 22715 KEYBOARD.row_counter[1] -.sym 22716 $false -.sym 22717 $false -.sym 22724 I2C.FLT_SDA.out +.sym 22617 $auto$wreduce.cc:310:run$36598[2] +.sym 22618 $auto$alumacc.cc:484:replace_alu$36750[2] +.sym 22619 $auto$wreduce.cc:310:run$36592[5] +.sym 22621 $auto$wreduce.cc:310:run$36598[3] +.sym 22622 KEYBOARD.row_counter[2] +.sym 22704 I2C_TRANS +.sym 22705 $false +.sym 22706 $false +.sym 22707 $false +.sym 22724 UART.tx_activity .sym 22725 $false .sym 22726 $false .sym 22727 $false -.sym 22728 $auto$dff2dffe.cc:175:make_patterns_logic$84919 +.sym 22728 RESET$2 .sym 22729 CLK$2$2 .sym 22730 $false -.sym 22731 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[12] -.sym 22732 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[15] -.sym 22733 $auto$wreduce.cc:310:run$57529[0] -.sym 22735 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[9] -.sym 22736 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[10] -.sym 22737 KEYBOARD.ROWS_EN[9] -.sym 22738 KEYBOARD.ROWS_EN[12] -.sym 22767 $true -.sym 22804 I2C.FLT_SDA.counter[0]$2 -.sym 22805 $false -.sym 22806 I2C.FLT_SDA.counter[0] -.sym 22807 $false -.sym 22808 $false -.sym 22809 $auto$alumacc.cc:484:replace_alu$57694[1]$2 -.sym 22811 I2C.FLT_SDA.counter[1] -.sym 22812 $true$2 -.sym 22818 $auto$alumacc.cc:484:replace_alu$57694[1]$2 -.sym 22820 I2C.received_byte[7] -.sym 22821 I2C.received_byte[6] -.sym 22822 $false -.sym 22823 $false -.sym 22825 $abc$92916$n1077_1 -.sym 22826 $abc$92916$n1076 -.sym 22827 $abc$92916$n728 -.sym 22828 I2C.received_byte[0] -.sym 22830 $abc$92916$n833 -.sym 22831 $abc$92916$n825 -.sym 22832 $auto$simplemap.cc:250:simplemap_eqne$60443[0] +.sym 22735 temp_output_report[2] +.sym 22737 temp_output_report[1] +.sym 22810 $0\uart_double_ff[0:0] +.sym 22811 I2C.wr +.sym 22812 last_wr +.sym 22813 RESET$2 +.sym 22815 UART_WR +.sym 22816 UART.TX_sig_last +.sym 22817 $abc$61381$n967 +.sym 22818 UART.tx_activity +.sym 22825 $auto$simplemap.cc:250:simplemap_eqne$55268[1] +.sym 22826 $false +.sym 22827 $false +.sym 22828 $false +.sym 22830 UART_WR +.sym 22831 $false +.sym 22832 $false .sym 22833 $false -.sym 22835 $2\ring_wr[3:0][0] -.sym 22836 $false -.sym 22837 $false -.sym 22838 $false -.sym 22840 $2\ring_wr[3:0][2] +.sym 22840 I2C.wr .sym 22841 $false .sym 22842 $false .sym 22843 $false -.sym 22844 $auto$dff2dffe.cc:175:make_patterns_logic$73370 +.sym 22844 RESET$2 .sym 22845 CLK$2$2 -.sym 22846 $logic_not$top.v:66$10_Y$2 -.sym 22849 $auto$alumacc.cc:484:replace_alu$57733[1] -.sym 22850 $auto$alumacc.cc:483:replace_alu$57618[1] -.sym 22851 $auto$dff2dffe.cc:175:make_patterns_logic$84651 -.sym 22852 $auto$dff2dffe.cc:175:make_patterns_logic$84584 -.sym 22921 I2C.received_byte[3] -.sym 22922 $false -.sym 22923 $false -.sym 22924 $false -.sym 22926 $abc$92916$n1076 -.sym 22927 $abc$92916$n728 -.sym 22928 I2C.received_byte[3] -.sym 22929 $false -.sym 22931 $false -.sym 22932 $false -.sym 22933 $false -.sym 22934 $auto$alumacc.cc:484:replace_alu$57668[3] -.sym 22936 $auto$alumacc.cc:484:replace_alu$57619[7] -.sym 22937 $abc$92916$n777 -.sym 22938 $auto$alumacc.cc:484:replace_alu$57642[7] +.sym 22846 $false +.sym 22848 LED2$2 +.sym 22850 LED3$2 +.sym 22853 LED4$2 +.sym 22936 I2C.FLT_SDA.out +.sym 22937 $false +.sym 22938 $false .sym 22939 $false -.sym 22941 $false -.sym 22942 $false -.sym 22943 $auto$alumacc.cc:483:replace_alu$57552[2] -.sym 22944 $auto$alumacc.cc:484:replace_alu$57733[1] -.sym 22946 I2C.received_byte[6] -.sym 22947 $false -.sym 22948 $false -.sym 22949 $false -.sym 22951 I2C.received_byte[4] -.sym 22952 $false -.sym 22953 $false -.sym 22954 $false -.sym 22956 I2C.FLT_SDA.out -.sym 22957 $false -.sym 22958 $false -.sym 22959 $false -.sym 22960 $auto$dff2dffe.cc:175:make_patterns_logic$84584 +.sym 22960 $auto$dff2dffe.cc:175:make_patterns_logic$52791 .sym 22961 CLK$2$2 .sym 22962 $false -.sym 22970 $auto$alumacc.cc:484:replace_alu$57642[7] +.sym 22963 $auto$wreduce.cc:310:run$36604[0] +.sym 22964 $auto$wreduce.cc:310:run$36604[3] +.sym 22965 LED1$2 +.sym 22966 $abc$61381$n664 +.sym 22968 UART.tx_clk_counter[3] +.sym 22970 UART.tx_clk_counter[0] .sym 22999 $true -.sym 23036 $auto$alumacc.cc:483:replace_alu$57618[1]$2 +.sym 23036 UART.tx_clk_counter[0]$2 .sym 23037 $false -.sym 23038 $auto$alumacc.cc:483:replace_alu$57618[1] +.sym 23038 UART.tx_clk_counter[0] .sym 23039 $false .sym 23040 $false -.sym 23041 $auto$alumacc.cc:484:replace_alu$57619[2] -.sym 23043 $false -.sym 23044 $auto$alumacc.cc:483:replace_alu$57618[2] -.sym 23046 $auto$alumacc.cc:484:replace_alu$57619[3] -.sym 23048 $false -.sym 23049 $auto$alumacc.cc:483:replace_alu$57618[3] -.sym 23051 $auto$alumacc.cc:484:replace_alu$57619[4] -.sym 23053 $false -.sym 23054 $auto$alumacc.cc:483:replace_alu$57618[4] -.sym 23056 $auto$alumacc.cc:484:replace_alu$57619[5] -.sym 23058 $false -.sym 23059 $auto$alumacc.cc:483:replace_alu$57618[5] -.sym 23061 $auto$alumacc.cc:484:replace_alu$57619[6] -.sym 23063 $false -.sym 23064 $auto$alumacc.cc:483:replace_alu$57618[6] -.sym 23066 $auto$alumacc.cc:484:replace_alu$57619[7]$2 -.sym 23068 $false -.sym 23069 $auto$alumacc.cc:483:replace_alu$57618[7] -.sym 23075 $auto$alumacc.cc:484:replace_alu$57619[7]$2 -.sym 23079 $auto$dff2dffe.cc:175:make_patterns_logic$84718 -.sym 23080 LED1$2 -.sym 23082 I2C.received_byte[2] +.sym 23041 $auto$alumacc.cc:484:replace_alu$36756[1] +.sym 23043 UART.tx_clk_counter[1] +.sym 23044 $true$2 +.sym 23046 $auto$alumacc.cc:484:replace_alu$36756[2]$2 +.sym 23047 $false +.sym 23048 UART.tx_clk_counter[2] +.sym 23049 $true$2 +.sym 23050 $auto$alumacc.cc:484:replace_alu$36756[1] +.sym 23055 $auto$alumacc.cc:484:replace_alu$36756[2]$2 +.sym 23057 $abc$61381$n664 +.sym 23058 UART.tx_clk_counter[0] +.sym 23059 UART.tx_clk_counter[1] +.sym 23060 $false +.sym 23067 $abc$61381$n663 +.sym 23068 UART.tx_activity +.sym 23069 $auto$wreduce.cc:310:run$36604[2] +.sym 23070 $false +.sym 23072 UART.tx_activity +.sym 23073 UART.tx_clk_counter[0] +.sym 23074 UART.tx_clk_counter[1] +.sym 23075 $false +.sym 23076 $auto$dff2dffe.cc:158:make_patterns_logic$53542 +.sym 23077 CLK$2$2 +.sym 23078 $logic_not$top.v:60$10_Y$2 +.sym 23079 $techmap\I2C.FLT_SDA.$procmux$993_Y[1] +.sym 23080 $abc$61381$n749 +.sym 23081 $auto$wreduce.cc:310:run$36591[0] +.sym 23082 $auto$dff2dffe.cc:158:make_patterns_logic$60797 +.sym 23083 $auto$dff2dffe.cc:175:make_patterns_logic$60782 +.sym 23084 I2C.FLT_SDA.counter[1] +.sym 23085 I2C.FLT_SDA.counter[2] +.sym 23086 I2C.FLT_SDA.counter[0] .sym 23115 $true -.sym 23152 wr_cnt[0]$2 +.sym 23152 I2C.FLT_SCL.counter[0]$2 .sym 23153 $false -.sym 23154 wr_cnt[0] +.sym 23154 I2C.FLT_SCL.counter[0] .sym 23155 $false .sym 23156 $false -.sym 23157 $auto$alumacc.cc:484:replace_alu$57650[1] -.sym 23159 $false -.sym 23160 wr_cnt[1] -.sym 23162 $auto$alumacc.cc:484:replace_alu$57650[2]$2 -.sym 23163 $false -.sym 23164 $false -.sym 23165 wr_cnt[2] -.sym 23166 $auto$alumacc.cc:484:replace_alu$57650[1] -.sym 23171 $auto$alumacc.cc:484:replace_alu$57650[2]$2 -.sym 23178 I2C.received_byte[2] -.sym 23179 $false -.sym 23180 $false -.sym 23181 $false -.sym 23183 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[10] +.sym 23157 $auto$alumacc.cc:484:replace_alu$36720[1]$2 +.sym 23159 I2C.FLT_SCL.counter[1] +.sym 23160 $true$2 +.sym 23166 $auto$alumacc.cc:484:replace_alu$36720[1]$2 +.sym 23183 I2C.SDAF .sym 23184 $false .sym 23185 $false .sym 23186 $false -.sym 23188 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[8] -.sym 23189 $false -.sym 23190 $false -.sym 23191 $false -.sym 23192 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 +.sym 23192 $auto$dff2dffe.cc:175:make_patterns_logic$60782 .sym 23193 CLK$2$2 -.sym 23194 $auto$wreduce.cc:310:run$57529[3]$2 -.sym 23202 $auto$alumacc.cc:484:replace_alu$57680[7] -.sym 23269 $auto$alumacc.cc:483:replace_alu$57684[7] -.sym 23270 $false +.sym 23194 $logic_not$top.v:60$10_Y$2 +.sym 23197 $techmap\I2C.FLT_SDA.$procmux$993_Y[2] +.sym 23200 $auto$wreduce.cc:310:run$36591[2] +.sym 23201 $abc$61381$n748 +.sym 23231 $true +.sym 23268 I2C.FLT_SDA.counter[0]$2 +.sym 23269 $false +.sym 23270 I2C.FLT_SDA.counter[0] .sym 23271 $false .sym 23272 $false -.sym 23274 $auto$alumacc.cc:483:replace_alu$57684[6] -.sym 23275 $false -.sym 23276 $false -.sym 23277 $false -.sym 23279 $auto$alumacc.cc:483:replace_alu$57684[2] -.sym 23280 $false -.sym 23281 $false -.sym 23282 $false -.sym 23284 $techmap\I2C.$procmux$19804_Y -.sym 23285 I2C.byte_counter[5] -.sym 23286 $false -.sym 23287 $false -.sym 23289 $auto$alumacc.cc:483:replace_alu$57684[5] -.sym 23290 $false -.sym 23291 $false -.sym 23292 $false -.sym 23294 $techmap\I2C.$procmux$19804_Y -.sym 23295 I2C.byte_counter[6] -.sym 23296 $false -.sym 23297 $false -.sym 23299 $abc$92916$n819 -.sym 23300 $techmap\I2C.$sub$i2c_slave.v:143$226_Y[2] +.sym 23273 $auto$alumacc.cc:484:replace_alu$36723[1]$2 +.sym 23275 I2C.FLT_SDA.counter[1] +.sym 23276 $true$2 +.sym 23282 $auto$alumacc.cc:484:replace_alu$36723[1]$2 +.sym 23299 KEYBOARD.kbd_code_hid[5] +.sym 23300 $false .sym 23301 $false .sym 23302 $false -.sym 23304 I2C.FLT_SDA.out -.sym 23305 $false -.sym 23306 $false -.sym 23307 $false -.sym 23308 $auto$dff2dffe.cc:175:make_patterns_logic$84785 +.sym 23308 $auto$dff2dffe.cc:175:make_patterns_logic$58513 .sym 23309 CLK$2$2 -.sym 23310 $false -.sym 23311 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[3] -.sym 23312 KEYBOARD.ROWS_EN[4] -.sym 23314 KEYBOARD.ROWS_EN[3] -.sym 23315 KEYBOARD.ROWS_EN[6] -.sym 23316 KEYBOARD.ROWS_EN[7] -.sym 23318 KEYBOARD.ROWS_EN[1] -.sym 23385 $abc$92916$n1140 -.sym 23386 $abc$92916$n823 -.sym 23387 $false -.sym 23388 $false -.sym 23390 $auto$alumacc.cc:483:replace_alu$57684[4] -.sym 23391 $false -.sym 23392 $false -.sym 23393 $false -.sym 23395 $auto$alumacc.cc:483:replace_alu$57684[7] -.sym 23396 $abc$92916$n1141 -.sym 23397 $auto$wreduce.cc:310:run$57516[7] -.sym 23398 $abc$92916$n1139 -.sym 23400 $auto$alumacc.cc:483:replace_alu$57684[3] -.sym 23401 $abc$92916$n1141 -.sym 23402 $auto$wreduce.cc:310:run$57516[3] -.sym 23403 $abc$92916$n1139 -.sym 23405 $auto$alumacc.cc:483:replace_alu$57684[6] -.sym 23406 $abc$92916$n1141 -.sym 23407 $auto$wreduce.cc:310:run$57516[6] -.sym 23408 $abc$92916$n1139 -.sym 23415 $auto$alumacc.cc:483:replace_alu$57684[4] -.sym 23416 $abc$92916$n1141 -.sym 23417 $auto$wreduce.cc:310:run$57516[4] -.sym 23418 $abc$92916$n1139 -.sym 23420 $auto$alumacc.cc:483:replace_alu$57684[2] -.sym 23421 $abc$92916$n1141 -.sym 23422 $auto$wreduce.cc:310:run$57516[2] -.sym 23423 $abc$92916$n1139 -.sym 23424 $true -.sym 23425 CLK$2$2 -.sym 23426 $false -.sym 23463 $true -.sym 23500 $auto$alumacc.cc:470:replace_alu$57683.C[1]$2 -.sym 23501 $false -.sym 23502 $auto$alumacc.cc:470:replace_alu$57683.C[1] -.sym 23503 $false -.sym 23504 $false -.sym 23505 $auto$alumacc.cc:470:replace_alu$57683.C[2] -.sym 23507 $false -.sym 23508 $auto$alumacc.cc:483:replace_alu$57684[1] -.sym 23510 $auto$alumacc.cc:470:replace_alu$57683.C[3] -.sym 23511 $false -.sym 23512 $false -.sym 23513 $auto$alumacc.cc:483:replace_alu$57684[2] -.sym 23514 $auto$alumacc.cc:470:replace_alu$57683.C[2] -.sym 23515 $auto$alumacc.cc:470:replace_alu$57683.C[4] -.sym 23516 $false -.sym 23517 $false -.sym 23518 $auto$alumacc.cc:483:replace_alu$57684[3] -.sym 23519 $auto$alumacc.cc:470:replace_alu$57683.C[3] -.sym 23520 $auto$alumacc.cc:470:replace_alu$57683.C[5] -.sym 23521 $false -.sym 23522 $false -.sym 23523 $auto$alumacc.cc:483:replace_alu$57684[4] -.sym 23524 $auto$alumacc.cc:470:replace_alu$57683.C[4] -.sym 23525 $auto$alumacc.cc:470:replace_alu$57683.C[6] -.sym 23526 $false -.sym 23527 $false -.sym 23528 $auto$alumacc.cc:483:replace_alu$57684[5] -.sym 23529 $auto$alumacc.cc:470:replace_alu$57683.C[5] -.sym 23530 $auto$alumacc.cc:470:replace_alu$57683.C[7]$2 -.sym 23531 $false -.sym 23532 $false -.sym 23533 $auto$alumacc.cc:483:replace_alu$57684[6] -.sym 23534 $auto$alumacc.cc:470:replace_alu$57683.C[6] -.sym 23539 $auto$alumacc.cc:470:replace_alu$57683.C[7]$2 +.sym 23310 $auto$rtlil.cc:1692:NotGate$61316$2 +.sym 23318 KEYBOARD.ROWS_EN[7] +.sym 23433 KEYBOARD.ROWS_EN[2] +.sym 23434 KEYBOARD.ROWS_EN[6] .sym 23588 $false .sym 23590 KEYBOARD.ROWS_EN[4] .sym 23591 $false .sym 23593 KEYBOARD.ROWS_EN[5] -.sym 23672 $true -.sym 23709 KEYBOARD.kbd_code_hid[3]$2 -.sym 23710 $false -.sym 23711 KEYBOARD.kbd_code_hid[3] -.sym 23712 $false -.sym 23713 $false -.sym 23714 $auto$alumacc.cc:484:replace_alu$57558[4] -.sym 23716 KEYBOARD.kbd_code_hid[4] -.sym 23717 $true$2 -.sym 23719 $auto$alumacc.cc:484:replace_alu$57558[5] -.sym 23721 KEYBOARD.kbd_code_hid[5] -.sym 23722 $false -.sym 23724 $auto$alumacc.cc:484:replace_alu$57558[6] -.sym 23726 KEYBOARD.kbd_code_hid[6] -.sym 23727 $false -.sym 23729 $auto$alumacc.cc:484:replace_alu$57558[7]$2 -.sym 23731 KEYBOARD.kbd_code_hid[7] -.sym 23732 $false -.sym 23738 $auto$alumacc.cc:484:replace_alu$57558[7]$2 -.sym 23905 $auto$wreduce.cc:310:run$57529[3]$2 -.sym 23906 $false -.sym 23907 $false -.sym 23908 $false -.sym 24018 $false -.sym 24019 $true$2 -.sym 24020 $false -.sym 24021 $false -.sym 24023 $techmap\KEYBOARD.$procmux$5651_Y[5] +.sym 23885 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[14] +.sym 23886 $false +.sym 23887 $false +.sym 23888 $false +.sym 23909 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 +.sym 23910 CLK$2$2 +.sym 23911 $auto$rtlil.cc:1692:NotGate$61332 +.sym 24023 $auto$rtlil.cc:1692:NotGate$61316$2 .sym 24024 $false .sym 24025 $false .sym 24026 $false -.sym 24028 $techmap\KEYBOARD.$procmux$5651_Y[0] -.sym 24029 $false -.sym 24030 $false -.sym 24031 $false -.sym 24038 $techmap\KEYBOARD.$procmux$5651_Y[4] -.sym 24039 $false -.sym 24040 $false -.sym 24041 $false -.sym 24057 $auto$dff2dffe.cc:175:make_patterns_logic$88296 +.sym 24057 RESET$2 .sym 24058 CLK$2$2 -.sym 24059 $logic_not$top.v:66$10_Y$2 -.sym 24166 $techmap\KEYBOARD.$procmux$5651_Y[7] +.sym 24059 $false +.sym 24166 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[9] .sym 24167 $false .sym 24168 $false .sym 24169 $false -.sym 24171 $techmap\KEYBOARD.$procmux$5651_Y[2] -.sym 24172 $false -.sym 24173 $false -.sym 24174 $false -.sym 24176 $techmap\KEYBOARD.$procmux$5651_Y[4] +.sym 24176 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[10] .sym 24177 $false .sym 24178 $false .sym 24179 $false -.sym 24181 $techmap\KEYBOARD.$procmux$5651_Y[1] -.sym 24182 $false -.sym 24183 $false -.sym 24184 $false -.sym 24186 $techmap\KEYBOARD.$procmux$5651_Y[6] -.sym 24187 $false -.sym 24188 $false -.sym 24189 $false -.sym 24191 $techmap\KEYBOARD.$procmux$5651_Y[0] +.sym 24191 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[8] .sym 24192 $false .sym 24193 $false .sym 24194 $false -.sym 24196 $techmap\KEYBOARD.$procmux$5651_Y[3] -.sym 24197 $false -.sym 24198 $false -.sym 24199 $false -.sym 24201 $techmap\KEYBOARD.$procmux$5651_Y[5] -.sym 24202 $false -.sym 24203 $false -.sym 24204 $false -.sym 24205 $auto$dff2dffe.cc:175:make_patterns_logic$90769 +.sym 24205 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 .sym 24206 CLK$2$2 -.sym 24207 $logic_not$top.v:66$10_Y$2 -.sym 24314 I2C.FLT_SDA.counter[0] -.sym 24315 I2C.FLT_SDA.counter[1] -.sym 24316 I2C.FLT_SDA.counter[2] -.sym 24317 $false -.sym 24319 $abc$92916$n927 -.sym 24320 $auto$wreduce.cc:310:run$57521[0] -.sym 24321 $false +.sym 24207 $auto$rtlil.cc:1692:NotGate$61332 +.sym 24319 $auto$wreduce.cc:310:run$36598[1] +.sym 24320 $auto$wreduce.cc:310:run$36598[0] +.sym 24321 $auto$wreduce.cc:310:run$36598[2] .sym 24322 $false -.sym 24324 $techmap\I2C.FLT_SDA.$procmux$1018_Y[1] -.sym 24325 $auto$wreduce.cc:310:run$57521[2] -.sym 24326 I2C.FLT_SDA.out -.sym 24327 I2C.SDAF -.sym 24329 $techmap\I2C.FLT_SDA.$procmux$1018_Y[0] -.sym 24330 $abc$92916$n928 -.sym 24331 $false +.sym 24324 $auto$wreduce.cc:310:run$36598[1] +.sym 24325 $auto$wreduce.cc:310:run$36598[0] +.sym 24326 $auto$wreduce.cc:310:run$36598[2] +.sym 24327 $false +.sym 24329 $auto$wreduce.cc:310:run$36598[1] +.sym 24330 $auto$wreduce.cc:310:run$36598[0] +.sym 24331 $auto$wreduce.cc:310:run$36598[2] .sym 24332 $false -.sym 24334 $abc$92916$n927 -.sym 24335 I2C.FLT_SDA.out -.sym 24336 I2C.SDAF +.sym 24334 $false +.sym 24335 $true$2 +.sym 24336 KEYBOARD.row_counter[0] .sym 24337 $false -.sym 24339 $abc$92916$n927 -.sym 24340 $auto$wreduce.cc:310:run$57521[2] -.sym 24341 $false -.sym 24342 $false -.sym 24344 $techmap\I2C.FLT_SDA.$procmux$1018_Y[1] +.sym 24344 $auto$simplemap.cc:309:simplemap_lut$46578[3] .sym 24345 $false .sym 24346 $false .sym 24347 $false -.sym 24349 $techmap\I2C.FLT_SDA.$procmux$1018_Y[0] -.sym 24350 $false -.sym 24351 $false -.sym 24352 $false -.sym 24353 $auto$dff2dffe.cc:158:make_patterns_logic$92230 +.sym 24353 RESET$2 .sym 24354 CLK$2$2 -.sym 24355 $logic_not$top.v:66$10_Y$2 -.sym 24462 I2C.FLT_SDA.counter[0] -.sym 24463 I2C.FLT_SDA.counter[1] -.sym 24464 $false +.sym 24355 $false +.sym 24462 KEYBOARD.row_counter[0] +.sym 24463 $auto$wreduce.cc:310:run$36598[0] +.sym 24464 $auto$rtlil.cc:1692:NotGate$61364$2 .sym 24465 $false -.sym 24467 $false -.sym 24468 I2C.FLT_SDA.counter[2] -.sym 24469 $true$2 -.sym 24470 $auto$alumacc.cc:484:replace_alu$57694[1] -.sym 24472 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[15] -.sym 24473 $false -.sym 24474 $false -.sym 24475 $false -.sym 24477 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[11] -.sym 24478 $false -.sym 24479 $false +.sym 24467 $auto$wreduce.cc:310:run$36598[1] +.sym 24468 $auto$wreduce.cc:310:run$36598[0] +.sym 24469 $auto$wreduce.cc:310:run$36598[2] +.sym 24470 $false +.sym 24477 $auto$wreduce.cc:310:run$36598[1] +.sym 24478 $auto$wreduce.cc:310:run$36598[0] +.sym 24479 $auto$wreduce.cc:310:run$36598[2] .sym 24480 $false -.sym 24492 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[10] -.sym 24493 $false -.sym 24494 $false -.sym 24495 $false -.sym 24501 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 +.sym 24482 $auto$wreduce.cc:310:run$36598[1] +.sym 24483 $auto$wreduce.cc:310:run$36598[0] +.sym 24484 $auto$wreduce.cc:310:run$36598[2] +.sym 24485 $false +.sym 24487 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[11] +.sym 24488 $false +.sym 24489 $false +.sym 24490 $false +.sym 24497 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[12] +.sym 24498 $false +.sym 24499 $false +.sym 24500 $false +.sym 24501 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 .sym 24502 CLK$2$2 -.sym 24503 $auto$rtlil.cc:1692:NotGate$92861 +.sym 24503 $auto$rtlil.cc:1692:NotGate$61332 .sym 24506 SCL$2 -.sym 24610 $auto$rtlil.cc:1692:NotGate$92753 -.sym 24611 KEYBOARD.kbd_code_hid[2] +.sym 24572 $true +.sym 24609 $auto$alumacc.cc:483:replace_alu$36662[0]$2 +.sym 24610 $false +.sym 24611 $auto$alumacc.cc:483:replace_alu$36662[0] .sym 24612 $false .sym 24613 $false -.sym 24615 KEYBOARD.kbd_code_hid[3] -.sym 24616 KEYBOARD.kbd_code_hid[2] -.sym 24617 KEYBOARD.report[4][2] -.sym 24618 KEYBOARD.report[4][3] -.sym 24625 KEYBOARD.kbd_code_hid[1] -.sym 24626 KEYBOARD.kbd_code_hid[0] -.sym 24627 KEYBOARD.report[4][0] -.sym 24628 KEYBOARD.report[4][1] -.sym 24630 $abc$92916$n891 -.sym 24631 $abc$92916$n892 -.sym 24632 $abc$92916$n893 -.sym 24633 $false -.sym 24635 $auto$rtlil.cc:1692:NotGate$92753 -.sym 24636 KEYBOARD.kbd_code_hid[7] +.sym 24614 $auto$alumacc.cc:484:replace_alu$36663[1] +.sym 24616 $false +.sym 24617 $auto$alumacc.cc:483:replace_alu$36662[1] +.sym 24619 $auto$alumacc.cc:484:replace_alu$36663[2] +.sym 24621 $true$2 +.sym 24622 $techmap$auto$alumacc.cc:470:replace_alu$36661.$ternary$/usr/bin/../share/yosys/ice40/arith_map.v:42$37725_Y[2] +.sym 24624 $auto$alumacc.cc:484:replace_alu$36663[3]$2 +.sym 24626 $false +.sym 24627 $auto$alumacc.cc:483:replace_alu$36662[3] +.sym 24633 $auto$alumacc.cc:484:replace_alu$36663[3]$2 +.sym 24635 i2c_input_data_type[0] +.sym 24636 $false .sym 24637 $false .sym 24638 $false -.sym 24640 KEYBOARD.kbd_code_hid[4] -.sym 24641 KEYBOARD.kbd_code_hid[5] -.sym 24642 KEYBOARD.report[4][4] -.sym 24643 KEYBOARD.report[4][5] -.sym 24645 I2C.FLT_SDA.out +.sym 24645 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[9] .sym 24646 $false .sym 24647 $false .sym 24648 $false -.sym 24649 $auto$dff2dffe.cc:175:make_patterns_logic$84651 +.sym 24649 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 .sym 24650 CLK$2$2 -.sym 24651 $false +.sym 24651 $auto$wreduce.cc:310:run$36598[3]$2 .sym 24652 I2C.SDA_IN -.sym 24758 $auto$rtlil.cc:1692:NotGate$92753 -.sym 24759 KEYBOARD.kbd_code_hid[6] -.sym 24760 $false -.sym 24761 $false -.sym 24763 $auto$wreduce.cc:310:run$57529[1] -.sym 24764 $auto$wreduce.cc:310:run$57529[0] -.sym 24765 $auto$wreduce.cc:310:run$57529[2] -.sym 24766 $false .sym 24768 KEYBOARD.row_counter[0] -.sym 24769 $auto$wreduce.cc:310:run$57529[0] -.sym 24770 $auto$rtlil.cc:1692:NotGate$92893$2 +.sym 24769 KEYBOARD.row_counter[1] +.sym 24770 $false .sym 24771 $false -.sym 24773 $auto$rtlil.cc:1692:NotGate$92893$2 -.sym 24774 RESET$2 -.sym 24775 $false -.sym 24776 $false -.sym 24778 $auto$wreduce.cc:310:run$57529[1] -.sym 24779 $auto$wreduce.cc:310:run$57529[0] -.sym 24780 $auto$wreduce.cc:310:run$57529[2] +.sym 24778 $auto$rtlil.cc:1692:NotGate$61364$2 +.sym 24779 KEYBOARD.row_counter[0] +.sym 24780 KEYBOARD.row_counter[1] .sym 24781 $false -.sym 24783 $auto$wreduce.cc:310:run$57529[1] +.sym 24783 $auto$wreduce.cc:310:run$36592[4] .sym 24784 $false .sym 24785 $false .sym 24786 $false -.sym 24793 $auto$wreduce.cc:310:run$57529[2] -.sym 24794 $false -.sym 24795 $false -.sym 24796 $false -.sym 24797 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 +.sym 24797 RESET$2 .sym 24798 CLK$2$2 .sym 24799 $false -.sym 24911 RESET$2 +.sym 24868 $true +.sym 24905 KEYBOARD.row_counter[0]$2 +.sym 24906 $false +.sym 24907 KEYBOARD.row_counter[0] +.sym 24908 $false +.sym 24909 $false +.sym 24910 $auto$alumacc.cc:484:replace_alu$36750[1] .sym 24912 $false -.sym 24913 $false -.sym 24914 $false -.sym 24916 $techmap\KEYBOARD.$procmux$5651_Y[2] +.sym 24913 KEYBOARD.row_counter[1] +.sym 24915 $auto$alumacc.cc:484:replace_alu$36750[2]$2 +.sym 24916 $false .sym 24917 $false -.sym 24918 $false -.sym 24919 $false -.sym 24926 $techmap\KEYBOARD.$procmux$5651_Y[1] -.sym 24927 $false -.sym 24928 $false +.sym 24918 KEYBOARD.row_counter[2] +.sym 24919 $auto$alumacc.cc:484:replace_alu$36750[1] +.sym 24924 $auto$alumacc.cc:484:replace_alu$36750[2]$2 +.sym 24926 KEYBOARD.row_counter[2] +.sym 24927 $auto$wreduce.cc:310:run$36598[2] +.sym 24928 $auto$rtlil.cc:1692:NotGate$61364$2 .sym 24929 $false -.sym 24931 $techmap\KEYBOARD.$procmux$5651_Y[6] -.sym 24932 $false -.sym 24933 $false -.sym 24934 $false -.sym 24941 $techmap\KEYBOARD.$procmux$5651_Y[3] +.sym 24936 $false +.sym 24937 $false +.sym 24938 KEYBOARD.row_counter[3] +.sym 24939 $auto$alumacc.cc:484:replace_alu$36750[2] +.sym 24941 $auto$wreduce.cc:310:run$36592[5] .sym 24942 $false .sym 24943 $false .sym 24944 $false -.sym 24945 $auto$dff2dffe.cc:175:make_patterns_logic$88296 +.sym 24945 RESET$2 .sym 24946 CLK$2$2 -.sym 24947 $logic_not$top.v:66$10_Y$2 -.sym 25054 $auto$wreduce.cc:310:run$57529[1] -.sym 25055 $auto$wreduce.cc:310:run$57529[0] -.sym 25056 $auto$wreduce.cc:310:run$57529[2] -.sym 25057 $false -.sym 25059 $auto$wreduce.cc:310:run$57529[1] -.sym 25060 $auto$wreduce.cc:310:run$57529[0] -.sym 25061 $auto$wreduce.cc:310:run$57529[2] -.sym 25062 $false -.sym 25064 $false -.sym 25065 $true$2 -.sym 25066 KEYBOARD.row_counter[0] -.sym 25067 $false -.sym 25074 $auto$wreduce.cc:310:run$57529[1] -.sym 25075 $auto$wreduce.cc:310:run$57529[0] -.sym 25076 $auto$wreduce.cc:310:run$57529[2] +.sym 24947 $false +.sym 25074 I2C.received_byte[2] +.sym 25075 $false +.sym 25076 $false .sym 25077 $false -.sym 25079 $auto$wreduce.cc:310:run$57529[1] -.sym 25080 $auto$wreduce.cc:310:run$57529[0] -.sym 25081 $auto$wreduce.cc:310:run$57529[2] -.sym 25082 $false -.sym 25084 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[9] +.sym 25084 I2C.received_byte[1] .sym 25085 $false .sym 25086 $false .sym 25087 $false -.sym 25089 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[12] -.sym 25090 $false -.sym 25091 $false -.sym 25092 $false -.sym 25093 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 +.sym 25093 $auto$dff2dffe.cc:175:make_patterns_logic$49267 .sym 25094 CLK$2$2 -.sym 25095 $auto$rtlil.cc:1692:NotGate$92861 -.sym 25164 $true -.sym 25201 $auto$alumacc.cc:483:replace_alu$57552[0]$3 -.sym 25202 $false -.sym 25203 $auto$alumacc.cc:483:replace_alu$57552[0] -.sym 25204 $false -.sym 25205 $false -.sym 25206 $auto$alumacc.cc:484:replace_alu$57733[1]$2 +.sym 25095 $false +.sym 25207 temp_output_report[0] .sym 25208 $false -.sym 25209 $auto$alumacc.cc:483:replace_alu$57552[1] -.sym 25215 $auto$alumacc.cc:484:replace_alu$57733[1]$2 -.sym 25217 I2C.received_byte[1] +.sym 25209 $false +.sym 25210 $false +.sym 25217 temp_output_report[1] .sym 25218 $false .sym 25219 $false .sym 25220 $false -.sym 25222 $abc$92916$n818 -.sym 25223 $abc$92916$n825 -.sym 25224 $auto$simplemap.cc:250:simplemap_eqne$60443[0] -.sym 25225 $false -.sym 25227 $abc$92916$n818 -.sym 25228 $abc$92916$n825 -.sym 25229 $auto$simplemap.cc:250:simplemap_eqne$60443[0] -.sym 25230 $false -.sym 25312 $true -.sym 25349 $auto$alumacc.cc:483:replace_alu$57618[1]$3 +.sym 25232 temp_output_report[2] +.sym 25233 $false +.sym 25234 $false +.sym 25235 $false +.sym 25241 $auto$dff2dffe.cc:175:make_patterns_logic$52500 +.sym 25242 CLK$2$2 +.sym 25243 $logic_not$top.v:60$10_Y$2 .sym 25350 $false -.sym 25351 $auto$alumacc.cc:483:replace_alu$57618[1] +.sym 25351 UART.tx_clk_counter[0] .sym 25352 $false -.sym 25353 $false -.sym 25354 $auto$alumacc.cc:484:replace_alu$57642[2] -.sym 25356 $true$2 -.sym 25357 $auto$alumacc.cc:483:replace_alu$57618[2] -.sym 25359 $auto$alumacc.cc:484:replace_alu$57642[3] +.sym 25353 $true$2 +.sym 25355 $false +.sym 25356 UART.tx_clk_counter[3] +.sym 25357 $true$2 +.sym 25358 $auto$alumacc.cc:484:replace_alu$36756[2] +.sym 25360 INT .sym 25361 $false -.sym 25362 $auto$alumacc.cc:483:replace_alu$57618[3] -.sym 25364 $auto$alumacc.cc:484:replace_alu$57642[4] -.sym 25366 $false -.sym 25367 $auto$alumacc.cc:483:replace_alu$57618[4] -.sym 25369 $auto$alumacc.cc:484:replace_alu$57642[5] -.sym 25371 $false -.sym 25372 $auto$alumacc.cc:483:replace_alu$57618[5] -.sym 25374 $auto$alumacc.cc:484:replace_alu$57642[6] -.sym 25376 $false -.sym 25377 $auto$alumacc.cc:483:replace_alu$57618[6] -.sym 25379 $auto$alumacc.cc:484:replace_alu$57642[7]$2 -.sym 25381 $false -.sym 25382 $auto$alumacc.cc:483:replace_alu$57618[7] -.sym 25388 $auto$alumacc.cc:484:replace_alu$57642[7]$2 -.sym 25498 $abc$92916$n818 -.sym 25499 $abc$92916$n825 -.sym 25500 $auto$simplemap.cc:250:simplemap_eqne$60443[0] +.sym 25362 $false +.sym 25363 $false +.sym 25365 $auto$wreduce.cc:310:run$36604[0] +.sym 25366 $auto$wreduce.cc:310:run$36604[2] +.sym 25367 $auto$wreduce.cc:310:run$36604[3] +.sym 25368 $false +.sym 25375 $abc$61381$n663 +.sym 25376 UART.tx_activity +.sym 25377 $auto$wreduce.cc:310:run$36604[3] +.sym 25378 $false +.sym 25385 $abc$61381$n663 +.sym 25386 UART.tx_activity +.sym 25387 $auto$wreduce.cc:310:run$36604[0] +.sym 25388 $false +.sym 25389 $auto$dff2dffe.cc:158:make_patterns_logic$53542 +.sym 25390 CLK$2$2 +.sym 25391 $logic_not$top.v:60$10_Y$2 +.sym 25498 I2C.FLT_SDA.counter[0] +.sym 25499 I2C.FLT_SDA.counter[1] +.sym 25500 $false .sym 25501 $false -.sym 25503 INT -.sym 25504 $false -.sym 25505 $false -.sym 25506 $false -.sym 25513 I2C.FLT_SDA.out -.sym 25514 $false -.sym 25515 $false +.sym 25503 $techmap\I2C.FLT_SDA.$procmux$993_Y[1] +.sym 25504 $auto$wreduce.cc:310:run$36591[0] +.sym 25505 I2C.FLT_SDA.out +.sym 25506 I2C.SDAF +.sym 25508 $false +.sym 25509 I2C.FLT_SDA.counter[0] +.sym 25510 $false +.sym 25511 $true$2 +.sym 25513 $abc$61381$n748 +.sym 25514 I2C.FLT_SDA.out +.sym 25515 I2C.SDAF .sym 25516 $false -.sym 25537 $auto$dff2dffe.cc:175:make_patterns_logic$84718 +.sym 25518 $techmap\I2C.FLT_SDA.$procmux$993_Y[2] +.sym 25519 $abc$61381$n749 +.sym 25520 $false +.sym 25521 $false +.sym 25523 $techmap\I2C.FLT_SDA.$procmux$993_Y[1] +.sym 25524 $false +.sym 25525 $false +.sym 25526 $false +.sym 25528 $techmap\I2C.FLT_SDA.$procmux$993_Y[2] +.sym 25529 $false +.sym 25530 $false +.sym 25531 $false +.sym 25533 $abc$61381$n748 +.sym 25534 $auto$wreduce.cc:310:run$36591[0] +.sym 25535 $false +.sym 25536 $false +.sym 25537 $auto$dff2dffe.cc:158:make_patterns_logic$60797 .sym 25538 CLK$2$2 -.sym 25539 $false -.sym 25608 $true -.sym 25645 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[1]$2 -.sym 25646 $false -.sym 25647 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[1] -.sym 25648 $false -.sym 25649 $false -.sym 25650 $auto$alumacc.cc:484:replace_alu$57680[2] -.sym 25652 $false -.sym 25653 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[2] -.sym 25655 $auto$alumacc.cc:484:replace_alu$57680[3] -.sym 25657 $false -.sym 25658 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[3] -.sym 25660 $auto$alumacc.cc:484:replace_alu$57680[4] -.sym 25662 $false -.sym 25663 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[4] -.sym 25665 $auto$alumacc.cc:484:replace_alu$57680[5] -.sym 25667 $false -.sym 25668 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[5] -.sym 25670 $auto$alumacc.cc:484:replace_alu$57680[6] -.sym 25672 $false -.sym 25673 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[6] -.sym 25675 $auto$alumacc.cc:484:replace_alu$57680[7]$2 -.sym 25677 $false -.sym 25678 $techmap$auto$alumacc.cc:470:replace_alu$57683.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$58930_Y[7] -.sym 25684 $auto$alumacc.cc:484:replace_alu$57680[7]$2 -.sym 25794 $auto$alumacc.cc:483:replace_alu$57684[3] -.sym 25795 $false -.sym 25796 $false -.sym 25797 $false -.sym 25799 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[12] -.sym 25800 $false -.sym 25801 $false -.sym 25802 $false -.sym 25809 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[11] -.sym 25810 $false -.sym 25811 $false -.sym 25812 $false -.sym 25814 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[14] -.sym 25815 $false -.sym 25816 $false -.sym 25817 $false -.sym 25819 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[15] -.sym 25820 $false -.sym 25821 $false -.sym 25822 $false -.sym 25829 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:51$296.$procmux$66087_Y[9] +.sym 25539 $logic_not$top.v:60$10_Y$2 +.sym 25656 $abc$61381$n748 +.sym 25657 $auto$wreduce.cc:310:run$36591[2] +.sym 25658 $false +.sym 25659 $false +.sym 25671 $false +.sym 25672 I2C.FLT_SDA.counter[2] +.sym 25673 $true$2 +.sym 25674 $auto$alumacc.cc:484:replace_alu$36723[1] +.sym 25676 I2C.FLT_SDA.counter[0] +.sym 25677 I2C.FLT_SDA.counter[1] +.sym 25678 I2C.FLT_SDA.counter[2] +.sym 25679 $false +.sym 25829 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[15] .sym 25830 $false .sym 25831 $false .sym 25832 $false -.sym 25833 $auto$dff2dffe.cc:175:make_patterns_logic$91425$2 +.sym 25833 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 .sym 25834 CLK$2$2 -.sym 25835 $auto$wreduce.cc:310:run$57529[3]$2 +.sym 25835 $auto$wreduce.cc:310:run$36598[3]$2 +.sym 25972 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[10] +.sym 25973 $false +.sym 25974 $false +.sym 25975 $false +.sym 25977 $techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[14] +.sym 25978 $false +.sym 25979 $false +.sym 25980 $false +.sym 25981 $auto$dff2dffe.cc:175:make_patterns_logic$59992$2 +.sym 25982 CLK$2$2 +.sym 25983 $auto$wreduce.cc:310:run$36598[3]$2 .sym 26069 $false .sym 26071 KEYBOARD.ROWS_EN[6] .sym 26072 $false @@ -10401,10 +9254,10 @@ .sym 26253 $false .sym 26255 KEYBOARD.ROWS_EN[12] .sym 26280 INT -.sym 26309 $auto$dff2dffe.cc:175:make_patterns_logic$91425 +.sym 26309 $auto$dff2dffe.cc:175:make_patterns_logic$59992 .sym 26310 $false .sym 26312 I2C.SDA_DIR -.sym 26339 $logic_not$top.v:66$10_Y +.sym 26339 $auto$wreduce.cc:310:run$36598[3] .sym 26343 I2C_TRANS .sym 26399 LED4$2 .sym 26402 LED3$2 diff --git a/i2c_keyboard/matrix_kbd.v b/i2c_keyboard/matrix_kbd.v index 766c13b..33a829d 100644 --- a/i2c_keyboard/matrix_kbd.v +++ b/i2c_keyboard/matrix_kbd.v @@ -1,4 +1,4 @@ -module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, input [7:0] COLUMNS, output [7:0] kbd_r0, kbd_r2, kbd_r3, kbd_r4, kbd_r5, kbd_r6, kbd_r7, output INT); +module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, input [7:0] COLUMNS, output [7:0] kbd_r0, kbd_r2, kbd_r3, kbd_r4, kbd_r5, kbd_r6, kbd_r7,/*output [63:0] kbd_report,*/ output INT); // * - ESC (29), 7 - F1 (3A), 4 - F2 (3B), 1 - NUM_LOCK (53) // 0 - CAPS LOCK (39), 8 - R (15), 5 - BACKSPACE (2A), 2 - ENTER (58) @@ -12,10 +12,13 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu reg [15:0] row_time = 0; reg [3:0] row_counter; + //reg [7:0] last_data [3:0];//[31:0] last_data; reg [7:0] temp; reg [7:0] i; + //reg [63:0] report; reg [7:0] report [6:0]; // NO BYTE 2 + //reg [5:0] report_free_place; reg isr; reg [15:0] ROWS_EN = 0; @@ -31,124 +34,221 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu reg [8:0] ram_adr; wire [7:0] ram_rd; - reg [8:0] init_ram_cnt; - always @ (negedge CLK) begin COLS_SHADOW <= COLUMNS; end - ram RAM (CLK, ram_wr, ram_adr, temp, ram_adr, ram_rd);//module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rdata); + ram RAM (CLK, ram_wr, ram_adr, temp, ram_rd);//module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rdata); always @ (posedge CLK) begin if (RESET == 0) begin - for (i = 0; i < 6; i = i + 1) - report[i] = 0; - isr = 0; - init_ram_cnt = 0; + //last_data <= 32'hFFFFFFFF; + //report_free_place <= 6'h3F; + //report <= 0; end else begin if (FREEZE == 0) begin - if (init_ram_cnt < 256) begin + if (row_time == ONE_ROW_TIME) begin + ram_wr = 0; + row_time <= 0; + row_counter = row_counter + 1; + ROWS_EN = 1 << row_counter; + ram_adr = row_counter; + end + else + row_time <= row_time + 1; + // ROW 0 - D, 1 - A, 2 - C, 3 - B + if (row_time == (ROW_STT_PROCESS_TIME - 1)) + temp = ram_rd; + if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7 + 1)) ram_wr = 1; - ram_adr = init_ram_cnt; - temp = 255; - init_ram_cnt = init_ram_cnt + 1; + if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 0/*0*/)) begin + check_column (0); + /*if (COLS_SHADOW[0] != last_data[row_counter*4 + 0]) begin + case (row_counter) 0: kbd_code = 8'h29; 1: kbd_code = 8'h53; 2: kbd_code = 8'h3A; 3: kbd_code = 8'h3B; // ESC, F1-F2, NUM LOCK + default: kbd_code = 1; + endcase + if ((COLS_SHADOW[0] == 0) && (last_data[row_counter*4 + 0] == 1)) is_pressed = 1; + else is_pressed = 0; + end + else kbd_code = 255; + last_data[row_counter*4 + 0] <= COLS_SHADOW[0];*/ end - else if (init_ram_cnt == 256) begin - ram_wr = 0; - init_ram_cnt = init_ram_cnt + 1; + + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 2/*4*/)) begin + check_column (2); end - else begin - if (row_time == ONE_ROW_TIME) begin - ram_wr = 0; - row_time <= 0; - row_counter = row_counter + 1; - ROWS_EN = 1 << row_counter; - ram_adr = row_counter; + /*if (COLS_SHADOW[2] != last_data[row_counter*4 + 2]) begin + case (row_counter) 0: kbd_code = 8'h39; 1: kbd_code = 8'h58; 2: kbd_code = 8'h15; 3: kbd_code = 8'h2A; // CAPS LOCK, R, BACKSPACE, ENTER + default: kbd_code = 1; + endcase + if ((COLS_SHADOW[2] == 0) && (last_data[row_counter*4 + 2] == 1)) is_pressed = 1; + else is_pressed = 0; end - else - row_time <= row_time + 1; - // ROW 0 - D, 1 - A, 2 - C, 3 - B - if (row_time == (ROW_STT_PROCESS_TIME - 1)) - temp = ram_rd; - if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7 + 1)) - ram_wr = 1; - if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 0)) - check_column (0); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 2)) - check_column (2); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 1)) - check_column (1); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 3)) - check_column (3); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 4)) - check_column (4); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 5)) - check_column (5); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 6)) - check_column (6); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7)) - check_column (7); - else - kbd_code = 255; - - // START PACK I2C_HID REPORT - if (kbd_code_hid != 0) begin - if ((kbd_code_hid > 8'hDF) && (kbd_code_hid < 8'hE8)) begin - if (is_pressed) - report [0] = report [0] | (1<<(kbd_code_hid & 8'h07)); - else - report [0] <= report [0] & (~(1<<(kbd_code_hid & 8'h07))); - end - else begin - if (is_pressed) begin - isr = 1; - if (report [ 1 ] == 0) - report [ 1 ] <= kbd_code_hid; - else if (report [ 2 ] == 0) - report [ 2 ] <= kbd_code_hid; - else if (report [ 3 ] == 0) - report [ 3 ] <= kbd_code_hid; - else if (report [ 4 ] == 0) - report [ 4 ] <= kbd_code_hid; - else if (report [ 5 ] == 0) - report [ 5 ] <= kbd_code_hid; - else if (report [ 6 ] == 0) - report [ 6 ] <= kbd_code_hid; - else - isr = 0; + else kbd_code = 0; + last_data[row_counter*4 + 2] <= COLS_SHADOW[2]; + end*/ + + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 1/*2*/)) begin + check_column (1); + /* + if (COLS_SHADOW[1] != last_data[row_counter*4 + 1]) begin + case (row_counter) 0: kbd_code = 8'hE1; 1: kbd_code = 8'h4C; 2: kbd_code = 8'h06; 3: kbd_code = 8'h19; // LEFT SHIFT, C, V, DELETE + default: kbd_code = 1; + endcase + if ((COLS_SHADOW[1] == 0) && (last_data[row_counter*4 + 1] == 1)) is_pressed = 1; + else is_pressed = 0; + end + else kbd_code = 255; + last_data[row_counter*4 + 1] <= COLS_SHADOW[1];*/ + end + + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 3/*6*/)) //begin + check_column (3); + + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 4/*6*/)) + check_column (4); + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 5/*6*/)) + check_column (5); + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 6/*6*/)) + check_column (6); + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7/*6*/)) + check_column (7); + /* + if (COLS_SHADOW[3] != last_data[row_counter*4 + 3]) begin + case (row_counter) 0: kbd_code = 8'hE0; 1: kbd_code = 8'hE7; 2: kbd_code = 8'hE2; 3: kbd_code = 8'h2C; // LCTRL, LALT, SPACE, RGUI + default: kbd_code = 1; + endcase + if ((COLS_SHADOW[3] == 0) && (last_data[row_counter*4 + 3] == 1)) is_pressed = 1; + else is_pressed = 0; + end + else kbd_code = 255; + last_data[row_counter*4 + 3] <= COLS_SHADOW[3]; + */ + //end + + else + kbd_code = 255; + + // START PACK I2C_HID REPORT + if (kbd_code_hid != 0 /*kbd_code != 255*/) begin + isr = 1; + //report[15:8] <= 0; + //report[63:56] <= 0; + if ((kbd_code_hid > 8'hDF) && (kbd_code_hid < 8'hE8))/*((kbd_code > 8'hDF) && (kbd_code < 8'hE8))*/ begin + //kbd_code = kbd_code & 8'h07; + if (is_pressed) + //report [7:0] <= report [7:0] | (1<<(kbd_code_hid & 8'h07));//(1< 5) || (I2C_RX < 1)) @@ -166,55 +116,39 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, end end - - else begin // I2C_TO_HOST + else begin if (I2C_OUTPUT_TYPE == 3) begin - //if ((I2C_COUNTER < 2) || (I2C_COUNTER > (2 + 10 - 1))) - // I2C_TX_REPORT <= 0; - /*else */if (I2C_COUNTER == 2) begin - if (ring_rd != ring_wr) - ring_rd = ring_rd + 1; - report_data_radr = ring_rd * 10; - end + if ((I2C_COUNTER < 2) || (I2C_COUNTER > (2 + 10 - 1))) + I2C_TX_REPORT <= 0; + else if (I2C_COUNTER == 2) + I2C_TX_REPORT <= 10; + else if ((I2C_COUNTER == 3) || (I2C_COUNTER == 5)) + I2C_TX_REPORT <= 0; + else if (I2C_COUNTER == 4) + I2C_TX_REPORT <= kbd_report[0]; else - report_data_radr = report_data_radr + 1; - //else if (I2C_COUNTER == 2) - // I2C_TX_REPORT <= 10; - //else if ((I2C_COUNTER == 3) || (I2C_COUNTER == 5)) begin - // I2C_TX_REPORT <= 0; - // if (ring_rd != ring_wr) - // ring_rd = ring_rd + 1; - // report_data_radr = ring_rd * 10; - //end - /*else if (I2C_COUNTER == 4) - I2C_TX_REPORT <= kbd_report[0];*/ - //else begin - // I2C_TX_REPORT = report_data_rd; - // report_data_radr = report_data_radr + 1; - //I2C_TX_REPORT <= kbd_report[I2C_COUNTER - 5]; - //end + I2C_TX_REPORT <= kbd_report[I2C_COUNTER - 5]; + //I2C_TX_REPORT <= kbd_report[ (8 * (I2C_COUNTER - 4) + 7) : (8 * (I2C_COUNTER - 4) + 0) ]; end - //else - // I2C_TX_REPORT <= 0; + else + I2C_TX_REPORT <= 0; end - last_wr = I2C_WR; end // I2C NEW BYTE TX/RX - END else if ((last_wr == 1) && (I2C_WR == 0)) begin // I2C_NEW_BYTE_NEGEDGE_FOR_UART - UART_WR = 1; + UART_WR <= 1; if (I2C_READ == 0) - UART_TX_DATA = I2C_RX; + UART_TX_DATA <= I2C_RX; else - UART_TX_DATA = I2C_TX; - last_wr = I2C_WR; + UART_TX_DATA <= I2C_TX; end // I2C_NEW_BYTE_NEGEDGE_FOR_UART - END else if ((last_trans == 0) && (I2C_TRANS == 1)) begin // I2C_START_CONDITION OR REPEAT START (UART FF) i2c_input_data_type = 0; // UNKNOWN DATA IN - uart_double_ff = 1; UART_TX_DATA = 8'hFF; UART_WR = 1; - last_trans = I2C_TRANS; + uart_double_ff = 1; + KBD_FREEZE = 0; end // I2C_START_CONDITION (UART FF) - END else if ((last_trans == 1) && (I2C_TRANS == 0)) begin // I2C_STOP CONDITION (OR REPEAT START DETECTED) @@ -230,49 +164,82 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, I2C_OUTPUT_TYPE = 3; else if (i2c_input_data_type == 5) rststate <= 4'h0; // RESET COMMAND - + /*if (I2C_INPUT_LEN == 0) + KBD_FREEZE <= 0; + else if (I2C_INPUT_LEN == 2) begin + if ((I2C_INPUT_DATA[0] == 1) && (I2C_INPUT_DATA[1] == 0)) // I2C_HID_DESC_REQUEST + I2C_OUTPUT_TYPE = 1; + else if ((I2C_INPUT_DATA[0] == 2) && (I2C_INPUT_DATA[1] == 0)) // HID REPORT DESC REQUEST + I2C_OUTPUT_TYPE = 2; + else if ((I2C_INPUT_DATA[0] == 3) && (I2C_INPUT_DATA[1] == 0)) // INPUT REPORT REQUEST (ADR) + I2C_OUTPUT_TYPE = 3; + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 5) begin // OUTPUT REPORT SET (LEDS) - WRITE TO OUT ADR + if ((I2C_INPUT_DATA[0] == 4) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 1) && (I2C_INPUT_DATA[3] == 0)) begin + KBD_LED_STATUS <= I2C_INPUT_DATA[4]; + KBD_FREEZE <= 0; + end + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 6) begin // INPUT REPORT REQUEST (KBD PRESS INFO) + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 16) && (I2C_INPUT_DATA[3] == 2) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0)) + I2C_OUTPUT_TYPE = 3; + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 9) begin // OUTPUT REPORT SET (LEDS) - WRITE BY CMD + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 32) && (I2C_INPUT_DATA[3] == 3) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0) /*&& (I2C_INPUT_DATA[6] == 1) && (I2C_INPUT_DATA[7] == 0)*//*) begin + KBD_LED_STATUS <= I2C_INPUT_DATA[8]; + KBD_FREEZE <= 0; + end + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 4) begin + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 0) && (I2C_INPUT_DATA[3] == 1)) + rststate <= 4'h0; // RESET COMMAND + end */ + //else + // I2C_OUTPUT_TYPE = 0; // if ((I2C_OUTPUT_TYPE == 1) || (I2C_OUTPUT_TYPE == 2)) I2C_OUT_DESC_MASK = 8'hFF; else I2C_OUT_DESC_MASK = 8'h00; end // END OF I2C_READ == 0 - else begin - if (((I2C_OUTPUT_TYPE == 3) /*|| (I2C_OUTPUT_TYPE == 0)*/) && (I2C_INPUT_LEN > 1)) begin + //KBD_FREEZE <= 0; // UNFREEZING KBD AFTER ANYONE I2C RECEIVING + //if (((I2C_OUTPUT_TYPE == 3) && (I2C_INPUT_LEN == 10)) || ((I2C_OUTPUT_TYPE == 0) && (I2C_INPUT_LEN > 1))) begin // HARD + if (((I2C_OUTPUT_TYPE == 3) || (I2C_OUTPUT_TYPE == 0)) && (I2C_INPUT_LEN > 1)) begin // SOFT // DEACTIVATING INTERRRUPT IF HOST READ INPUT REPORT (LEN 10) AFTER INTERRUPT OR EMPTY DATA (>=2 BYTES) AFTER RESET - //if (ring_rd == ring_wr) - INT = 1; - int_tmr = 0; - - //if (ring_rd != ring_wr) - // ring_rd = ring_rd + 1; + // AND UNFREEZING KEYBOARD + INT <= 1; + //KBD_FREEZE <= 0; + //IS_EMPTY_REPORT = 1; end - I2C_OUTPUT_TYPE = 3; - I2C_OUT_DESC_MASK = 0; end - last_trans = I2C_TRANS; end // I2C_STOP CONDITION (OR REPEAT START DETECTED) - END - else if ((last_uart_active == 1) && (UART_ACTIVE == 0)) begin - if (uart_double_ff == 1) begin - UART_WR = 1; - UART_TX_DATA = 8'hFF; - uart_double_ff = 0; - end - last_uart_active = UART_ACTIVE; + else if ((last_uart_active == 1) && (UART_ACTIVE == 0) && (uart_double_ff == 1)) begin + UART_WR = 1; + UART_TX_DATA = 8'hFF; + uart_double_ff = 0; + I2C_INPUT_LEN = 0; end - else if ((last_uart_active == 0) && (UART_ACTIVE == 1)) - last_uart_active = UART_ACTIVE; else if (UART_WR == 1) - UART_WR = 0; - - else if (int_tmr[19] != 1) - int_tmr = int_tmr + 1; + UART_WR <= 0; - else if ((int_tmr[19] == 1) && (I2C_OUTPUT_TYPE == 3) && (I2C_TRANS == 0)) begin - if (ring_rd != ring_wr) - INT = 0; + else if ((last_isr == 0) && (ISR == 1) && (INT == 1)) begin // INTERRUPT FROM KEYBOARD + /*if ((ring_wr + 1) != ring_rd) + ring_wr = ring_wr + 1; + ring_report[ring_wr * 8 + 0] <= kbd_report[ (8 * 0 + 7) : (8 * 0 + 0) ]; + wr_cnt = 1;*/ + INT = 0; + I2C_OUTPUT_TYPE = 3; + I2C_OUT_DESC_MASK = 8'h00; end /*else if (wr_cnt != 0) begin ring_report[ring_wr * 8 + wr_cnt] <= kbd_report[ (8 * wr_cnt + 7) : (8 * wr_cnt + 0) ]; @@ -280,7 +247,10 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, // if (wr_cnt == 0) // START ISR end*/ - + last_wr <= I2C_WR; + last_trans <= I2C_TRANS; + last_uart_active <= UART_ACTIVE; + last_isr <= ISR; end end @@ -292,8 +262,6 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, assign LED2 = KBD_LED_STATUS[0]; assign LED3 = KBD_LED_STATUS[1]; assign LED4 = KBD_LED_STATUS[2];//KBD_FREEZE;//UART_ACTIVE; - //assign LED3 = UART_ACTIVE; - //assign LED4 = uart_double_ff; //assign ACK = I2C_READ;//I2C_WR; //I2C_ACK; assign COM_TX = UART_TX_LINE;//COM_RX; diff --git a/i2c_keyboard/uart.v b/i2c_keyboard/uart.v index b672f3a..8e54b1e 100644 --- a/i2c_keyboard/uart.v +++ b/i2c_keyboard/uart.v @@ -8,9 +8,7 @@ module uart ( input CLK, input RESET, input TX_SIGNAL, input [7:0] TX_BYTE, // IF BYTE IS TRANSMITTING, ATTEMPT TO TRANSMIT OTHER BYTE HAS NO EFFECT // MODULE WORKS AT POSEDGE -parameter CLK_DIV = 13; // 921600 -//parameter CLK_DIV = 5000; // 2400 -//parameter CLK_DIV = 104; // 115200 +parameter CLK_DIV = 13; reg TX_sig_last; reg [3:0] tx_bit_counter; reg [3:0] tx_clk_counter; // MUST CONTAIN CLK DIV