From 8897ae5ea00f6627d479dbf60cd768b731e497ac Mon Sep 17 00:00:00 2001 From: Ivan Olenichev Date: Fri, 30 Nov 2018 17:00:53 +0600 Subject: [PATCH] Update i2c/com_linux/ft232_qt --- com_linux/com_linux/com_linux.pro.user | 2 +- com_linux/com_linux/lattice_com_reign.cpp | 152 +- com_linux/com_linux/lattice_com_reign.h | 8 +- com_linux/com_linux/lattice_com_reign.ui | 42 +- ft232_qt/ft232_qt.pro | 1 + ft232_qt/ft232_qt.pro.user | 2 +- ft232_qt/ft232_reign.cpp | 202 +- ft232_qt/ft232_reign.h | 29 + ft232_qt/ft232_reign.ui | 248 +- ft232_qt/i2c.c | 4 + i2c_keyboard/.sconsign.dblite | Bin 4307 -> 4307 bytes i2c_keyboard/hardware.asc | 15627 +++++++++---------- i2c_keyboard/hardware.bin | Bin 32220 -> 32220 bytes i2c_keyboard/hardware.blif | 4394 ++---- i2c_keyboard/i2c_kbd_alt.bin | Bin 32216 -> 32216 bytes i2c_keyboard/i2c_kbd_alt.blif | 4520 ++---- i2c_keyboard/i2c_kbd_alt.ex | 15878 +++++++++----------- i2c_keyboard/i2c_kbd_alt.txt | 15479 +++++++++---------- i2c_keyboard/matrix_kbd.v | 267 +- i2c_keyboard/ram.v | 15 +- i2c_keyboard/top.v | 236 +- i2c_keyboard/uart.v | 4 +- 22 files changed, 25371 insertions(+), 31739 deletions(-) diff --git a/com_linux/com_linux/com_linux.pro.user b/com_linux/com_linux/com_linux.pro.user index a4236b5..199ceba 100644 --- a/com_linux/com_linux/com_linux.pro.user +++ b/com_linux/com_linux/com_linux.pro.user @@ -1,6 +1,6 @@ - + EnvironmentId diff --git a/com_linux/com_linux/lattice_com_reign.cpp b/com_linux/com_linux/lattice_com_reign.cpp index 8dbe9d4..e972d29 100644 --- a/com_linux/com_linux/lattice_com_reign.cpp +++ b/com_linux/com_linux/lattice_com_reign.cpp @@ -9,8 +9,8 @@ lattice_com_reign::lattice_com_reign(QWidget *parent) : { ui->setupUi(this); log = ""; - on_com_reflseh_clicked(); - tmr.setInterval(100); + on_com_reflesh_clicked(); + tmr.setInterval(200); tmr.setSingleShot(false); //tmr.setTimerType(); QObject::connect(&tmr, SIGNAL(timeout()), this, SLOT (com_data_received())); @@ -18,7 +18,8 @@ lattice_com_reign::lattice_com_reign(QWidget *parent) : QWidget* widgets_unlocked[] = { ui->com_tx }; for (int i = 0; i < sizeof(widgets_unlocked)/sizeof(QWidget*); i++) widgets_unlocked[i]->setEnabled(com.isOpen()); - tmr.start(1); + tmr.start(200); + i2c_data.clear(); } lattice_com_reign::~lattice_com_reign() @@ -26,15 +27,21 @@ lattice_com_reign::~lattice_com_reign() delete ui; } -void lattice_com_reign::on_com_reflseh_clicked() +void lattice_com_reign::on_com_reflesh_clicked() { com_list = QSerialPortInfo::availablePorts(); for (int i = 0; i < com_list.length(); i++) { - if (com_list.at(i).portName() == "ttyUSB0") - com_list.removeAt(i); + //toLog ("COM: " + com_list.at(i).portName() + ", DESC: " + com_list.at(i).description()); + //if (com_list.at(i).portName() == "ttyUSB0") + // com_list.removeAt(i); } + QObject::disconnect(ui->com_list, SIGNAL(currentIndexChanged(int)), this, SLOT(on_com_list_currentIndexChanged(int))); + for (int i = ui->com_list->count(); i >=0; i--) + ui->com_list->removeItem(i); for (int i = 0; i < com_list.length(); i++) ui->com_list->addItem(com_list.at(i).portName()); + QObject::connect(ui->com_list, SIGNAL(currentIndexChanged(int)), this, SLOT(on_com_list_currentIndexChanged(int))); + ui->com_list->setToolTip(com_list.at(ui->com_list->currentIndex()).description()); } void lattice_com_reign::on_com_list_currentIndexChanged(int index) @@ -87,28 +94,80 @@ void lattice_com_reign::on_com_tx_clicked() void lattice_com_reign::com_data_received() { + static int last_com_lines[3] = { 0, 0, 0 }; + static int com_lines[3]; ui->time->setText(QTime::currentTime().toString("HH:mm:ss")); if (com.isOpen() == false) return; QByteArray bytes_read = com.readAll(); + QString i2c_data_str = ""; QString com_outs_now = "CTS ";/* if (com.isRequestToSend()) com_outs_now = com_outs_now + "1, DTR "; else com_outs_now = com_outs_now + "0, DTR "; if (com.isDataTerminalReady()) com_outs_now = com_outs_now + "1"; else com_outs_now = com_outs_now + "0";*/ int pinout = com.pinoutSignals(); +#if 0 if (pinout & (QSerialPort::ClearToSendSignal)) com_outs_now = com_outs_now + "1, DSR "; - else com_outs_now = com_outs_now + "0, DSR "; + else com_outs_now = com_outs_now + "0, DSR "; if (pinout & (QSerialPort::DataSetReadySignal)) com_outs_now = com_outs_now + "1, DCD "; - else com_outs_now = com_outs_now + "0, DCD "; + else com_outs_now = com_outs_now + "0, DCD "; if (pinout & (QSerialPort::DataCarrierDetectSignal)) com_outs_now = com_outs_now + "1"; - else com_outs_now = com_outs_now + "0"; - if (com_outs_now != com_outs) { + else com_outs_now = com_outs_now + "0"; +#else + com_lines[0] = 0; com_lines[1] = 0; com_lines[2] = 0; + if (pinout & (QSerialPort::ClearToSendSignal)) com_lines[0] = 1; + if (pinout & (QSerialPort::DataSetReadySignal)) com_lines[1] = 1; + if (pinout & (QSerialPort::DataCarrierDetectSignal)) com_lines[2] = 1; + //if () +#endif + /*if (com_outs_now != com_outs) { com_outs = com_outs_now; toLog(com_outs); + }*/ + if (bytes_read.length() == 0) { + if (i2c_data.length() != 0) { + if (i2c_data.length() >= 3) { + if (((i2c_data.at(2) == 0x0A) && (i2c_data.at(3) == 0)) || ((i2c_data.at(2) == 0x1E) && (i2c_data.at(3) == 0)) \ + || ((i2c_data.at(2) == 0x05) && (i2c_data.at(3) == 1)) || ((i2c_data.at(2) == 0) && (i2c_data.at(3) == 0))) + i2c_data_str = "I2C DATA TO HOST: "; + else + i2c_data_str = "I2C DATA FROM HOST: "; + } + else + i2c_data_str = "I2C DATA FROM HOST: "; + for (int i = 0; i < i2c_data.length(); i++) + i2c_data_str = i2c_data_str + QString::number(i2c_data.at(i) & 0xFF, 16) + " "; + i2c_data.clear(); + toLog (i2c_data_str); + } + ui->read_progress->setText(""); + return; + } + for (int i = 0; i < bytes_read.length(); i++) { + //toLog ("RECEIVED BYTE " + QString::number(((int)(bytes_read.at(i)) & 0xFF), 16));// + ", PINOUT " + QString::number(pinout, 16)); + i2c_data.push_back(bytes_read.at(i)); + if (i2c_data.length() > 2) { + if (((i2c_data.at(i2c_data.length() - 1) & 0xFF) == 0xFF) && ((i2c_data.at(i2c_data.length() - 2) & 0xFF) == 0xFF)) { + if (i2c_data.length() >= 3) { + if (((i2c_data.at(2) == 0x0A) && (i2c_data.at(3) == 0)) || ((i2c_data.at(2) == 0x1E) && (i2c_data.at(3) == 0)) \ + || ((i2c_data.at(2) == 0x05) && (i2c_data.at(3) == 1)) || ((i2c_data.at(2) == 0) && (i2c_data.at(3) == 0))) + i2c_data_str = "I2C DATA TO HOST: "; + else + i2c_data_str = "I2C DATA FROM HOST: "; + } + else + i2c_data_str = "I2C DATA FROM HOST: "; + for (int i = 0; i < (i2c_data.length() - 2); i++) + i2c_data_str = i2c_data_str + QString::number(i2c_data.at(i) & 0xFF, 16) + " "; + i2c_data.clear(); + i2c_data.push_back(0xFF); i2c_data.push_back(0xFF); + toLog (i2c_data_str); + } + //qDebug ("I2C DATA LEN %d, LAST DATA %d %d", i2c_data.length(), i2c_data.at(i2c_data.length() - 1), i2c_data.at(i2c_data.length() - 2)); + } + ui->read_progress->setText("Reading " + QString::number(i2c_data.length())); } - for (int i = 0; i < bytes_read.length(); i++) - toLog ("RECEIVED BYTE " + QString::number(((int)(bytes_read.at(i)) & 0xFF), 16) + ", PINOUT " + QString::number(pinout, 16)); } void lattice_com_reign::on_clear_log_clicked() @@ -116,3 +175,72 @@ void lattice_com_reign::on_clear_log_clicked() log = ""; ui->log->setText("LOG\n\n" + log); } + +#if 0 +/* + * Scan a report descriptor before the device is added to the bus. + * Sets device groups and other properties that determine what driver + * to load. + */ +int lattice_com_reign::hid_scan_report(__u8* stt, __u8* stp)//(struct hid_device *hid) +{ + struct hid_parser parser;//struct hid_parser *parser; + struct hid_item item; + __u8 *start = stt;//hid->dev_rdesc; + __u8 *end = stp;//start + hid->dev_rsize; + static int (*dispatch_type[])(struct hid_parser *parser, + struct hid_item *item) = { + hid_scan_main, + hid_parser_global, + hid_parser_local, + hid_parser_reserved + }; + + //parser = vzalloc(sizeof(struct hid_parser)); + //if (!parser) + // return -ENOMEM; + + parser.device = hid; + //hid->group = HID_GROUP_GENERIC; + + /* + * The parsing is simpler than the one in hid_open_report() as we should + * be robust against hid errors. Those errors will be raised by + * hid_open_report() anyway. + */ + while ((start = fetch_item(start, end, &item)) != NULL) + dispatch_type[item.type](&parser, &item); + + /* + * Handle special flags set during scanning. + */ + if ((parser.scan_flags & HID_SCAN_FLAG_MT_WIN_8) && + (hid->group == HID_GROUP_MULTITOUCH)) + hid->group = HID_GROUP_MULTITOUCH_WIN_8; + + /* + * Vendor specific handlings + */ +#if 0 + switch (hid->vendor) { + case USB_VENDOR_ID_WACOM: + hid->group = HID_GROUP_WACOM; + break; + case USB_VENDOR_ID_SYNAPTICS: + if (hid->group == HID_GROUP_GENERIC) + if ((parser->scan_flags & HID_SCAN_FLAG_VENDOR_SPECIFIC) + && (parser->scan_flags & HID_SCAN_FLAG_GD_POINTER)) + /* + * hid-rmi should take care of them, + * not hid-generic + */ + hid->group = HID_GROUP_RMI; + break; + } +#endif + + //kfree(parser->collection_stack); + //vfree(parser); + return 0; +} +#endif diff --git a/com_linux/com_linux/lattice_com_reign.h b/com_linux/com_linux/lattice_com_reign.h index 5f9ef28..371c2eb 100644 --- a/com_linux/com_linux/lattice_com_reign.h +++ b/com_linux/com_linux/lattice_com_reign.h @@ -8,6 +8,8 @@ #include +#define __u8 unsigned char + namespace Ui { class lattice_com_reign; } @@ -23,7 +25,7 @@ public: private slots: void toLog (QString str); - void on_com_reflseh_clicked(); + void on_com_reflesh_clicked(); void on_com_list_currentIndexChanged(int index); @@ -33,6 +35,8 @@ private slots: void on_clear_log_clicked(); + //int hid_scan_report(__u8* stt, __u8* stp);//struct hid_device *hid); + protected slots: void com_data_received(); @@ -45,6 +49,8 @@ private: QString com_outs; QTimer tmr; + + QVector i2c_data; }; #endif // LATTICE_COM_REIGN_H diff --git a/com_linux/com_linux/lattice_com_reign.ui b/com_linux/com_linux/lattice_com_reign.ui index c870d83..0aaa843 100644 --- a/com_linux/com_linux/lattice_com_reign.ui +++ b/com_linux/com_linux/lattice_com_reign.ui @@ -6,7 +6,7 @@ 0 0 - 588 + 915 492 @@ -125,13 +125,51 @@ CLR + + + + 150 + 56 + 131 + 21 + + + + Reading... + + + + + + 620 + 130 + 256 + 192 + + + + false + + + + + 26 + 49 + 199 + + + + + true + + 0 0 - 588 + 915 25 diff --git a/ft232_qt/ft232_qt.pro b/ft232_qt/ft232_qt.pro index 8a74c63..ab33cf0 100644 --- a/ft232_qt/ft232_qt.pro +++ b/ft232_qt/ft232_qt.pro @@ -5,6 +5,7 @@ #------------------------------------------------- QT += core gui +QT += serialport greaterThan(QT_MAJOR_VERSION, 4): QT += widgets diff --git a/ft232_qt/ft232_qt.pro.user b/ft232_qt/ft232_qt.pro.user index 44c218a..5f9cbbc 100644 --- a/ft232_qt/ft232_qt.pro.user +++ b/ft232_qt/ft232_qt.pro.user @@ -1,6 +1,6 @@ - + EnvironmentId diff --git a/ft232_qt/ft232_reign.cpp b/ft232_qt/ft232_reign.cpp index 304eaf7..1696daa 100644 --- a/ft232_qt/ft232_reign.cpp +++ b/ft232_qt/ft232_reign.cpp @@ -12,7 +12,8 @@ QString last_write_data = "00"; QString hex_values[16] = { "0", "1", "2", "3", "4", "5", "6", "7", "8", "9", "A", "B", "C", "D", "E", "F" }; -int tmr_on = 0; +bool tmr_on = false; +bool out = false; ft232_reign::ft232_reign(QWidget *parent) : QMainWindow(parent), @@ -25,6 +26,10 @@ ft232_reign::ft232_reign(QWidget *parent) : tmr->setSingleShot(false); tmr->start(); QObject::connect(tmr, SIGNAL(timeout ()), this, SLOT(tmr_fxn())); + on_reflesh_com_clicked(); + on_com_int_line_currentIndexChanged(ui->com_int_line->currentIndex()); + i2c_hid_descr[10] = 2; + i2c_hid_descr[11] = 0; } ft232_reign::~ft232_reign() @@ -39,8 +44,8 @@ void ft232_reign::on_pushButton_clicked() //char desc[1024], serial[1024]; //i2c_open_index_port (0, desc, serial); - //if (bitbang_open (0, 1, 0) == 0) - // tmr_on = 1; + if (bitbang_open (0, 1, 255) == 0) + tmr_on = 1; } void ft232_reign::on_pushButton_2_clicked() @@ -50,15 +55,6 @@ void ft232_reign::on_pushButton_2_clicked() //tmr_on = 0; } -void ft232_reign::tmr_fxn() -{ - //if (tmr_on == 0) - // return; - //char rd[10]; - //bitbang_read(rd); - //qDebug ("Reading from FTDI bitbang: %x, %x, %x, %x", rd[0], rd[1], rd[2], rd[3]); -} - void ft232_reign::toLog(QString info) { log = QTime::currentTime().toString("HH:mm:ss") + "\t" + info + "\n" + log; @@ -214,3 +210,185 @@ void ft232_reign::on_read_input_report_cmd_clicked() toLog ("------------------------------------------"); } + +void ft232_reign::on_reflesh_com_clicked() +{ + com_list = QSerialPortInfo::availablePorts(); + //QObject::disconnect(ui->com_list, SIGNAL(currentIndexChanged(int)), this, SLOT(on_com_list_currentIndexChanged(int))); + for (int i = ui->com_list->count(); i >=0; i--) + ui->com_list->removeItem(i); + for (int i = 0; i < com_list.length(); i++) + ui->com_list->addItem(com_list.at(i).portName()); + //if (com_list.length() > 0) { + //QObject::connect(ui->com_list, SIGNAL(currentIndexChanged(int)), this, SLOT(on_com_list_currentIndexChanged(int))); + if (ui->com_list->currentIndex() >= 0) + ui->com_list->setToolTip(com_list.at(ui->com_list->currentIndex()).description()); + //} +} + +void ft232_reign::on_com_list_currentIndexChanged(int index) +{ + if (index >= 0) + ui->com_list->setToolTip(com_list.at(index).description()); +} + +void ft232_reign::on_com_connect_clicked() +{ + QWidget* widgets_locked[] = { ui->com_list, ui->reflesh_com }; + QWidget* widgets_unlocked[] = { ui->com_int_line, ui->en_int_evt, ui->label_int }; + if (ui->com_connect->text() == "Connect") { + com.setPortName(com_list.at(ui->com_list->currentIndex()).portName()); + if (com.open(QSerialPort::ReadWrite)) { + com.setParity(QSerialPort::NoParity); + com.setDataBits(QSerialPort::Data8); + com.setStopBits(QSerialPort::OneStop); + com.setFlowControl(QSerialPort::NoFlowControl); + com.setBaudRate(921600); + ui->com_connect->setText("Disconnect"); + toLog ("COM " + com.portName() + " OPENED OK"); + tmr_on = true; + } + else + toLog ("COM " + com.portName() + " OPEN ERROR"); + } + else { + com.close(); + toLog ("COM " + com.portName() + " CLOSED"); + ui->com_connect->setText("Connect"); + tmr_on = false; + } + for (int i = 0; i < sizeof(widgets_locked)/sizeof(QWidget*); i++) + widgets_locked[i]->setEnabled(!com.isOpen()); + for (int i = 0; i < sizeof(widgets_unlocked)/sizeof(QWidget*); i++) + widgets_unlocked[i]->setEnabled(com.isOpen()); +} + +void ft232_reign::on_com_int_line_currentIndexChanged(int index) +{ + switch (index) { + case 0: int_mask = QSerialPort::DataSetReadySignal; break; + case 1: int_mask = QSerialPort::DataCarrierDetectSignal; break; + case 2: int_mask = QSerialPort::ClearToSendSignal; break; } + qDebug ("COM INT MASK %u", int_mask); +} + +void ft232_reign::tmr_fxn() +{ + if (tmr_on == false) + return; + int inputs = com.pinoutSignals(); + if (((inputs & int_mask) != 0) && (out == false)) { + out = true; + ui->label_int->setText("INT ON (GND)"); + + } + else if (((inputs & int_mask) == 0) && (out == true)) { + out = false; + ui->label_int->setText("INT OFF (+3.3)"); + } + if (out == true) { + if (ui->en_int_evt->isChecked()) { + on_read_input_report_int_clicked(); + if ((data[0] == 0) && (data[1] == 0)) { + on_read_i2c_hid_desc_clicked(); + on_read_hid_report_desc_clicked(); + on_write_output_report_reg_clicked(); + } + } + } + /*if (out != ui->int_line->isChecked()) { + if (out) + //ui->int_line->setCheckable(true); + //ui->int_line->setChecked(out); + //ui->int_line->setCheckable(false); + }*/ + //qDebug ("COM LINES STATE: %u", inputs); + /*if (tmr_on == 0) + return; + char rd[10]; + bitbang_read(rd); + qDebug ("Reading from FTDI bitbang: %x, %x, %x, %x", rd[0], rd[1], rd[2], rd[3]);*/ +} + +void ft232_reign::on_write_output_report_reg_clicked() +{ + //if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) + // && (I2C_INPUT_DATA[2] == 32) && (I2C_INPUT_DATA[3] == 3) + // && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0) + // && (I2C_INPUT_DATA[6] == 1) && (I2C_INPUT_DATA[7] == 0)) begin + char data_led = 0; + if (ui->NUM->isChecked()) data_led = data_led | 1; + if (ui->CAPS->isChecked()) data_led = data_led | 2; + if (ui->SCROOL->isChecked()) data_led = data_led | 4; + toLog ("WRITING OUTPUT REPORT (TO OUTPUT ADRESS)"); + ui->i2c_length->setValue(5); + QString reg = hex_values[(i2c_hid_descr[12]>>4) & 0xF] + hex_values[(i2c_hid_descr[12]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[13]>>4) & 0xF] + hex_values[(i2c_hid_descr[13]>>0) & 0xF]; + reg = reg + " 01 00 "; // DATA LEN - 1 + reg = reg + hex_values[(data_led>>4) & 0xF] + hex_values[(data_led>>0) & 0xF]; + ui->i2c_write_data->setText(reg); + on_i2c_write_clicked(); + toLog ("------------------------------------------"); +} + +void ft232_reign::on_NUM_toggled(bool checked) +{ + on_write_output_report_reg_clicked(); +} + +void ft232_reign::on_CAPS_clicked() +{ + on_write_output_report_reg_clicked(); +} + +void ft232_reign::on_SCROOL_clicked() +{ + on_write_output_report_reg_clicked(); +} + +void ft232_reign::on_cmd_reset_clicked() +{ + //if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 0) && (I2C_INPUT_DATA[3] == 1)) + toLog ("WRITING RESET COMMAND"); + ui->i2c_length->setValue(4); + QString reg = hex_values[(i2c_hid_descr[16]>>4) & 0xF] + hex_values[(i2c_hid_descr[16]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[17]>>4) & 0xF] + hex_values[(i2c_hid_descr[17]>>0) & 0xF]; + reg = reg + " 00 01"; // COMMAND OPCODE - 1 (RESET), OTHER FIELDS - ZERO + ui->i2c_write_data->setText(reg); + on_i2c_write_clicked(); + toLog ("------------------------------------------"); +} + +void ft232_reign::on_write_output_report_cmd_clicked() +{ + char data_led = 0; + if (ui->NUM->isChecked()) data_led = data_led | 1; + if (ui->CAPS->isChecked()) data_led = data_led | 2; + if (ui->SCROOL->isChecked()) data_led = data_led | 4; + toLog ("WRITING OUTPUT REPORT (COMMAND)"); + ui->i2c_length->setValue(9); + QString reg = hex_values[(i2c_hid_descr[16]>>4) & 0xF] + hex_values[(i2c_hid_descr[16]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[17]>>4) & 0xF] + hex_values[(i2c_hid_descr[17]>>0) & 0xF]; + reg = reg + " 20 03 "; // DATA LEN - 1 + reg = reg + hex_values[(i2c_hid_descr[18]>>4) & 0xF] + hex_values[(i2c_hid_descr[18]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[19]>>4) & 0xF] + hex_values[(i2c_hid_descr[19]>>0) & 0xF]; + reg = reg + " 01 00 "; + reg = reg + hex_values[(data_led>>4) & 0xF] + hex_values[(data_led>>0) & 0xF]; + ui->i2c_write_data->setText(reg); + on_i2c_write_clicked(); + toLog ("------------------------------------------"); +} + +void ft232_reign::on_read_input_report_reg_clicked() +{ + toLog ("READING INPUT REPORT (ADRESS)"); + ui->i2c_length->setValue(2); + QString reg = hex_values[(i2c_hid_descr[8]>>4) & 0xF] + hex_values[(i2c_hid_descr[8]>>0) & 0xF] + " " + \ + hex_values[(i2c_hid_descr[9]>>4) & 0xF] + hex_values[(i2c_hid_descr[9]>>0) & 0xF]; + ui->i2c_write_data->setText(reg); + on_i2c_write_clicked(); + QThread::msleep(100); + ui->i2c_length->setValue((i2c_hid_descr[10] & 0xFF) + (i2c_hid_descr[11] & 0xFF) * 256); + on_i2c_read_clicked(); + toLog ("------------------------------------------"); +} diff --git a/ft232_qt/ft232_reign.h b/ft232_qt/ft232_reign.h index 297cf0b..064cf9d 100644 --- a/ft232_qt/ft232_reign.h +++ b/ft232_qt/ft232_reign.h @@ -4,6 +4,9 @@ #include #include +#include +#include + namespace Ui { class ft232_reign; } @@ -46,9 +49,35 @@ private slots: void on_read_input_report_cmd_clicked(); + void on_reflesh_com_clicked(); + + void on_com_list_currentIndexChanged(int index); + + void on_com_connect_clicked(); + + void on_com_int_line_currentIndexChanged(int index); + + void on_write_output_report_reg_clicked(); + + void on_NUM_toggled(bool checked); + + void on_CAPS_clicked(); + + void on_SCROOL_clicked(); + + void on_cmd_reset_clicked(); + + void on_write_output_report_cmd_clicked(); + + void on_read_input_report_reg_clicked(); + private: Ui::ft232_reign *ui; + QList com_list; + QSerialPort com; + int int_mask; + QString log; int res; unsigned char data[1001]; diff --git a/ft232_qt/ft232_reign.ui b/ft232_qt/ft232_reign.ui index a885576..03b3fde 100644 --- a/ft232_qt/ft232_reign.ui +++ b/ft232_qt/ft232_reign.ui @@ -6,8 +6,8 @@ 0 0 - 627 - 671 + 795 + 598 @@ -111,9 +111,9 @@ 10 - 210 - 601 - 381 + 250 + 771 + 281 @@ -227,7 +227,7 @@ 380 - 130 + 160 231 27 @@ -240,7 +240,7 @@ 380 - 160 + 190 231 27 @@ -249,13 +249,245 @@ Read Input Report (Command) + + + + 650 + 0 + 85 + 27 + + + + + + + 750 + 0 + 31 + 27 + + + + R + + + + + + 650 + 50 + 131 + 27 + + + + <html><head/><body><p>This com must be in port B (port A is for I2C)</p></body></html> + + + Connect + + + + + false + + + + 650 + 80 + 131 + 27 + + + + + DSR (44-BD5) + + + + + DCD (45-BD6) + + + + + CTS (41-BD3) + + + + + + + 380 + 220 + 231 + 27 + + + + Read Input Report (From reg) + + + + + + 110 + 170 + 71 + 22 + + + + NUM + + + + + + 190 + 170 + 71 + 22 + + + + CAPS + + + + + + 270 + 170 + 91 + 22 + + + + SCROOL + + + + + + 110 + 220 + 251 + 27 + + + + Write Output Report (Command) + + + + + + 110 + 190 + 251 + 27 + + + + Write Output Report (To reg) + + + + + + 10 + 160 + 81 + 27 + + + + Reset + + + + + + 10 + 190 + 81 + 27 + + + + Pwr Sleep + + + + + + 10 + 220 + 81 + 27 + + + + Pwr On + + + + + false + + + + 650 + 110 + 131 + 22 + + + + <html><head/><body><p>Enables input report request when Interrupt occurs</p></body></html> + + + En. INT event + + + + + false + + + + 650 + 160 + 121 + 17 + + + + INT ON (GND) + + + + + + 650 + 186 + 131 + 61 + + + + CLEAR LOG + + 0 0 - 627 + 795 25 diff --git a/ft232_qt/i2c.c b/ft232_qt/i2c.c index 2ff43e0..79b53b0 100644 --- a/ft232_qt/i2c.c +++ b/ft232_qt/i2c.c @@ -126,6 +126,10 @@ int bitbang_open (int index, int port, int mask) return 3; } ftdi_set_bitmode(ftdi, mask, BITMODE_BITBANG); + char buf[1] = {0xFF}; + ftdi_write_data(ftdi, buf, 1); + buf[0] = 0x00; + ftdi_write_data(ftdi, buf, 1); return 0; } diff --git a/i2c_keyboard/.sconsign.dblite b/i2c_keyboard/.sconsign.dblite index 46280fdb639aa5ad91812e68408641d107a7cb6a..a2612236a3b9f89e3576b73c9aa536ef1e7b2cb6 100644 GIT binary patch delta 1033 zcmYk5$xjqP6vmwaW@H&=0z{1lBT>VU$k5$Y)r$&(yKHWZ8;)4rRYi>sOU;6(4na*g zdBD1uc=71LgNYX|DjL0rCK~<^V?22BV0TYD!?C;Sd++ys_1;#`R?q9_u~<_P&t;b6 zdZZhCd--*mH;qMkYxV#zH51#G#S8*5rA)C6XflT~WFe>`RvNbBhH!!}5hP zEoPQ@v~NXNt~i?(2=;#6Qc`n z8+X*WZn)xRz;!E~P1Ks&g*+3}*_QnLLZw{FEILfwrapKsJ0uqxde;V8S(jh(V!@^5@(YSy>R)4}DuEAIJ@ z65Hm507MnAp}iJ(?!XXcBpc(_0N$o+#p+m6w6^2M8KpJ zK}4tlsRob^y*JkwkWbrwMwnbl^mo){JPcfJBw$C~7!R@E#McdzlPf;^g8Dr3>afaeYA`Y{ HWoq~z*vCKQ delta 1143 zcmY+EOLI&?6vri!NhLAK2<2I-D3l2jx9_`=h*uEtHr^w;Z+Ghrb-rheX zHob`3s;JqjHCw;QcO2D5f_+p;<(r4CAx8o2sE&%ukH+*Ag?m>v!kghkmiv9iUV zFb@Sr9&c28mB#C1z3OYK?{3j{?yl8o$@-Z(^6+` zc5e8-Y&S~#6zngi!nw3!M8T+~cC=8r&qCt(4vk|Mvxsm)84CkJj1Eh92nS5}^+Sf) zC;Ls$F$D*Ua-a-{?0^oNnT*4crJ)>^8&2DajN7XoOLh9^D>HM`d`eWNYrL9?9anIo zRcu1RN&TY>Ele7i*WQ4gQgAwjow2a9b^+&>Sm!g=1~9pj{eNDvF%0|q-YIx*zkhl9CHo=BNnI$cM$N3t aMv`s8M+Kk$&x2fCwk{P$+V*N)82bmAQ(j;I diff --git a/i2c_keyboard/hardware.asc b/i2c_keyboard/hardware.asc index 5818bec..7f71b72 100644 --- a/i2c_keyboard/hardware.asc +++ b/i2c_keyboard/hardware.asc @@ -1,8 +1,8 @@ .comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) .device 1k .io_tile 1 0 -000011110000000010 -000111111000000000 +000001011000000010 +000101110000000000 000000000000000000 000000000000000001 000000000000000001 @@ -18,13 +18,13 @@ 000000000000000001 000000000000000000 .io_tile 2 0 -000001011000000010 +000001110000000010 000000000000000000 000000000000000000 000000000000000001 000000000000000001 000000000001000000 -001100000000000000 +001100000000011000 000000000000000000 000000000000000000 000100000000000000 @@ -54,16 +54,16 @@ .io_tile 4 0 000000000000000000 000100000000000000 -100000000001000000 -000000000000000001 +000000000000000000 +010000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 000000000000000000 000000000000000000 -100000000000000000 000000000000000000 +010000000000000000 000000000000000000 000000000000000001 000000000000000000 @@ -71,74 +71,74 @@ .io_tile 5 0 000000000000000000 000100000000000000 -100000000000000000 -000000000000000001 +000000000000000000 +010000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 000000000000000000 +010000000000000000 000000000000000000 -100000000000000000 000000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 .io_tile 6 0 -000000011000000000 -000100001000000000 -000000000000000000 000000000000000000 -000000000000000100 +000100000000000000 000000000000000000 -001000000000000000 000000000000000000 +000000000000000100 +000000000000001100 +001000000001000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000001100000 +000010000000000000 +000010010000000000 000000000000000000 000000000000000000 .io_tile 7 0 -000000000000001000 +000000000000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000001000 -000000000000001000 +000000000000001100 000000000000000000 000000000000000000 -000101010000000000 000000000000000000 +000100000000000000 000000000000000000 000000000000000000 -000000000000000001 +000010000000000000 +000011110000000001 000000000000000000 000000000000000000 .io_tile 8 0 +000000000000001000 +000100000000000000 000000000000000000 -000100000001000000 -000000000000100000 000000000000000000 000000000000000000 000000000000000000 +000000000000001000 000000000000000000 -000000000001000000 000000000000000000 000100000000000000 -000000000000000000 +010000000000000000 000000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 .io_tile 9 0 -000000000000000000 -000100000000000001 +000000000000011000 +000100000001000000 000000000000000000 000000000000000001 000000000000000000 @@ -146,7 +146,7 @@ 001000000000000000 000000000000000000 000000000000000000 -100000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -171,7 +171,7 @@ 000000000000000000 000000000000000000 .io_tile 11 0 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -239,39 +239,39 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 1 -000000000000000000000110010001100000000000001000000000 -000000000000000000000010000000001100000000000000000000 -111000000000000001000110010000001001001100111000000000 -000000000000000000000010000000001100110011000000000000 -000000000000000001100000000000001001001100111000000000 -000000000000000000000000000000001011110011000000000000 -000000000000000001100110010000001001001100110000000000 -000000000000000000000010000000001011110011000000000000 -110000000000000011100000001001101111100000000000000010 -000000000000001011100000001001101010000000000000000001 -000000000000000001100000000101000000000000000100000000 -000000000000000000000000000011000000000001000000000000 -000000000000000011100000000001000000000000000100000000 -000000000000001011100000000111100000000001000000000000 -000000000000000000000000000001000000000000000100000000 -000000000000000000000000000011100000000001000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000011100000000000000000000000000000000000 +100000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 3 1 -000000000001000000000000010000000000010000 -000000000000100000000011000000000000010000 -000000000000000000000000000000000000000100 -010000000000000000000000000000000000010000 -010000000000000000000000000000000000010100 -010000000000000000000000000000000000010000 -000000000000000000000000000000000000010000 -000000001100000000000000000000000000100000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000001001 -000000000000000000000000000000000000100000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000010000 -000000000000000000000000000000000000000010 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 4 1 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -280,100 +280,100 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 5 1 -000000000000000001000111110101011000110000000000000000 -000000000000001001000111110101101001111000000000000000 -111000000000001001100010010001100000000000000000000000 -000000000000001101000111110001100000000011000000000000 -110000000000001001100000001101000000000000110000000001 -110000000000001111000011111101001000000000000000000000 -000000000000000111110010000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -001000000000000000000000001001101010000011010000000000 -000000000000000000000000000101111000000011110000000000 -000000000000000000000000000001100000000011110000000000 -000000000000000000000000001111001001000011000000000000 -000000000000000000000010011101000000000010010110000010 -000000000000000000000011011101001000000001011000000000 -110000000000000000000000000001100000000010010100000000 -000000000000000000010000000001101011000001011000000101 +000000000000100000000111110000000000000000000000000000 +000000000000010000000110000000000000000000000000000000 +101000000000000001000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100001001100110000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000000100000000 +000000000000000000000000001001100000000001000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 6 1 -000000000000001000000110011111000000000010000000000000 -000000001110000111000010001011001100000000000000000000 -000010100000000001000000011001100000000001000000000000 -000000000000000001000010110001000000000000000000000000 -000000000000001001000000011101011010101100000000000000 -000000001100000001100010101101101010111100000000000000 -110001000000001001000010000101011000110000000000000000 -100010101010100101100100000011011101010100000000000000 -010000000000001011100111100001001011011100000000000000 -000000000000010011000000001001101010001100000000000000 -000000000001000000000011110000000000000000000000000000 -000000001000000000000110000000000000000000000000000000 -000000000000000000000011100111111100110000000000000000 -000000000000001001000100000001111111010100000000000000 -000100000000000000010000000000000000000000000000000000 -000101000010000000000010100000000000000000000000000000 +000000000000000001100111010001100001000000001000000000 +000000000000000000000110000000001010000000000000000000 +101000000000000001000110010000001001001100111000000000 +100000000000000000000010000000001011110011000000000000 +000000000000000000000110000000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000101100110010000001001001100110000000000 +000000000000000000000010000000001100110011000000000000 +010000000000000000000000001001101001100000000000000000 +010000000000000000000000000111111001000000000000000000 +000000000000000001100010001101000000000000000100000000 +000000000000000000000100001011100000000001000000000000 +000000000000000000000000001001000000000000000100000000 +000000000000000000000000001111000000000001000000000000 +000000000000000001100010001001000000000000000100000000 +000000000000000000000100001011100000000001000000000000 .logic_tile 7 1 -100000000110000001000000000000000000000000000101000000 -000000000000000000000010101001000000000010000000100001 -111000000000000000000000001000000000000000000100100000 -000000000000000000000000000011000000000010000000000000 -000000000000000011100000010000000000000000000100100001 -000000000000000000000010010101000000000010000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000010000000000001000000001000000000 +000000000000000000000100000000001010000000000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000001000010110000001101110011000000000100 +000001000000000000000000000000001000001100111000000000 +000010100000001001000000000000001010110011000000000000 +000000000000000000000000000000001001001100111000000100 +000000000000000000000010010000001100110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000001101000000000000001110110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000001101000000000000001110110011000000000000 +000000000000000101000000000000001000001100110000000000 +000000000000000000100000000000001011110011000000000000 +.logic_tile 8 1 +100010100000000011100000001000000000000000000100000010 +000000000000000000000000000101000000000010000000100000 +101000000000000000000000000000000000000000000100100000 +100000000000000000000000000001000000000010000000000001 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 000000000001000011100000000000000000000000000000000000 000000000000100000100000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000011000000000010000000000000 -000000000000000001000000000000000000000000000100100001 -000000001010001111100000000001000000000010000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000101000000000010000000100000 -000000000001000000000000000000000000000000000100000000 -000000001000000000000000001101000000000010000001000000 -.logic_tile 8 1 -000001000000000000000111100101100000000001000000000000 -000010000000000001000111101011100000000000000000000000 -111000000001001111100000000101000000000001000001000000 -000000000000000001000000000101100000000000000000000000 -010001000000000111100110001011111010010000000000000100 -010000000000001001000000001101011000000000000010100000 -000000000000001000000011010001111111100000000000000000 -000000000001000001000010001001001110000000000000000000 -000000000000000000000110101011011101010000000000000000 -000000000000000000000011010011111101000000000000000000 -000010000110100101100110110000000000000000000000000000 -000001000000011001000010100000000000000000000000000000 -000000000000000000000000000101100000000001000000000000 -000000000000000000000000000101000000000000000000000000 -010000000000011101100000001000000000000000000100000110 -100100000000100101000000000001000000000010001100000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000100000010 +000000100000000000000000001111000000000010000001000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000010010101000000000010000000100000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 .logic_tile 9 1 -000000000000000000000010010101100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111010100000001001100110010000000000000000001000000000 -000001000000000001000010000000001001000000000000000000 -010100000000000000000000000000001000001100111100000100 -100100000000000000000000000000001001110011000000000100 -001000000100000000000000000000001000001100111101000000 -000000000000000000000010010000001101110011000010000000 -000000000000000000000110000000001001001100111100100100 -000000000000000000000000000000001000110011000000100000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000100 -001000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000100 -010000000001000000000000000000001001001100111100000101 -000000000000100000000000000000001001110011000000000000 +100000000000000011100000000000000000000000000100000010 +000000000000000101000000000001000000000010000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000001000000000000000001101000000000010000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000000001000000000010000000000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 @@ -392,39 +392,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 1 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111001000000001000000000000000000000000000000101000000 -000010001000001101000000000101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000001000000 -000000100000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 1 +101000000000000000000000000000000000000000000000000000 100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000110000000 -000000000000000000000000001111000000000010000000100000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000101000000 +000000000000000000000000001101000000000010001100000100 +.logic_tile 12 1 +000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +101000000000000101000110000000000000000000000000000000 +100000000000001101100000000000000000000000000000000000 +110000000000000000000010100011100000000000000000000000 +110000000000000000000100001101000000000001000000000000 +000000000000001011000010100101100001000011000000000000 +000000000000000001000100000001001000000011110000000100 +000000000000000000000000001001100000000011000000000000 +000000000000000000000000001101100000000000000000000000 +000000000000000001100000001001111010000100100000000000 +000000000000000000000000000101001000000000000000000000 +000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000011000000000000000000000000000000 -000100100000100000000000000000000000000000000000000000 +110000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000100000000 .io_tile 13 1 000000000000000000 000000000000000000 @@ -432,7 +432,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000001000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -446,7 +446,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000011000 000000000000000000 000000000000000000 000100000000000000 @@ -460,167 +460,48 @@ 000000000000000000 000000000000000000 .logic_tile 1 2 -000000000000000000000000000101000000000000001000000000 -000000000000000000000010110000000000000000000000001000 -000000000000000101000010100000000001000000001000000000 -000000000000000000100110110000001001000000000000000000 -000000000000000101000000000000000001000000001000000000 -000000000000001101100000000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000001101000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000001 +100000000000000000000000000000000000000000000100000000 +000000000000000000000000000111000000000010000000000100 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 2 -000000000000001111000000000001100000000000001000000000 -000000000000000111000000000000100000000000000000001000 -111000000000000011000010000101000000000000001000000000 -000000000000000000000100000000101110000000000000000000 -010000000000000000000010000001001000001100111000000011 -100000000000000000000100000000001101110011000001000000 -000000000000000001100000000101101000001100111000000000 -000000000000001101000000000000001110110011000000000001 -000000000000000000000000000000001000111100000000000000 -000000000000000011000011110000000000111100000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000001000000000000000000000000000000100000010 -000000000000000111000000000011000000000010000000000000 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000001000000000011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000001000000 +000000000000000000000000000111000000000000000000000000 +000000000000000001010010000000000000000010000010000000 +000000001010000000100100000101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000001000000 +000000000000001011000000001101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 2 000000000000000000000000000000000000000000 -000000010000000000000011011001000000000000 -111000000000000000000000000000000000000000 -000000010000000000000000000101000000000000 -000000000000000000000000000000000000000000 -000000000000001011000000000111000000000000 -000000000000000000000110101000000000000000 -000000000000000000000000000111000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000001101000000000000 -000001100000000101100000001000000000000000 -000010100000000000000000001111000000000000 -000100000000000101100000001000000000000000 -000100000000000000000000001111000000000000 -110000000001001111100110111000000000000000 -110000000000000101100010100011000000000000 -.logic_tile 4 2 -000000000000000011000010001001100000000001100000000000 -000000000000000001000000000001101011000000110001000000 -111000000000100000000011101000000000000000000100000000 -000000000001010001000100000111000000000010000000000000 -010000001010001000000110000000000000000000000000000000 -100000000000000001000000000000000000000000000000000000 -010000000000000000000000000000000000000000000100000010 -000000000000000000000000000101000000000010000010000001 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000111000000000011000000000000 -000000000000100101100000001000000000000000000100000000 -000000000001000000000000000011000000000010000000000000 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000111100000000011000000000000 -000000000000001101100000001001000000000001000100000000 -000000000000000101000000000011000000000011000000000000 -.logic_tile 5 2 -000000000010001001100110011011100001000011110000000000 -000000000110000001000010000011001011000011000000000000 -111000000000001101000111101011100001000000000000000000 -000000000000000001100000001101101010000000110000000000 -010000000000000001100000000001111000100101010000000000 -100000000000000101000010100011011101100110100000000000 -000000000000001111000111111101100000000001000000000000 -000000001010001011000111000111001000000011000000000000 -000000000000000101000111111001001100000011100000000000 -000000000000000001000011101101101000000011000000000000 -000000000000000001000000000111011000000100000000100000 -000000000000001011100011110101101011000000000000000000 -000000000000001101000010101101001100000010110100100000 -000000000000000001000000000101001010000000110000000000 -000010100000001000000000000001001110000011100100100000 -000000000000000101000000001011101110000011000000000000 -.logic_tile 6 2 -000000000000001001100011101001001001010100000000000000 -000000000000000001100011010111011101110000000000000000 -000000000000001001100000001001000000000010000000000000 -000000000000000111100000001101000000000000000000000000 -001000000000000001100110011001111111010100000000000000 -000000000000001101000010000001001101110000000000000000 -000000000000000011100000010011011111010100000000000000 -000000000000000101100010000011011111110000000000000000 -000010100000001101000111101001000001000000110000000000 -000000000000000111100100000111001010000000000000000000 -000000000000000000000000010101000000000010000000100000 -000000000000000000000010101101100000000000000000000000 -000000000000000011100000000011001111010100000000000000 -000000000000000101100000000111101101110000000000000000 -000000000000000011110010011101001000001100000000000101 -000000000000000101000010100101011010101100000000000010 -.logic_tile 7 2 -000000000000000000000000010011100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111000000000000001000000000111100000000000001000000000 -000000000000000000010000000000001100000000000000000000 -010000000000000000000000000111101000001100110000000000 -100000000000000001000000000000101110110011000000000000 -000000000000001000000110100011000001000001010001000000 -000000001100000001000000000101101000000001100000000000 -010000000000000111100000011011100001000010000000000000 -000000000000000000100011111111001101000000000000000000 -000000000000000101100110111001000000000001000000000000 -000010000000000000000010100101100000000011000000000000 -000000000000000111100000001000000000000010000100000000 -000000000000000000100000001101000000000000000000100000 -000000000010100101100110110000000000000000000000000000 -000000000001010000000010100000000000000000000000000000 -.logic_tile 8 2 -000010000000001111100000011011100000000011000000000000 -000000000000000001000011011101000000000000000000000000 -111000000000001101100010101101000000000000100000000000 -010000000000010101000000000001101011000000000010000000 -110000000000001111100110010001100000000000100000000000 -010000000000000001000010000001101010000001000000000000 -000000000001011101100110000101101000001100110000000000 -000000000000100101000000000000110000110011000000000000 -000000000000000000000000010001000001000011000000100000 -000000000010000000000011111111001000000011110000000000 -000000001010100001100000000000000000000000000100000000 -000000000000000000000000000101000000000010000100000000 -000000000000000000000111001000000000000000000100000000 -000000001110000000000100000101000000000010000100000000 -010000001111000000000000001101000000000001000100000000 -100000000000000000000000000101100000000011000100000000 -.logic_tile 9 2 -000000000000001001100110010000001000001100111100000010 -000000000000000001000010000000001000110011000000010000 -111000000000001001100110010000001000001100111100000010 -000000000000100001000010000000001000110011000000000000 -010100000000000000000000000000001000001100111100000000 -100000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000001 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000010000000000001001110011000000100000 -010000000000000000000000000000001001001100110100000000 -000000000000000000000000000000001001110011000000000000 -.ramt_tile 10 2 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -629,48 +510,167 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -.logic_tile 11 2 -000000000000000001000010000001000000000000001000000000 -000000000000000000100100000000000000000000000000001000 -111000000000000000000010100011100000000000001000000000 -000000000000000000000100000000101001000000000000000000 -010000000000000000000000000011000000000000001000000000 -100000000000000000000000000000100000000000000000000000 -000000000000000000000000000111100000000000001000000000 -000000001000000000000011010000000000000000000000000000 -000000000000000000000011100011100000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000000000000000111000000001000111100000000000000 -000000000000000000000010010000000000111100000000000000 -000000000000000000000000001001100000000000000000000000 -000000000000000000000000001111100000000001000000100000 -000000000000000001000010101000000000000000000100000010 -000000000000000000000000001101000000000010000011000000 -.logic_tile 12 2 -000000000000000000000000000111100000000000001000000000 -000000000000000000000011010000100000000000000000001000 -000000000000000111000000000001000000000000001000000000 -000000001000000000000000000000100000000000000000000000 -000000000000000000000000000011100000000000001000000000 +.logic_tile 4 2 +000000100000000101000000000001000000000000001000000000 +000001000000000011100010010000000000000000000000001000 +000010000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000001101000010110000001000000000000000000000 +000100000000000000000000000000000001000000001000000000 +000100000000000000000011000000001011000000000000000000 +000000000010000000000000000000000001000000001000000000 +000000000000010000000000000000001100000000000000000000 +000000000100001000000000000000000000000000001000000000 +000000000000001111000000000000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000001000111100000000000010 +000000000000000000000000000000000000111100000000000000 +.logic_tile 5 2 +100000000000000011100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011000000000000001000000000 -000000000010000000000000000000100000000000000000000000 -000000000000001000000011110011000000000000001000000000 -000000000000000011000011010000100000000000000000000000 -000000000000000011100000000011000001000000001000000000 -000000000000000000100011010000001110000000000000000000 -000000000000000000000000000001100000000000001000000000 +101001000000000000000000000000000000000000000000000000 +100010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000011100000000000001000000000 -000000000000001011000011100000100000000000000000000000 -.io_tile 13 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +000000000000000000000000000001000000000010000000000000 +.logic_tile 6 2 +000000000000000111100110000001000000000001000000000000 +000000000000000000100000000011000000000000000000000000 +101000000000001001000011111101111001000110100000000000 +100000000000001011100010001001001011001110100000000000 +000000000000000001100000001011100001000000100000000000 +000000000000000000000000001101101000000000000010000000 +000000000000001001100011111101111001010111000000000000 +000000000000000001000010010001101010000011000000000000 +000000000000001000000000000111100000000001000000000000 +000000000000000111000000000011100000000000000000000000 +000000000000000001000011110001100000000001000000000000 +000000000000000000000111110111100000000000000000000000 +000000000000001000000000000011100001000010010101000000 +000000000000000111000000000101001111000001010000000010 +000000000000000000000011110011100001000010010100000000 +000000000000000000000111111001101101000001010001000100 +.logic_tile 7 2 +000000000000000000000010110000000000000010000000000000 +000000000000000000000010001001000000000000000000000000 +101000000000001101100010101000000000000010000000000000 +100000000000000101000000000001000000000000000000000010 +000000000000001111100000011011100000000001000000000000 +000000000000000101100010100111100000000000000000000000 +000000000000000101000000000000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000110000011100000000001010100000110 +000000000000000000000010101001101011000001100000000000 +000000000000000000000000001101000000000001010110000100 +000000000000000000000000000101001010000001100000000000 +000000000000000111100000001001000000000001010100000100 +000000000000000000100000001001101011000001100000000000 +.logic_tile 8 2 +000000000000001101000111000001000000000000001000000000 +000000000000000101100000000000000000000000000000001000 +000000000000000000000000000000000000000000001000000000 +000000000110001101000000000000001010000000000000000000 +000000000000000000000110100000000001000000001000000000 +000000000000001101000000000000001000000000000000000000 +000000000000001000000000000000000001000000001000000000 +000000001010000101000000000000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000001000000000000000000000001001000000000000000000 +000000000000100000000000000000000000000000001000000000 +000000000001010000000000000000001000000000000000000000 +000000000000000000000000000000001000111100000000000100 +000000000000000000000000000000000000111100000000000000 +.logic_tile 9 2 +000000000000000000000000000000000000000000000000000000 +000000000000001101000011000000000000000000000000000000 +101000000000000001000010001001000001000000010001000000 +100000000000000000000000000001001001000000110000000000 +010000000000000000000000000000000000000000000000000000 +010000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000000101000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000011011101100000000001000000100000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +.logic_tile 12 2 +000000000000000000000000010001100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +101000000000001001100110000101100000000000001000000000 +100000000000000001000000000000101100000000000000000000 +110000000000000000000110000101101000001100110000000000 +110000000000000000000000000000101110110011000000000000 +000000000000000000000010111001000000000001000000000000 +000000000010000000000010000001100000000011000000000000 +000000000000000111000000000001101100001100110000000000 +000000000000000000000000000000100000110011000000000000 +000000000000000000000000001101100001000010000000000000 +000000000000000000000000001001101011000000000000000000 +000000000000000111000111000000000000000000000100000000 +000000000000000000000000001101000000000010000100000000 +110000000000000000000000001001100000000001000100000000 +000000000000000000000000000001000000000011000100000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -698,225 +698,225 @@ 000000000000000000 000000000000000000 .logic_tile 1 3 -000000000000000000000010001000000000000010000000000000 -000000000100000000000000001011000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000001000000001000000000000010000000000000 -000000000000000000100000000101000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000100010010000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000011011011000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000010011001000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000011000000000101000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000111000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000000001000000000010001100000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 3 -000000000000000111000111000000000000000010000010000000 -000000000000000000000110001101000000000000000000000000 -111000000000000001100111001000000000000010000010000000 -000000000000000101000110001001000000000000000000000000 -000000000000000111100010100011000001000000100000000001 -000000001000000000100110011001101000000000000000000000 -000000000000000000000011010000011001001100110000000000 -000000000000000000000010000000001100110011000000000000 -000000000000100000000110001001000000000000000010000000 -000000000000000000000000001111100000000001000000000000 -110000000000001001010000000001100001001100110000000000 -000000000000000001100000000000101011110011000000000000 -000000000001000000000000001001100000000000000100000010 -000001000000100000000000001101000000000001000000000000 -001000000000000000000110000011101010111100110100000000 -000000000000000000000000000001001011010100110000000000 +000000000000000111000011000001100000000000001000000000 +000000000000000001000010010000000000000000000000001000 +000000000000000011000000000001100000000000001000000000 +000000000000001001000011100000101000000000000000000000 +000000000000000111000000000001001000001100111000000000 +000000000000000001000000000000101010110011000000000000 +000000000000000000000000000001001000001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000111001000001100111000000000 +000000000000010000000000000000001010110011000000000000 +000000000000000000000000000001001000001100111000000000 +000000000000000000000000000000101000110011000000000000 +000000000000000000000000000101001000001100111000000000 +000000000000001001000000000000001010110011000000000000 +000010100000000000000000000001101000001100110000000000 +000001000000000000000000000000001000110011000000000010 .ramb_tile 3 3 -000000000000100001000111101001000000000000 -000000000001010000000000001111100000010000 -111000000000000011000010000000000000000000 -000000000000000000000100000001000000000000 -111100000000000000000000000001100000000010 -110100000000000001000000001011000000000000 -000000000000000000000000001000000000000000 -000000000000001111000000001001000000000000 -001000000000100000000000010101100000000000 -000010000001010111000011110111000000010000 -000100000000000011000011101000000000000000 -000000000000000000110000001011000000000000 -000000000000000111010110100101000000000000 -001000000000000000010100000011000000000100 -110010000000000001000010001000000000000000 -110001000000000000100100000011000000000000 +000000000000000000000000000000000000000000 +000001010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 3 -000000001100000000000011100111000000000000001000000000 -000001000000000000000100000000000000000000000000001000 -111000000000000000000110010000000000000000001000000000 -000000000000000000000010000000001111000000000000000000 -000001000000000000000000000000000001000000001000000000 -000010000000000000000000000000001010000000000000000000 -000000000000000001100111100000000000000000001000000000 -000000000000001101000010110000001001000000000000000000 -000000000000001000000000000000001000111100000000000000 -000000000000000111000000000000000000111100000000000100 -000000001110000000000000001000000000000010000000000000 -000000000000000000000000001111000000000000000000000000 -000000000000001000000000010001100000000001000010000000 -000010000000001111000011110011100000000000000000000000 -000000000000000000000000011001111011001100000100000000 -000000000000000000000010001101111010101101010000000000 +000000000000000000000111100000000000000010000000000000 +000000000000000000000100000011000000000000000000000000 +101000000000000000000011010000000000000010000000000000 +100000000000000000000111101001000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000101000110010101100001000011010001000001 +000000000000000000100010000011101001000011110000000100 +000000000100001111000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000001101100001000010010100000010 +000000000000000000000000000111101010000001010000000000 +000000000000000101000000000000000000000010000100000000 +000000000000000000000000000011000000000000000000000100 .logic_tile 5 3 -000010000000000111100011011101100000000010100000000000 -000001000010001101000110001011101001000010010000000010 -111000000000000101100111100111100000000010100001000000 -000000000000000011100011010111101011000010010000000000 -110000000000001111100000010001100000000001000000000000 -110000001110001111000010000101000000000000000000000000 -000000101100001101100011111011011010010110100000000000 -000001000000001111100011000101001000100110100000000010 -000000000000000111100000001011011000010110100000000000 -000000000000100011000000001101001010100110100000000000 -000000000000000000000000001001111010010110100000000001 -000000001010000000000011111111101000100110100000100000 -000000000000001000000010000101000001000010010110000000 -000000000000001111000000000001001000000001011000000000 -110000000000000001100110101111100000000010010100100000 -000000001000000000000010001001001001000010101000000010 +000000000000000001000000010111000000000010000000000000 +000000000000000000100010001001101111000000000000000000 +101000000000000000000110010000000000000000000000000000 +100000000000000000000010000000000000000000000000000000 +010000000000001000000011100101111100001100110000000000 +110000000000000001000000000000100000110011000000000000 +000000000000000000000000011001100000000000000000000001 +000000001100000000000010001001101010000000110001000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110110001000000000011000100000000 +000000000000000000000010101001000000000010000000000000 +110000000000000000000000001001100000000000110101000000 +000000000000000000000000001001101101000000000000000000 .logic_tile 6 3 -000010000000000101100000001011100000000010000000000000 -000001000000000001000010000011000000000000000000000000 -111000000000000001000010001101100001000000110000000000 -000000000000000000100100001001001100000000000000000000 -010000000000000011000011100101111010000011010000000000 -110000000000000000000000000001011110000011110000000010 -000000000000001011000010011001000000000000110000000000 -000000000000000101000111110101001100000000000000000010 -000010101000000101100000000001100000000010010110000000 -000001000000000000000010111011001010000001011000000000 -000000100000001101100000001001000000000010010100100000 -000000000000000101000000000111101110000001011000000100 -001000000110001101100000000101100000000010010100000000 -000000000000100101000000000001001110000010101001000000 -110000000000000000000000000101100001000010010100000000 -000000000000001101000000000001101100000001011001000000 +000000000000000111100000000101100000000000001000000000 +000000001010000000000011100000100000000000000000001000 +101000000000000011100010110101000001000000001000000000 +100000000000000000100010010000001000000000000000000000 +110000001110000011000110010011101000001100111000000000 +110000000000000000000010000000101001110011000000000000 +000000000000001101000010010011101001001100110000000000 +000000000000000001000110100000101000110011000000000000 +000000001110000000000010011001101101100000000000000000 +000000000000000000000111011101111001000000000000000000 +000000000000000000000110010101100001000000010000000000 +000000000000000000000010000001001010000000000000100000 +000000000000000000000011010111000000000011000100000000 +000000000000000000000011011101100000000010000000000000 +110000000000000000000000000011000000000011000100000000 +000000000000000000000000001101100000000010000000000000 .logic_tile 7 3 -000000000000001111000000011101000001000000010000000000 -000000000000001101100011110001101100000000000001100000 -111110100000001000000111101011000000000000010000000000 -000001000010001101000110010011101000000000000000000000 -010000000000000000000000010001000001000000000000000000 -100000000000000000000010001001001001000000010000000000 -000000000000000000000000011001000001000000010010000000 -000000000000001101000010000011001110000000000000000000 -000000000000100000000000011101100000000000010000100100 -000000000001010000000010100001101101000000000000000001 -000000100000001101110000001001011001000000000000000000 -000001000010100101000000001001011111100000000000100000 -000000000000100000000000000001100001000000010000000000 -000000000000010000000000000001101001000000000000000000 -000000000000001000000000011000000000000000000100000000 -000000000000000101000010100101000000000010000000000001 +000000000001001111100110010111100000001100110000000000 +000000000000100001100011110000101011110011000000000000 +101001100000011011000110000001100000000010000000000000 +100000000000001011100000000001000000000000000000000000 +000000000000000001100110011001100000000000010010000000 +000000000000000000000011110111101110000000000000000000 +001000100000001001100110001101100000000001000000000000 +000001001110000001000000000001100000000000000000000010 +000000000000000000000000010001000001000001010000000000 +000000000000000000000011110001101010000001100000000000 +000010001100000101100000000001000000000000000000000000 +000001000000000000000000000001100000000001000000000001 +000000000000000011000000000011101010100101010110100010 +000000000000000000100010010101011101101001010000000001 +000000000001000101100000001101100000000001010100100000 +000000000000000000000010000101101111000010010001100000 .logic_tile 8 3 -000000001000000000000110010111101100100000000000000000 -000000000000001001000010001111001001000000000000100000 -000000000000000000000011000101101011000000000001000000 -000100000000010000000100000101101011100000000000000000 -000000000000000101100000000111101110010000000000000000 -000000000000001001100010001011101110000000000000000000 -000000000000100000000011000011001101100000000000000000 -000000000001010001000111000111101010000000000000000000 -000000000000000001110000010101101010000100000000000000 -000000000010011111000011110001011100000000000000000000 -000000000000001101100000010011101111000000000000000000 -000000000000000101000010100101011001100000000000000000 -000000000000000111100110110011101001100000000000000000 -000000000000000001100010101111011101000000000001000000 -000000000001011000000000000111001100000000000000000010 -000000000000000101000010000111111111100000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +101000000000000000000110010000000000000010000000000000 +100000000000000000000010001101000000000000000000000000 +110000000000000000000011111011100000000001000000100000 +000000000000000000000010001101100000000000000000000000 +000000000000000001100111100000000000000000000000000000 +000000000010000000000111010000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000001100010101111000000000001000000000000 +000000000000000000100100001101000000000000000000100000 +000000000000000111100000001011100000000001000000000000 +000000000000000000100000001101000000000000000000000100 +011001000000000000000000000101000001001100110101000000 +100111100000000000000000000000101001110011000000000010 .logic_tile 9 3 -000000000000001101000010100011101101100000000001100000 -000000000000000111000010101101001111000000000000000000 -111000000000000101100010110001001100010000000010000000 -000100000000000000100010000101001110000000000000000000 -110000000000000011100110001101000000000000010000000000 -110000000000000111000010101001101101000000000000000000 -000000000100000101000000001101011011000100000000000000 -000000000100000101000000000101001001000000000000000000 -000000000000101011000000001001001000010000000000000000 -000000000001010011100010001111011001000000000000000000 -000000000010000001100000000011000000000000000000000000 -000000000000000000000000001101100000000001000000000000 -000000000000001000000111001001000000000000000000000000 -000010000000001101000000001111000000000001000000000000 -010000000000000000000011001000000000000000000100000000 -100000000000000000000000000001000000000010000000000001 +000000000000000001000000001101011000100000000000000000 +000000000000000111000011110001101001000000000000000000 +000000000000001001100111010101100001000000010000000000 +000000000000001111000010000001101001000000000000000100 +000000000000000011000011010011000000000000000000000000 +000000000000000111000011110001100000000001000010000000 +000000000000000011100110011001101101100000000000000000 +000000000000001001100011110101111011000000000000000100 +000000000000000000000111111001100000000001000000000100 +000000000010000000000110001001000000000000000000000000 +000010100000000000000010000101001010000000000000000000 +000001000110000000000000001011101010100000000000000000 +000000000000000001000000011011101110100000000000000000 +000000000000000000000010110101111110000000000000000000 +000000100000000000000000001001000000000000010000000000 +000000000000000000000000001101001101000000000000000000 .ramb_tile 10 3 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000010000000100000000000000000000000000000 -000000000000100000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 11 3 -000000000000100001100000001001100000000010000001000000 -000000000001011011000011111111100000000000000000000001 -111000000100000000000110001011100001000000010000000000 -000000000000000001000010001111001110000000000000000000 -010000001010000000000000000101100001000000000000000000 -010000000000000000000000000111101011000000010000000000 -110000000000000101000111100101100000000000100000100100 -100000000000000011000110100001001110000000000000000000 -000000001010001111000000011011100001000000010000000000 -000000000000001011000010001001101000000000110000100000 -010001000000001000010110101011000000000000010000100000 -000000000000000101000000000101101111000000000000000000 -000000000000001111100000010011000000000000010000000100 -000000000000000101000011110001001100000000000000000000 -010000000000000000000110111000000000000010000101000000 -000000000000000001000010001001000000000000000010000000 +000000000000001001100000001111111101000100000000000000 +000000000000000001000010011001101111000000000000000000 +000000000000001001100011000001001100000000000000000000 +000000000000000001000011101011111111100000000000000000 +000000000000001001100000000101111110100000000010000001 +000000000000000001000000000011001000000000000000000100 +000000000000001011000110000101100000000000010000000001 +000000000000000001000011110001101010000000000000000000 +000000000000000000000010111001100000000000000010000000 +000000000000001011000011010101100000000001000000000000 +000001000000001101000000001111111001010000000010000000 +000000000000000101000000000011111111000000000000000000 +000000000000000000000000000001100001000000010000000000 +000000000000000000000010100101001000000000000000000000 +000010000000000000000010101011100000000010000000000000 +000000000000001111000010101101000000000000000001000010 .logic_tile 12 3 -000000000000000111100000010000001000111100000000000000 -000000000000000000100011110000000000111100000000010000 -111000000000100000000110000011100000000000000001000000 -000000001001010000000000000001100000000001000000100100 +000000000000100000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +101000000000001001000010001001100001000000010001000000 +100000000000000001000011000001101001000000110000000000 010000000000000000000000000000000000000000000000000000 -100000001010000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000010000010000000011111011000000000000000000 -000000000000100000000000001001011101100000000000000000 -000000000000000111000110010111000001001100110010000110 -000000001010000000110111000000101101110011000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000111000001001100110110100000 -000000000000000000000000000000101001110011000000000000 +110000000000000000000000001000000000000010000100100000 +000000000000000000000000000001000000000000000000000000 +000100001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 +100010100000000000000000000000000000000000000000000000 .io_tile 13 3 000000000000000000 000100000000000000 -000000000000000000 -000000000000000000 +000010000000000000 +000000110000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000011000010 +000000000000000010 000000000011000000 000000000000000000 000000000000000001 -000000011000000001 +000000000000000001 000000000000000000 .io_tile 0 4 000000000000000000 @@ -936,222 +936,222 @@ 000000000000000000 000000000000000000 .logic_tile 1 4 -000000000000000000000010000001100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000000001000000000000000000000000001000000000 -000000000000000000100000000000001001000000000000000000 -000000000000000101000000000000001001001100111000000000 -000000000000101101100010110000001111110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000010100000001000001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000100 -000000000000000011000000000000001000001100111000000000 -000000000000000000100000000000001110110011000000000100 -000000000000000000000110100000001000001100110000000000 -000000000000000000000100000000001011110011000000100000 +000000000000001000000010110000000000000000000000000000 +000000000000001011000110000000000000000000000000000000 +101000000000001011100000001001000000000011000000000000 +100000000000001111100000000001001001000011110000000010 +010000000000000000000010001111100000000011000000000000 +110000000000000000000000001101100000000000000000000000 +000000000000001001100110001101100001000000100000000000 +001000000000000001000010111101001010000000000000000000 +000000000000000000000000000001100000000000100000000000 +001000000000000000000000001001001000000001000000000000 +000000000000000000000000000101111000001100110000000000 +000000000000000000000000000000100000110011000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000100000000 +110000000000000000000000010101100000000001000100000000 +000000000000000000000010001001000000000011000100000001 .logic_tile 2 4 -010001000000000001100110010101100000000000010000000000 -000000000000001011000011011001101100000000000001000001 -111000000000000001100110001011100000000001000000100000 -000000000000000011000010000011100000000000000000000010 -000000000000000111100110000111000001000001010010000100 -001000001000001011000000000101001001000001100000000000 -000000000000000000000110011101100000000001000000000000 -001000000000000011000011100011100000000000000000000010 -000000000000000000000010000001001100001100110010000000 -000000000000000001000100000000110000110011000000000101 -010000000000001111000000011011100001000000000010000101 -000000000000000001000010001001101100000000110000000000 -000000000000000000000000000001011001000011000100000000 -001000000000000011000000000001001000101011010000000000 -000000000000000000000000000101011101001100000100000000 -000000000000000000010000000101101010101101010000000000 +000000000000000000000000001001100000000001000101000000 +000000000000000000000010100011000000000000000000000010 +101010100000000101000000001101000000000001000100000000 +100001000000000000000010101011100000000000000000000010 +110000000000000000000010100000000000000000000000000000 +110000001000000001000000000000000000000000000000000000 +000000000000000011000000001001100000000001000110000000 +001000000000000101000000001011100000000000000000000100 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000100001010000000010000101100001000000110100000000 +000001000000100001000000000101001101000000000000000001 +000000000001000000000011101101100000000001000101000010 +000000000000000000000000000011000000000000000000000000 +110000000000000000000000001001000000000001000100000000 +000000000000000000000000001011100000000000000010000001 .ramt_tile 3 4 -000100001100000111000111000001100000000000 -000110010000000000000100001011100000010000 -111000000000000000000111100000000000000000 -000000010110001001000000000111000000000000 -000000000001010000000000001101100000001000 -000000000000000000000000000011100000000000 -000000000000000000000000010000000000000000 -000000000000000000000011101111000000000000 -000000000000001000000000000001000000000001 -000000000000001011000010010011000000000000 -000000000000000000000111001000000000000000 -000000000000001001000000001011000000000000 -000000001110100000000000001111100000000000 -000000000001010001000010000111000000010000 -010000000000000011100000000000000000000000 -010000000000000111000000001001000000000000 +000000000000100000000000000000000000000000 +000001000001000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 4 -010000000000001000000110001001100001000000000001000000 -000000000000000001000010010001101001000000010000000000 -111000000000000001000110011111100000000010000000000001 -000001000010100101100011111101100000000000000000000000 -000000000000001000000000011101111011100000000000000100 -000000000000000001000010001101101111000000000000000001 -000000000001000111000011111001001001000111110000000000 -001000000000000101000011110011011101000011110000000000 -000001000000100000000000001101011001010111110000100000 -000000100001000000000000000101001101100111110000000001 -000000000000000101100111011001111011001001010000000000 -000000000010000000000111010101111001010100100000000000 -000000000000000000000000001101001001000011010010100000 -001000000000000000000000000101111101000011110000000000 -000110100000000000000110001000000000000010000100000000 -000101001110000000000000001101000000000000000000000000 +000000000000000101000010010011000000000001000000000000 +000000000000000000100111111101100000000000000000000000 +101000000000000000000010100101100000000010000000000000 +100000000000000011000000001001000000000000000000000101 +010000000000000101000010100001001101110000000000000000 +010000000000000011100010010011001100111000000000000000 +000000000000000001100111110001111111000010000001000000 +001000000000000000000011010101011111000000000000000000 +000000000000001001100011011000000000000010000000000000 +001000000000000101000010001111000000000000000000000010 +000000000000010001000000001111001111100011110010000100 +000000000000101001000000000011101001000001110000000100 +000000000000000011000111000101000000000011000000000001 +000000000000000000100100000101101101000001000000000000 +110001000000000000000110011101100000000001000100000000 +000010000000000000000011111101100000000000000000000000 .logic_tile 5 4 -010000000000001101100000001101100000000010100000000010 -000001000000001111000010101011101001000010010000000100 -111000000000000001000010000011100000000001000000000000 -000000000000000000000000000001000000000000000000000000 -010010100000001001100110000101100000000010100000000000 -110001000000001111000000000111001001000010010000000010 -000000000000101111100000001001001101101100000000000000 -001000000000011001100010101001011000111100000000000000 -110000000000000000000000011011100000000010100000000010 -101000000000001111000011100101101001000010010000000010 -000000000000001101000010011101000000000001000000000000 -000000000000001001100011010011000000000000000000000000 -010000000000000111000000000101000000000000000101000000 -001000001110000000100000000011000000000001001000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001001100110001011100000000000100000100000 +000000000000000101000010010101101001000000000000000000 +000010000000000000000000000101100001000010000000000000 +000000000000000000000010010101001101000000000000000000 +000000001110001001100000000001000000000000000000000000 +000010000000000101000000001111001001000000010000000100 +000000000000001011100000010101101001100000000000000000 +001000000000000001100010000101011101000000000000000000 +000000100000001001000000010011000000000011000000000000 +001000000000000001100010000001001101000001000000000000 +001000000000000000000010001011011001000101000000000000 +000000000000000000000100000101011011000110000000000000 +000000000000000000000011101011100000000000010000000000 +000000000001010000000000000101101000000000110000000000 +000000000000000000000000000101011000110001010001000000 +000000000000000000000000000011011001110000000000000001 .logic_tile 6 4 -010010000000000111000000001001000000000000100000000000 -000000100000000111000010000001001000000000000000000000 -111000000000100000000011101111100000000000000000000000 -000000000000000111000011100011100000000001000000000100 -010000000000001111000010010001100001000000100000000000 -111000000000000001000110000101101000000000000000000000 -000000000000000001100110000000000000000000000000000000 -001010000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +101000000000000000000000010000000000000000000000000000 +100000001010000001000011100000000000000000000000000000 +010000000000000000000110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000001100000000000000011001100000000011000000000000 +111000000000000000000010001101101000000011010000000000 +000000000000000000000000001011100000000010000001000010 +001000001010000000000000000001100000000011000000000000 +000000000000000000000011000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000001010111100000001101100000000001100100000100 -000000000000000000000000001101001011000001010000000000 -000000000001010000000000001111100000000001100100000010 -001000000000100000000000001001101001000001010000000000 -010000000000000000010111111101100000000001100100000000 -100000100000000000000110001101101010000001010000000001 +000000000000000001000000000000000000000000000100000000 +000000000000000000110000000111000000000010000000000101 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 .logic_tile 7 4 -010000000000000001010000000001100000000000001000000000 -000000000000000000100000000000000000000000000000001000 -111000000000001001010111000000000000000000001000000000 -000001000000000011100010000000001101000000000000000000 -010000001110000000000011100000001001001100111010000101 -110000000100000000000000000000001010110011000000000000 -000000100000000111100011100000001000001100110000000000 -001000001110000000000011100000001000110011000001000000 -000100000010000000000010000001000001000001010010000000 -000100000000010000000100001111001001000001100000000000 -010000000000000000000000001101100000000000000000100011 -000000001110000000000011101001100000000001000000000000 -000000000100000000000110001000000000000000000100000000 -001000000000000000000000001011000000000010000000000001 -010000000000000000000000001000000000000000000100100000 -010000001010000000000000001101000000000010000001000000 +000001000000010111000110010001100001000000110000000000 +000010000000001111000010011101101001000000000000000000 +101001000000000011000110000001000000000010000000000000 +100010100000001111100010011101100000000000000000000000 +000000001010001001100111000101100001000000000000000000 +000000000000000001000011000001101010000000010000000100 +000000000000000011000010010011111000010000000000000000 +001000000000001111100110001011101000000000000000000000 +000000000000000000000111101001000000000001000000000000 +000000000000000000000000000101100000000000000000100000 +000000101100000000000000001111000001000000000000000100 +000001000001010000000011100101101000000000010000000000 +000000000000000000000111100001000001000000100000000000 +000001000110000000000000001111001101000000000000000000 +000000000001000000000000000101011011110010100100100010 +000000000000100000000000000101011001110000000001000000 .logic_tile 8 4 -000000000000010111100011100101101101100000000000000000 -000000000000001001100110000101011011000000000000100000 -111000000000000111100111011011101101110011000000000000 -000000000000000000010111100001111100000000000000000000 -010000000000000001100010011101011100000010000001000000 -111010000000000000000011001111101000000000000000000000 -000000000010101000000110101001001000110011000000000000 -000000000010000001000111101001011011000000000000000000 -000000000000100000000110001111011011110011000000000000 -000000100001000000000011010011011111000000000000000000 -000000000001010001100000010000000000000000000101000000 -000000000000100111000010001001000000000010000000000000 -000000000000000000000000001000000000000000000110000001 -001010000001011011000000001001000000000010000000000000 -010000000001000000000110000000000000000000000100000000 -100100000000000000000000000001000000000010000000000001 +001000000000000111000110010001000000000010000000000000 +000000000000000001100011010101001011000000000000000000 +000000000000001001100110010011111101110000000000000000 +000000000000000001000010000111011100010100000000000000 +000000000000001001100011101001001101001100000010000000 +001000000000000001000100001001101000101100000000000000 +001000000000000000000110101011000000000010000000000000 +001000000010000000000110000001000000000000000000000000 +000000100000000011000000010111100000000010000000000000 +000001000000001001000010100001000000000000000000000000 +000001000000000101100010011111001001010100000000000000 +001000001110000111100010101101111111110000000000000000 +000000000001010000000011000001101011011100000000000000 +000000001010011001000011001011101011001100000000000000 +000010100000000101110000010011111111110000000000000000 +000001000000100001000011011111001101010100000000000000 .logic_tile 9 4 -010000000000001000000000000101000000000000100000000001 -000000000000010001000011111011001100000000000000000000 -111000000000001111100111001101011101010000000001000000 -000000000000001011000111011011101001001000000000000000 -010010000000000000000010011101000000000000010000000000 -011001000000000111000111100001101000000000110000000000 -000111100000000000010000000011000000000000000000000001 -001110100000000000010000001111001010000000010000000000 -000000000000001000000000010000000000000000000000000000 -000000000110000111000011100000000000000000000000000000 -000000000000001000000010001000000000000000000100100000 -000000000000001111000100000001000000000010000001000000 -000000000000000000000000011000000000000000000101000001 -001000000000000000000011101001000000000010000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000100000000111000110010101111111100000000000000000 +000000000000001011000011111001011011000000000001000000 +101000000001001001000111110101111111000011010000000000 +100000000000000001100111111011001001000011110000000000 +110000000001001111000011000001001101101100000000000000 +001000000000100001100010000001101001111100000000000000 +000000000000001001000011001111100001000000110000000000 +001000000000000001100010100001101001000000000000000000 +000110100000100001100011000111000000000010000000000000 +000100000001010000000000000101100000000000000000000000 +000000000000000000000000000111011001100000000000000000 +001000000000000001000000000001101011000000000000000100 +000000000000000000000011100101001011010000000001000000 +000000000000000000000011111101101100000000000000000000 +000000000000000001100111001111100001000010010100000000 +000010100000000000000111110001101001000001010000000100 .ramt_tile 10 4 -000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000001000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000110100000000000000000000000000000000000 -000101000000000000000000000000000000000000 .logic_tile 11 4 -010000000000000111000110001011000000000010000000000001 -000000000000000011000010001001000000000000000000000000 -111000100110001000000000000101000000000001000000000000 -000010000000001011000010011001100000000000000001000000 -010000000000000111000011001101101011110011000001000000 -011000000000000011000100001011101000000000000000000000 -000000100000001111100110001001011011000010000000000000 -001010000000000001000011011101001100000000000000000000 -000000000000000001110110110001011011000100100100000010 -000000001010000000000010100111001011000000000000000000 -000000000000001111100000001101000000000000100101000000 -000000000000000101100010001111001101000000000010000000 -000000000000000001000000000001000000000000100101000010 -001000000000000000100000000111001101000000000000000000 -010000100000000000000000000011100001000000110100000000 -100000001000000000000010010001001111000001110010100000 +000000000000000000000111101011111111000100000000000000 +000000000000000000000010110011011101000000000000000001 +101000000000000111100000000011000000000000010000000000 +100000000000000000000010100011101101000000000000000000 +110000000000000001100111100111001011000011010001000000 +000000000000000011000100001101001001000011110000000000 +000000000000000001100000001101000001000000010000000000 +001000000000000101000010101011001010000000000001000000 +000000000000001001000110110001000001000000010000000001 +001000000000000011100010101001101010000000000000000000 +000000000000001101100011011111101110000000000000000000 +001000000000000101000010100111011111100000000000000000 +000000000001111000000010100001100001000000110000100000 +000000000000110011000010100011001001000000000000000010 +000000000000001101100000001011000001000010010100000000 +000000000000000101000010010001001011000010100000000100 .logic_tile 12 4 -010010100000000011000000000001100000000000001000000000 -000001000000000000100000000000100000000000000000001000 -111000000001100101100110110000000001000000001000000000 -000010000001010000000010100000001010000000000000000000 -110001000010000000000000000000001001001100111000000000 +000000000000000000000110010011100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +101000000010001001100110010000000001000000001000000000 +100000000000000001000010000000001010000000000000000000 +110001000000000000000000000000001000001100111100000000 +001000000000000000000000000000001001110011000001000000 +000000000000000000000000000000001000001100111101000010 +001000000000000101000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100100000 +001010000000000000000000000000001000110011000000000000 +000000000010000111100000000000001001001100111110000000 +001000000000000000100000000000001000110011000000000000 +000000000000000000000000000000001001001100111110100000 000000000000000000000000000000001001110011000000000000 -000000000000001000000110100000001001001100110000000000 -001000000010000101000000000000001000110011000000000000 -000000000000000011000000000111000001001100110000000000 -000000000000000000100000000000001011110011000000000000 -000000000000000000000000000000000000000000000100100000 -001001000000000000000000000001000000000010000000000000 -000010100000000000000000000000000000000000000000000000 -001000001010001011000000000000000000000000000000000000 -110000000000000101100000000000000000000000000100000000 -110000000000000000100000000011000000000010000000000000 +010000000100000000000000000000001001001100111101100100 +100000000000000000000000000000001001110011000000000000 .io_tile 13 4 000000000000000010 -000100000000000000 +000100000000001000 000000111000000000 000000000000000001 -000010000000000001 -000000110011000000 -001100000000000000 -000000000000000000 +000000000000000001 +000000000011000000 +001110000000000000 +000000110000000000 000000000000000000 000100000000000000 000000000010000010 -000000000001000000 +000000000011000000 000000000000000000 000000000000000001 000000000000000001 @@ -1174,218 +1174,218 @@ 000000000000000000 000000000000000000 .logic_tile 1 5 -000000000000000001100111000111100000000001000000000000 -000000000000000000000010100011000000000000000000000010 -111000000000000001000111000001100000000001000000000000 -000000000000001101100111000111100000000000000000000010 -000000000000001000000010110011100000000001000000000000 -001000000000000001000010000011000000000000000000000010 -000000000000010001000000001101101100101000110101000001 -000000000000100101100000000101011000111100110000000100 -000000000000001000000000000101000001000001010100000001 -000000000000000101000010101101001000000001100000000100 -000000000000001001100000000101101100101000110100000000 -000000000000001101000000001001011011111100110000000110 -000000000000001000000110100001101111101000110100000000 -001000000000000101000010101001011100111100110000000100 -000000000000001000000000001111001101111001110100000000 -000000000000000001000000001001111000110101110000000110 +000000000000000001000000000001000000000000001000000000 +001000000000000000100000000000000000000000000000001000 +101000000000000000000111100001100001000000001000000000 +100000000000000000000110100000101001000000000000000000 +110000000000000000000110010011101001001100110000000000 +110000000000000000000010000000101011110011000000000000 +000000000000000000000111110001100001000010000000000000 +001000000000000000000110000001101101000000000000000000 +000001000000000000000000000000000000000000000000000000 +001010100000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000101000000000001000000000000 +000000000000000000000100001101100000000011000000000000 +110000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000100000000 .logic_tile 2 5 -000000000000000111100000001001000001000011010011000000 -000000000000000000000011011011001100000011110000000100 -111000000001001000000000011011101000011100000010000000 -000000000000101101000011110111111000111000000000000000 -000000001110001000000000001111000000000001000010000000 -001000000000000001000011011001000000000000000000000000 -000100000000000000000110100101100000000001000000100000 -000000000000000000000000000101100000000000000000000000 -000000000000001000000000001101000000000011000000000100 -000000000000001101000000000001101100000011010000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001001000011100000000000000000000000000010 -000000000000001000000000000000000000000000000101000000 -001000000000000011000000001001000000000010000000000000 -010010000000000000000000000000000000000000000000000000 -100001000000001001000000000000000000000000000000000000 +000000000000000000000010000011100000000000001000000000 +000000000000000000000111110000100000000000000000001000 +101000000000001000000000000000000000000000001000000000 +100000000000000001000011100000001001000000000000000000 +010000000000000000000000010000001000001100110000000000 +010000000000001011000010110000001101110011000000000100 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000011100000010011101110001100110000000000 +001000000000001111100011110000010000110011000000000000 +000000000000000001000111100011000000000000000000000001 +001000000000000000100000000011000000000001000010000000 +000000000000000000000000011101000001000000100010000000 +000000000000000000000011100111001001000000000000000000 +110000000000000111000000000001100000000001000101000000 +000000000000000000000000001101000000000000000000000000 .ramb_tile 3 5 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000001000000000000000000000000000000 +000001010000100000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000100110000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100010000000000000000000000000000000 +000010000001010000000000000000000000000000 +001000000000100000000000000000000000000000 000000000000100000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 5 -000000000000001101000110000011011001011100000000000000 -000000000110000011000000000001101100001100000000000001 -111000000000000001100000000101101100101100000000000000 -000000000000000000000011111011011001001100000000000000 -000000000000010001100000001111101010010100000000000000 -000000000000000000000010101011011111100100000000000000 -000000000000000101000000011111011000101000010000000000 -000000000000000011000010000001111000011000010000000000 -000001000000000000000111010001000000000000000000000000 -000010100110000000000011110001000000000001000000100001 -000000000000001000000010101111100000000001000000000000 -000000000000001111000010100101000000000000000000100000 -000000000000000001000111010111000000000000010000000000 -001000000000000000000010000101101110000000110000000000 -000000000000000101100000001011000001000000110100100000 -000000000000001111000000001011101011000001110000000000 +000000000110000101000110010011111001000011110000000000 +001000000000000101000111010001001001000011100000000000 +101000000000000101000000000101011011011100000000000000 +110000100000001111000010011011001011111000000000000010 +000000100000001111000110001001001000110000000000000000 +000000000000000001000111100001011001111000000000100000 +000000000000001111100000010011100000000000000000000100 +001000000000000001100011000111000000000001000000000000 +000000000000000000000111100001100001000000100000000000 +001010100000000000000100001101001110000000000000000000 +000000000000001001000000000101001111011100000000000000 +000000000000000001100000001011001011111000000000000001 +000000000000001011100000001101000000000000000000100000 +000000001110000101000000000101100000000001000000000000 +110000001100000001010000000111101001111100110100000010 +000000000000000000000000000101011010111110110100000011 .logic_tile 5 5 -010000000000100101000111100011101100110011110000000000 -000000000001000000100110000101011001010011110000000000 -111000000000000101100111001111000000000000000000000000 -000000000000000000000100000001001001000000010000100000 -000000000000001101000111110001100000000011010000000000 -001000000000000001000110001101001110000011000000000000 -000000000000001101000110010111111011011100000000000000 -001000000000000001100010001111011011111000000000000000 -000000000000000011100110000101011000111000000000000000 -000000000000000111100000001111111100110000000000000000 -000000000000000001000000000101011010111101110100000000 -000000000000000000000000000001001100111100110000000000 -000000000000000001100110011000000000000010000100000000 -001000000000000000100011010111000000000000000001000000 -000000000000000001000000001101100000000011010100000110 -000100000000010000110000000101001010000011110000000000 +000010100000000000000010111001100001000010000000000010 +000001000000000101000010001001101000000000000000000000 +101000000001010000000010110001001111110110110000000000 +100000000000001101000111000101111100111010110000000000 +110000000000101101000111001001100001000000000000000000 +000000000001010001000000000001101001000000010000100000 +000000000000000001100000000101101111110110110000000000 +001000000000000000000000001001011100111010110000000000 +000010000000100000000000000101000000000000100000000010 +000001000000000000000000000101001011000000000000000000 +000000000000000001100010100001000000000001000000000100 +001000000000000000100000000111000000000000000000000000 +000000000000101000000010101111011100010000000000000000 +000000000001001001000000000111011000000000000000000000 +000000000000000001100000001000000000000000000101000000 +000000000000000000100010111111000000000010000001000000 .logic_tile 6 5 -000000000100000111000111010001000001000000100001000000 -000000000000000001000110001001001101000000110010000001 -111000000000100111000111010011100000000000010000000000 -000000001001110000000011001101101000000000000010000000 -110000000000101011100110010001100001000010000000000000 -111000000001011011100010000001001100000000000000000000 -000000000000001111000110010001100001000000100000000000 -000000000000000001100010000101101001000000000000000000 -000010101100100000000000000001100001000000000000000000 -000000000001000000000000000101101001000000010000000000 -000000000000000011000000000111100001000001100100000100 -000000000100001001000010011001001100000001010000000000 -000000001100100000000010001101100000000001100100000000 -001000000001000000010000000101101011000001010000000100 -010000000001000001100000000101100001000001100100000110 -100000000100100000000000001001101010000001010000000000 +000000000000000011000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000001100001100110000101100000000000000001000010 +100000000001011001000000000001000000000001000001100000 +000000000000000101100110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000011001100000000000000000000000 +001000000010000000100010001101000000000001000000000000 +000000000000000000000010101001100000000001000000000000 +000000000000000000000000000001100000000000000000000000 +000000000000001000000000000011111100001100110000000010 +001000000000000001000011110000010000110011000000000000 +000000000000000000000000000011001000011011110100000000 +000000001100000000000000001101111010101011110000000000 +000001000000000000000000000011101011101000110100000000 +000010100000000000000000001001001000000000110000000000 .logic_tile 7 5 -000000000000101111100110100001100001000000100000000000 -000000000001011111100111110001001011000000000000000000 -111000000000000011100111110001100001000000100001000000 -000000000000000111100010000101101001000000000000000000 -010000000000001111100110001111000000000010000010000000 -011000000000001111100000000011001110000000000000000001 -000000000000000011100111101001100000000000000000000000 -000000000010001111100000000101100000000001000000000000 -000010000000000000000000001111111101000011110000000000 -000000000000000000000000001011011110000011100000100000 -000010100000000000000010000101111010001100000000000000 -000001000110000101000010010001011010001000000000000000 -000000000000001011100000000001100000000010000000000000 -001000000000000001100000000101000000000000000000000000 -010000000000110111000000000101011000000011110100100000 -100000000000100101100000000101101001000011100000000000 -.logic_tile 8 5 -000000000000000101100111111111000000000001000000000000 -000000000000001111000010100111100000000000000000000001 -111000000000010011100000010111011011000011000000000001 -000000000000000000000010110101001010000001000000100110 -010000000000001000000000001011100000000000110000000000 -011000100000000001000000000111001101000000010000000000 -000000000001010101110000001011000000000000000001000000 -000000000010100011000010000001000000000001000000000000 -000001000000101000010000001001111011000010000000000000 -000010000001000001000011111011011001000000000001000000 -000000000001000001000000000001100000000011000000000000 -000000000000000000000010000101101000000001000000000000 -000000000000000001000000000000000000000000000100000110 -001000000000000000100011110001000000000010000000000101 -010000000000000111000000000000000000000000000100000000 -100100000001000000100000001001000000000010000000000001 -.logic_tile 9 5 -000000000000010011000010100011000000000000000001000000 -000000001100100000100010100011000000000001000000000000 -111000000000011000000111110001100000000001000000000000 -000000000000001111000110110101000000000000000000000000 -010000000001000001100010010011100000000010000000000000 -111000000000000101000010101011000000000000000000000000 -000010100000000101100000000001100001000010000000000000 -000001000000000000000011000101101011000011000000000000 -000000000100001011100000000001001010010000000011000000 -000000000000001011100010001001111111000000000000000010 -000000000000000111100000000001111010110000000000000010 -000000100100000000000000000101101111100000000000000000 -000000000000000000000110010001001110010000000000000100 -001000000000000000010010000101011111000000000000000000 -010100000001000000000000001000000000000000000100000000 -100100001110000000000000001001000000000010000000000110 -.ramb_tile 10 5 -000000000000100000000000000000000000000000 -000000010000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000010000000000000000000000000000000 -000011101100000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000101110000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000100000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000010000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101010100000000001000000000000000000000000000000000000 +100010000000000000000000000000000000000000000000000000 +110000001010000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000100000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000001000000000000010000100000001 +001000000010000000000000000001000000000000000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000110000000000000000000000000000000000000000 +001000001010000000000000000000000000000000000000000000 +.logic_tile 8 5 +000000000000001111000010100001011100010100000000000000 +000000000000000111000110110101011111110000000000000000 +101001000000001011100000001001001100000011100000000000 +100000100000001011000000000111111110000001110001000000 +110000000110000101000000000111011110010100000000000001 +000000000000000000100010111001001111110000000000000000 +000000100010000001100000011011000000000010000000000100 +001000000000000000100010011111000000000000000000000000 +000010000000001101000000001001011011000011100000000001 +000000000000000001000011100101111111000001110000000000 +000000000000000001000111110001100000000000000001000000 +001000000000000000000111110011001111000000110000000000 +000000000000001000000111000001111100010100000000000000 +000000000000001111000011100001001111110000000000000000 +000000000000000000000111110011100001000010010101000000 +000000000000000111000010001101101110000001010000000000 +.logic_tile 9 5 +000000000000001101100010100101101011000001110000000000 +000000000000001111000010010101011010000000110000000000 +101000100000101101100111000111000000000000010000000000 +100001001010000101000011110011101000000000110000000000 +110000000000000001100010111011000000000001000001000000 +000000001000001101000011010001101001000011000000000000 +000000000000001101100111000101100001000000000000000000 +001000001110000001000010100101101011000000110000000000 +000000000000000011100110000001000000000010000000000000 +000010100000000000000000000001100000000000000000000000 +000000000000000000000000001101011000000010110000000000 +001000001110000000000000001101111101000000110000000001 +000010100000000000000110001001101111000010110000000100 +000000000000001101000000001111001001000000110000000000 +000000000100000011100111101111100001000010010100000000 +001000000110000000000100001001001011000010100000000010 +.ramb_tile 10 5 +000000000000000011100011100111000000000000 +000000001000000000100110010101100000000000 +101010100000000111000000001000000000000000 +100000000000000000000000000111000000000000 +010000000000000001000000001001000000000000 +010000000010000000000011001111100000000100 +000010000001010000000000000000000000000000 +001001000100000000000000000001000000000000 +000000000000000000000000000001100000010000 +000000000000000000000000001111000000000000 +000000000000000101000111001000000000000000 +000000000000001111000110100011000000000000 +000010100000000000000111011101000000000000 +000000000000000000010111001011100000000100 +110000000000000000000010001000000000000000 +010000000000000101000100001011000000000000 .logic_tile 11 5 -000000000000000111100011001001001001000010000000000000 -000000000000000111100110010001011010000000000000000011 -111000000000000001000011110101100000000001000001000001 -000000000100000011100011111101100000000000000000000000 -010000000000000000000010111101111001000010000000000000 -011010100110000000000110000111111111000000000000000000 -000010001010000111100111100001011001110011000000000000 -000000000000000000100011100011011110000000000000000000 -000000000000000111000000000001100000000001000010000000 -000000000000000000000000001101000000000000000010000000 -001000001110000001000111101000000000000000000100000000 -000000001110000000100100001011000000000010000000000000 -000000000000000000000011000000000000000000000110000000 -001000000000000000000100001111000000000010000000000000 -010100000000100101100110101000000000000000000110000100 -100100000001010001000000000011000000000010000000000000 +000000000000001111000010000011000000000000000000000000 +000010100100000001000100001011100000000001000000000000 +101000000000000001100000000101000000000010000000000000 +100000000000000000000000000101000000000000000001000000 +110000000000000011000000000001100001000000000000000000 +000000000000001011000000000011001011000000010000000000 +000000000000001001100111100001011011000000000010000000 +001000001010001011000100001001011000100000000000000000 +000000000000001101100110110011001100010000000000000001 +000000000000000101000010100011011101000000000000000010 +000000000000000000000110111011111111000100000000000001 +001000000000000000000010100111101101000000000000100000 +000000000000001001000000001111001100100000000010000010 +000000000000000001000000000101001100000000000010000000 +000000000000001101100000000111000001000010010100000000 +001000000000000101000000000001001010000001010010000000 .logic_tile 12 5 -000000000000000101000110101001101101110011000000000000 -000000000000000111100010011011111100000000000000000001 -111001000001001001100110010000000000000010000000000100 -000000101110101011000011100011000000000000000000000100 -110010000000001000000011011001000000000000100001000000 -011001000001001011000111010101001100000000110000000000 -000000000000001101000000001001011000100000000001000000 -000000000000010001100000000101101001000000000000000000 -000010000000001111000011110001101011110011000000000000 -000001000000001001000111111101001001000000000000000000 -000000100000001011100000010000000000000000000101000000 -001000001100101111110011001101000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000000001000000000010000011000000 -010010100101110000000000001000000000000000000101000000 -100010000011110000000000000011000000000010000000000000 +000000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000000010100 +101000000000001001100110010000001000001100111100000000 +100000000000000001000010000000001000110011000001000000 +110000000000000000000000000000001000001100111100000000 +001000001100000000000000000000001001110011000000000000 +000000000000000000000000000000001000001100111100000000 +001000000000010000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000100000000000000000001001001100111100000000 +001000000001010000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000000000 +010000000000000000000000000000001001001100110100000000 +101000000000000000000000000000001001110011000000000000 .io_tile 13 5 000000000000000000 000000000000000000 -000000000000010000 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 -000000000000001000 +000000000000000000 +000100000001100000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -1396,12 +1396,12 @@ 000000000000000000 .io_tile 0 6 000000000000000000 -000000000001100000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000100000000010000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -1412,223 +1412,223 @@ 000000000000000000 000000000000000000 .logic_tile 1 6 -000000000000001000000000000001100001001100110000000000 -000000000000001001000010110000001010110011000000000000 -111001000000001111100110000101100000000001000000000000 -000010100000000011100000000101100000000000000000000010 -000100000000001000000010000011100000000001000000000000 -000000000000001001000110110001000000000000000000000010 -000000000000001001000110001011111011000010000000000000 -000000000000000001000010101101011100000000000000000000 -000000000000000000000000010111000000000000000000000000 -000000000000000111000010101001100000000001000000000000 -000000000000000001100000000101101100111001110101000001 -000000000000000001000000000111011011110101110000000100 -000000000000001000000000011101001111101000110100000100 -000000000000000001000010100001111110111100110010000000 -000000000000000011000000001101001101011000000100000010 -000000000000000000000000000101101001110000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 6 -000000000000000000000110101011000000000000000000000010 -000000000000000000000011011101100000000001000010000000 -111000000000011000000000011001100000000000000000000000 -000000001010000001000010000011000000000001000010000000 -010000000100001011000010001011000000000001000000000000 -010000000000000011100011001001100000000000000000000000 -000000100000000111100011101011101010011100100010000000 -000001000000001011000000001111001100111100000010000000 -000000000000100001000110000011101000000100000000000000 -000000000000000000100000001111111110000000000000000000 -000000100000000001100110000101000000000000000000100000 -000000000000000111100100000101101011000000010000000000 -000000000000100111000110001101100001000001010000000000 -000010000000000000100100000101001011000010010000000000 -000000000001010000000000011000000000000000000110000000 -000000000000100000000011001111000000000010000010000001 +000000000000000001000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +101000000000000000000110110000000000000000000000000000 +100000000000000000000110110000000000000000000000000000 +000000000000001000000000000000011001001100110000000000 +000000000000001111000000000000011100110011000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100001111000000000000000000000000000000000000 +000000000000000000000000000001001011010011110100000000 +000000000000100000000000001011001001000011110000000001 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 6 -000011000100000000000000000000000000000000 -000011100000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100010000000000000000000000000000 000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000101010010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 6 -000000000000000111100011100101100000000000001000000000 -000000000000000001100100000000000000000000000000001000 -111000000000001111100110100000000000000000001000000000 -000100000000001111000100000000001000000000000000000000 -110000000000000000000011010000001001001100110000000010 -110000000110000000000111100000001010110011000000000001 -000000000000001101000000001001100000000000000001000000 -000000000000000111100000000001000000000001000000000101 -000000000000011011100000000101011111011001010100000100 -000000000000000111100000000011101101011010100000000000 -000000000000100000000000000011100001000001010100000000 -000000000001000111000011001001001110000001100000100000 -000001000000000111100111100001100000000001010100000000 -000010000000000000000000001101101110000001100000100000 -000010000000000000000000000001000001000001010100000100 -000001000000001111000000000011001110000001100000000000 +000000000000001001100011010001100000000001000001000000 +000000000000000001100011011101100000000000000000000000 +101000000000101001100110001101100000000010000000000000 +100000000000000111100010010011001011000000000001000000 +000000000100001111000111010000000000000010000000000000 +000000000010000011100011000001000000000000000000000000 +000000001100000001100010000101100000000000110001000000 +000000000000000000000111001011101110000000010000000000 +000000000000000001000010001011001000000000000000000001 +000000001100000000000000000001011001100000000000000000 +000000001010001101100000001011100000000000100000000000 +000000000000001111100000000001001101000000000000000000 +000001000000000000000000011101101011111100110100000001 +000010100000100111000011100111101001111100100000100001 +000000000000000101100000000001001010001100000100000000 +000000000000000000100000001111001000101101010000000000 .logic_tile 5 6 -000000000000000001000110011011111010100000000000000000 -000000000000000000000010001101101111000000000000000000 -111000000000000001000111000111000000000001000000100000 -000010000000000001000010101011100000000000000000000000 -000000000001010001100110001101100000000000100000000000 -000000000000101001000011100101101010000000110000000000 -010000000000001011100111010111100000000000000000000000 -000000000000001111100010000001100000000001000000000000 -110000000000000000000111101001100000000000110000000000 -100000000000000111000010000001001101000000100001000000 -000000000001000111100111100111100001000000000000000000 -000000000000000000000000001001001001000000010000000000 -000000000000000000000111101101001010101100000000000000 -000000000000000000000100001001101100001100000000000000 -010000000000000111100111101101001001111100100100100000 -100000000000000000000000001001111100111100110100000010 +000000000000000000000110010111100000000000001000000000 +000000000000010000000110100000100000000000000000001000 +101010100000000000000000000000000000000000001000000000 +100000000000000000000010100000001111000000000000000000 +000000000000000101000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000100000000000000000000000000000001000000000 +000000000000001101000000000000001001000000000000000000 +000000000001110000000110100000001000111100000000000000 +000001000001110000000000000000000000111100000000000010 +000000000000000101100111001001100000000000110100000101 +000000000000000000000100000101101101000001110001000000 +000000000000001000000000011011101110000011000100000000 +000000000000000101000010101111001010101011010000000000 +000011100000000000000111111000000000000010000100000000 +000001000000000000000110101011000000000000000000000010 .logic_tile 6 6 -000010000000000011100110011101101100001100000000000000 -000001000000000001100010000011111001101100000001000000 -111000000000000000000000001001101010000011110000000000 -000000000000001001000011000101111011100011110000000010 -110000000000000000000010010001000000000000000000000000 -110000001100000000000110000001000000000001000000000000 -000000000000011001100011100101111111000010000000000000 -000000000000100001000011000011101110000000000000000000 -000000000000000001000010000001000001000000000000000000 -000000000000000000100111100111101010000000010000100010 -000000000000001000000011101011000001000010000000000010 -000000000000000001000000001111101101000000000000000000 -000010000000000001000010000011000000000001000000000000 -000001000000000000100100001001100000000000000000000001 -010000000000001001000000001000000000000000000110000000 -010000000000001011000011101111000000000010000000000000 +000000000000000000000000001101100000000000000000000000 +000000000000000000000011101101101110000000110000000100 +101000001000000111100000011111100000000001000000000010 +100000000000000101100010100001000000000000000000000100 +010000000000000000000000001000000000000010000000000000 +010000000000000000000000000101000000000000000000000000 +000010100010001001100010111111001111111100000001100000 +000001001000000011000010100101011000011100000000000000 +000000001100000111100111100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100000000001000000000000 +000000000000000000000000000011100000000000000000000000 +000000000001010111100111001000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000001000000000000000000000000000110000100 +000000000000010000000000001011000000000010000010000000 .logic_tile 7 6 -000000000000001001000011100101000000000001000000100000 -000000000000100111100010100101000000000000000000000000 -111010100000000111100110010001101111000010000000000000 -000100000000000000100110001101101001000000000000000000 -010000000000000001100010011001011000010111110000000000 -010000000000001101000011111101101100011011110000000000 -001000000000001000000110001001111010110011000000000000 -000000000000001011000000000101111111010010000000000000 -000010000000000000000000000000000000000000000100000100 -000000000000000001000000000001000000000010000000100010 -000000100000000000000000000000000000000000000100000000 -000001000000000000000000000111000000000010000000000100 -000000000000000001000000000000000000000000000101100000 -000000000000001011000011101101000000000010000000000010 -010000000001000000000000000000000000000000000100000000 -100000000000100000000000000001000000000010000000000010 +000000000000000001000010000111100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +101000000000000000000011000101100000000000001000000000 +100000000000000000000000000000001001000000000000000000 +110000000000000000000010000001101000001100111010000000 +100000000000000000000100000000101011110011000001100000 +000000000000000101100000000111101000001100111000000001 +000000000000000000000000000000001001110011000000000000 +000000000000000000000110000000001000111100000000100000 +000000000000000000000100000000000000111100000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000001000000001011000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000010000000 +110000000000001001100000000000000000000000000110000000 +010000000000001001000000000001000000000010000000000000 .logic_tile 8 6 -000000000001111001000110001101101011110000000000000000 -000001000000111011100010011111011000000000000000000010 -111000100000001111100011011111011011110011000001000000 -000001000000000111100111011001111100010010000000000000 -010000000000100001000110101011001110010111110000000000 -010000000000000101000011000101101000100111110000000000 -000001000000001001000110001111011000110011000000000000 -000010000000000101000110011101011000000000000000000000 -000100000000100011100011000001001010011100000000000000 -000100100001000111000000001101101010111100000000000000 -000010000000000111000000000000000000000000000100000000 -000000000000000000000000000011000000000010000001000100 -000001000000001011100111000000000000000000000100000010 -000010100000000111100100000011000000000010000000000000 -010000000010100000000111001000000000000000000100000000 -100000001010000000000100000001000000000010000001000000 +000000000000000001100110000101100001000000000000000000 +000000000000000000000000000101001100000000110000000000 +101000000000001000000010100101100000000010100000000000 +100000000000000011000000001111001101000010010000000000 +110000001000000001100000001001100000000010100000000000 +000000000000000011000010001011101111000010010000000000 +000001000000001001100110000101100000000010100000000100 +000011100000000011000000000101101101000010010000000000 +000000000000000000000110100000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000010001000000000001100001000010010110000000 +000000000000001001100011110001001100000001010000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000100000000010000111100000000010010100000000 +000000000001010000000100001001101011000001010010000000 .logic_tile 9 6 -000010101010000111100011110011001111110011000000000010 -000001100000000001100010100001111110000000000000000000 -111001000001010011100111010011101001000100000000000000 -000010000000000000100111110001111001001100000000100000 -110000000010000111100111101001001101010111110001000000 -010001000000000000100100001101101010100111110000000000 -000000001110101111100010001111101111000010000000000000 -000000001001011111100100001111011101000000000000000001 -000000000000100111000011000011111000000110100000000000 -000010100001010000000111100101101011001111110001000000 -000001000000000000000110011000000000000000000101000000 -000010001000000000000010000101000000000010000010000000 -000001000000011000000011001000000000000000000110000000 -000000000000100111000110011001000000000010000000000000 -010000001000000011000000010000000000000000000100000000 -100000000000000000100011010111000000000010000010000000 +000010000000000111100110000001111000010110100000000000 +000001000000000101000011100011101110100110100000100000 +101001000000000011110010100011100000000010100000000000 +100010000000000000000010010011101001000010010001000100 +110000000000001000000111001101111000010110100000000000 +000000000000000101000011000011101110100110100000000010 +000000000000000011100000011101100000000001000001000000 +000001000000000000000011000011000000000000000000000000 +000001000000001001000010000101001100111101000010000000 +000000100000000101000000000001011011111111000000000000 +000000100000001001000000000001000000000000000000000000 +000001000010000101100010011101101000000000110000000010 +000001000000100001000000001101001100111101000100000000 +000010100001010000100000001001011011111111000000000010 +000000000000000000000000000001000000000010010101000000 +000000000000000000000000001101101000000001010000000010 .ramt_tile 10 6 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000001110100000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000010100000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000111100101000000000000 +000000010000000000000100000111100000000000 +101000100000000000000000001000000000000000 +100000010000000000000000000111000000000000 +000000000000000000000000010111100000010000 +000000000000000000000011001011100000000000 +000000000000000000000000000000000000000000 +000000000000000000000010000111000000000000 +000000000001010000000000001001000000000000 +000000000000100000000010010111100000000000 +000000000000000101100010111000000000000000 +000010000000001111000110100011000000000000 +000000000000000001000000001101100000000000 +000000000000000111100000001011100000000001 +110000000000011101000000011000000000000000 +010000000000000101100011101011000000000000 .logic_tile 11 6 -000000000000000001100010011101101111110011000000000000 -000000000000001011000111110011111010000000000000100000 -000010100010001101000111100111101111000110100000000000 -000000000000010111000110101001001110001111110000000000 -001000100001011111100111001001000001000000010000000000 -000000000000001111100000001111001101000000000000100000 -000000000000000101010111101111111000000010000000000000 -000000000000000000000110100001011110000000000000000000 -000000000000010011100011110011100000000000010000000100 -000000000000000000000011100001101011000000000000000000 -000000000000101111100110111101001111000110100000000000 -000100000001010011100010001001011111001111110010000000 -000000000001001001000011101011001100000110100000000000 -000000001110001011100010001101011101001111110000000000 -000000100000000011000110000001011001010111110000000000 -000000000000001111000011010101101100100111110000000000 +000000000000000000000000001101101100010110100000100000 +000000000000000000000011000101111011100110100000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000011010000000000000000000000000000 +110000000000000000000010100000000000000000000000000000 +100000001110000000000011010000000000000000000000000000 +000000000001000011100000010001000000000010100001000000 +000000000000000000100011000111101011000010010000000001 +000000000000000000000111101000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000000 +000000000000001000000000000000000000000000000100000000 +000000000000001001000000000000000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 6 -000000000001000111100111011001011100110011000000000000 -000000000000100000000110001111001100000000000000000000 -000000000001001000000111011011001100000010000000000000 -000010000010001011000110001001011000000000000000000000 -000000000000011000000011101111111101110011000000000000 -000000000000100001000000001011011011000000000000000000 -000000001110001111100111001001101000100000000000000000 -000000000100000001000100000101111010000000000001000000 -000000000000000011100000011001001100110011000000000000 -000000000000001011000011000001101101000000000000000000 -000000000000001111100111000011111010000010000000000000 -000000000010000111100111001001001111000000000000000000 -000011100000001111000000010111011111110011000000000000 -000011000000001011000011011101111100000000000000000000 -000000000000101001100011110111101110000110100000000000 -000000000001011111100011000111001001001111110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 6 000000000000000010 000100000000000000 -000000000000000000 +000000011001100000 000000000000000001 -000000000001000001 -000000000011000000 -001100000000010000 +000010000000000001 +000000010011000000 +001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000010000011000010 -000000010001000000 -000000011000010000 +000000000010000010 +000000000001000000 +000000000000000000 000000000000000001 000000000000000001 000000000000000000 @@ -1650,221 +1650,221 @@ 000000000000000000 000000000000000000 .logic_tile 1 7 -000000000000101000000000000101100000000001000000000100 -000000001000000011000000000011000000000000000000000000 -111010000000001001100111010001111011100000000000000000 -000000000000000001000111000101011001000000000000000000 -010000000000001001100010010001100000000001000000000000 -110000000000000001000011000101000000000000000000000010 -000000000000001000000010110000000000000000000000000000 -000000000000000011000011010000000000000000000000000000 -000000000000000000000000000101101011100000000000000000 -000000000000000001000000000001111001000000000000000000 -000000000000000111100111101111000000000001000000000010 -000000001010000000100100000011000000000000000000000000 -000000000000000011100000001011100000000011000000000000 -000000000000000000100000001111101100000011010001000000 -000000100000000000000110001000000000000000000101000000 -000001000110000000000000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 7 -000000101110001011010011110000000000000000000000000000 -000000000000001011000010000000000000000000000000000000 -111000000000001000000111001000000000000010000000000000 -000000000000001111000011001101000000000000000000000100 -010010100000001111100000001001100001000000000000000000 -010001000010000011000000001011101000000000010000000000 -000000000000001000000110110101100000000001000000000010 -000000000000000011000011100101100000000000000000000001 -000000000000000000000000000101100000000000000000100000 -000000000000000000000000000101000000000011000000000101 -000000000000100000000000010001011000111100000010000000 -000000000000000000000011010001011010011100000000100001 +000100000000001111100111100000000000000000000000000000 +000100000000001111100111000000000000000000000000000000 +101000000000000001000000001000000000000010000000000000 +100000000000000001100000000001000000000000000000000100 +110000000000000111100111100011000001000011000000000000 +010000000000000000100100000001001000000011010000000000 +010000000000001001000000000000000000000000000000000000 +110000000000001011100000000000000000000000000000000000 +000000000000000111000000010000000000000000000000000000 +000001000000000000100010000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000110000000000000000000000000000000000110000000 -000000000000000000000000001001000000000010000000000110 +000000000000000000000000001101101000101001010100000000 +000000000000000000000000000101011010100101010000000000 +110000000000000000000000001001001010101001010100000000 +000000000000000000000000000011111000100101010000000000 .ramb_tile 3 7 +000000000000000000000000000000000000000001 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000100001100000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000000000000000100000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 +110000000000000000000000000000000000000001 +110000000000000000000000000000000000000000 +000000000000000000000000000000000000000001 +000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 +000001000000000000000010000000000000000000 +000010100000000000000100000000000000000000 +000000000000000000000000000000000000000100 000000000000000000000000000000000000000000 .logic_tile 4 7 -000010100000110111100000000111100000000000001000000000 -000001000001110000100011110000100000000000000000001000 -111010000000001111100011100111100001000000001000000000 -000000000000001011000000000000101100000000000000000000 -010000100000000011000010110111101001001100111000000000 -010000000000000000100010000000001110110011000010000000 -000000000000000000000000000101001001001100110000000000 -000000000000000000000000000000001100110011000001000000 -000000000000000000000000000001000000000000100000000000 -000000000000000000000000000111001011000000000000100000 -000000000000000111000000000000000000000010000000000001 -000000000000000000000000001101000000000000000000000000 -000000001010000111000000001001100000000001000000000000 -000000000000000000100000000001000000000000000001000000 -000000000000001111000110111000000000000000000100000010 -000000000000000101000010101001000000000010000010000010 +000000000000001000000000000101111000000010000000000001 +000000000000001011000011010001001001000000000000000000 +101000000100000111000000001111011010000100000000000000 +100000000000000000000010100011101111100000000001000000 +000000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000001100000000000000000001100110001000000 +000000000000000001100000000000001010110011000000000000 +000100000000000000000000001000000000000000000100000000 +000100000000000000000000001001000000000010000001000000 +000000000000010101100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000110010000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 7 -000000000000000001100110101001000000000000000000000000 -000000000000000000000010000101000000000001000000000001 -111000000000000000000011011101111101000100000000000000 -000000000000100011000110001101111010000000000000000000 -010000000000000111100110001000000000000010000001000000 -010000000000000000100000001011000000000000000000000000 -000000000000001001100110010001111100001100110000000001 -000000000010000001000010000000100000110011000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000001000000000010000000000000000000100100000 -000000000000001011000011001001000000000010001000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010001001000000 -010000000000100000000000001000000000000010000100000000 -010000000001000000010000001001000000000000000001000000 +000000000000000111000000000001100001000000100000000000 +000000000000000000000011001011101000000000000000000000 +101000000000001000000111110101100000000001000001000000 +100000000000001011000110000101000000000000000000000000 +010000000000001101100010001111000001000000000000000000 +010000000000000001100111011101101000000000010010100000 +000000101110001001100111100001100001000010000000100000 +000000000000000001000111010111101010000011000000000000 +000000000000000000000111101001000001000000100000000000 +000000000000000000000011111011001100000000110000000000 +000001000000000000000010011101000000000000000000000000 +000010100000001111000010101011100000000001000000000000 +000000000000001000000000001101011010010000000000000000 +000000000000000011000011111111111010000000000000000000 +000100000000000000000110100000000000000000000100100101 +000100000000000000000000001011000000000010000000100000 .logic_tile 6 7 -000000000000000000000111101001111111010100000000000000 -000000000000001001000110011001101110100100000010000000 -111000000000001001010110000000000000000000000000000000 -000000000000000011100111100000000000000000000000000000 -001000000000000000000000001001100001000001010000000000 -000000000000000000000011010001001110000001100000000100 -000001000000001000000111000011001001110010100000000100 -000000100000000011000000001101011010110011110000000000 -000001000010000001000000011011011110000011010000000010 -000000100000000111100011101101001000000011000000000000 -000010100010000000000000000000000000000000000000000000 -000001000010000000000000000000000000000000000000000000 -000000000000001000000000001001011111101000000000000100 -000000000011011001000000001101101110011000000000000000 -000000000000000101000000000011000000000001000100100010 -000000000000000101000000000111100000000011000000000001 +000000000000000000000010000001100000000001000000000000 +000000000000000101000110010101000000000000000000000001 +101000000000000001100000000101100000000001000000000000 +100000000000000111000000000101000000000000000010000000 +010000000000001111100010010111100000000001000000000000 +010000000000000101100010000001100000000000000000000000 +000000000000000001100000000011000000000001000000000000 +000000000000000000000010000101100000000000000000000000 +000000000000000000000010010101000000000001000010000000 +000000000010000000000110000101100000000000000000000000 +000001000000000000000000001001000000000000000000000000 +000010100000000000000000001111000000000001000000000000 +000000000000000000000000001001001100000010000001000000 +000000000000000000000000000001111011000000000000000010 +001000000000000000000000011000000000000000000100000000 +000000001000000000000010111101000000000010000000000100 .logic_tile 7 7 -001000001100001001100111111111001111000010000000000000 -000000000000000111000010000101011101000000000000000000 -111000000001000101000011111101000000000000000000000000 -000010000000101101100011100011101001000000010000000000 -110000000000000111100111100101100001000000100000000000 -110000000000000000000110100111101001000000110000000000 -000000000000000101000011111111101000000000000001000000 -000001000000001101000011110101011011100000000000000000 -000000000000001011100000001011011010000011010000000001 -000000000000001111100000001111001010000011000000000000 -000000000000000011100110001011011001101000000000000000 -000000000000000000100011101011001000011000000000000100 -000000000000000011100011111111100000000001100100000000 -000000000000100001100011111101101000000001010000000000 -010000000000000101100110011111100000000001100100000000 -100000000100000000000010001001101000000001010000000000 +000000000000001011100110011111100000000000100000000010 +000000000000000111000010000001101010000000000000000000 +101000000000001101000011101001101000000011000000000000 +100000000000010001100011101001111001000001000000000000 +010000000000001001100110110101001101111100000000000000 +110000000000000011010011000011111011011100000000000000 +000000000100001101000111010101100001000000100000000000 +000000000000001111100010100001001010000000000000000000 +000000000000101000000110100111111101101100000000000000 +000000000001010011000100000001001011111100000000000000 +000000000000001101000000000011100001000000000000000000 +000100000000001101000000001111001011000000010000000000 +000000000000000000000000010101011000111100000000000000 +000000000000001001000011010001001100011100000000000000 +000000000000001000000000010000000000000000000100100001 +000001000000010011000010000111000000000010000000100000 .logic_tile 8 7 -000000000000101001100111110001111111000110100000000000 -000000000001011011000010001001101010001111110000000001 -000000000000000000000010100111101011000110100000000000 -000000000000001001000010011101101011001111110000000000 -000010000000000111000110111011100000000001000000000000 -000001000000001011100110000001100000000000000001000000 -000010000000000111000000000111111000000110100000000000 -000001000010010000010010010111011001001111110000000000 -000001000000001001000111010011101010000110100000000000 -000010000000000011100011110011001000001111110000000000 -000000000010001001100011010011101100000110100000000000 -000000000000000001100011001011111111001111110000000000 -000010100100001000000110001001000000000000010000000000 -000001000000000011000011010011001011000000000000000010 -000100000000000011100111100111000000000001000000100000 -000100000101011111100100000011100000000000000000000000 +000000000000001111000000010101101101111100110000000000 +000000001000001111000010000001001001010100110000000000 +101000000000001101000000001111001100010000000000000010 +100000000000000011100011101011101010000000000000000000 +010001000000000000000011111011100001000000100000000000 +110010000000000011000110000001101100000000000000000000 +000000000000001101100000011001111010000100000000000000 +000000000000000001000010000001001000000000000000000000 +000000000000001001000000001101000001000000000000000000 +000000000000000001000000000111101001000000010000000000 +000000000000001101000111101001000001000010000000000000 +000000000000001111100100000011101011000011000000000100 +000000000000000101000110001011000000000000100000000000 +000000000000000111000000000001001110000000000000000000 +000000000100000101000000000000000000000000000101000110 +000000000000000000100000000111000000000010000000000000 .logic_tile 9 7 -000010000000000000000010000111011001000100000000000001 -000000000000000111000010010001111010001100000000000000 -111000100000000001100111011011000000000001000000100000 -000000001000001001000010111111000000000000000000000000 -010000000000000001000011110101101001110011000000000000 -010000000000000111100010110101111100000000000000000000 -000000000000000101100010000011101111000110100000000000 -000000000000000011100110000101101111001111110001000000 -000000000000001111000000011011111000100000000000000010 -000000000000001011100010001001001101000000000000100000 -000001000000000111000000001111011010110011000000000000 -000000000000001111110011110101111011000000000000000000 -000000000000000011000111010000000000000000000100000100 -000000000000000000100111011101000000000010000001000000 -010000000100000000000000010000000000000000000100000100 -010000000000000000000011000011000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +101000000001000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000010000010000000 +100000001110000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000001 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000001010000000000000000000000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000010 .ramb_tile 10 7 000000000000000000000000000000000000000000 -000000010000010000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000110000000000000000000000000000000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000101000000000000000000000000000000000 -000000100000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 .logic_tile 11 7 -000000000000001000000110001111111111000110100001000000 -000000000000001011000010010011011111001111110000000000 -111000000000000000010110010101011110110011000001000000 -000000000000000111000010001111101100000000000000000000 -010000000000000000000110000001101001000010000000000000 -010000000000000000000010110001111001000000000000000010 -000000001100100101000000001000000000000000000100000000 -000000000110001101100000000101000000000010000010000000 -000000000000000011100000001000000000000000000100000000 -000000000000000000000000000001000000000010000000100000 -000000000000100000000010001000000000000000000100000010 -000000100000010101000100001011000000000010000000000000 -000000000000000000000000001000000000000000000100000000 -000000000001000000000010000101000000000010000010000000 -010001000000001001100111010000000000000000000101000000 -100000000000010001000011001101000000000010000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000101000000 +000000000001000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .logic_tile 12 7 -000000000000010101000010101011000001000000000010000000 -000000000000100000100110111001001101000000010000000000 -111100001110000000000000011111000000000001000001000000 -000000001110001111000011110111000000000000000000000000 -110000001110000000000000001000000000000000000100000000 -000000000110000000000000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000001010000101000000001000000000000000000100100000 +100000000000000000100000000001000000000010000000000000 +110000000000000101000000000000000000000000000000000000 +100000000001011101100000000000000000000000000000000000 +000000000000100111000000000000000000000000000100000000 +000000000001000000100000000101000000000010000000000000 000000000000000000000000000000000000000000000100000000 -000010100000000000000000001111000000000010000000100000 -000010000000001011100000000000000000000000000100000000 -000001000000000111000000000011000000000010000000100000 -000010000000001000000000000000000000000000000100000000 -000000000000001101000011110001000000000010000000000000 -000000000001000111100000000000000000000000000100100000 -000000000000100000000011011001000000000010000000000000 -010010100000101000000000000000000000000000000100000000 -010000001001010011000000001001000000000010000000100000 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100001000000010100000000000000000000100000000 +000000000000001011000100001111000000000010000000100000 +010000000000000000000000000000000000000000000100000000 +110000000000000000000000000111000000000010000000100000 .io_tile 13 7 000000000000000010 000100000000000000 -000000000000010000 -000011110000000001 +000010000000000000 +000010110000000001 000000000000000010 000000000000110000 001000000000000000 000000000000000000 -000000000000000000 +000000000000000010 000100000000000000 -010000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000001 @@ -1881,225 +1881,224 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -010011010000000000 +100010000000000000 +000010110000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 .logic_tile 1 8 -000000000000000000000110000101100000000000001000000000 -000000000000000000000011010000000000000000000000001000 -111000000000011001100110010000000000000000001000000000 -000000000000000001000010000000001011000000000000000000 -010000000000000000000000000000001000001100111100000010 -010000000000000000000010110000001101110011000010000000 -000010000000000000000000000000001000001100111100000000 -000000000000001101000000000000001001110011000010000000 -000000000010000000000000010000001001001100111100000000 -000000000000000000000010000000001000110011000000000001 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100111100000000 -100000000000000000000000000000001001110011000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 8 -000000001000000001100110110001001111000100000000000000 -000010000000000000000011100101101000000000000000000000 -111000001010001101100110111001100001000010000000000000 -000000000000000101000010100001101001000000000000000000 -110001000000000111100000000000000000000000000000000000 -110000100000010000100000000000000000000000000000000000 -000000100001010000000000001011001100010000000000000000 -000000001110100000000000000111101000000000000000000010 -000000000000001000000000000000000000000010000010000001 -000001000000000001000010111001000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000001000000000111001000000000000000000100000001 -000000000000001101000100001001000000000010000000000000 -110000000011010000000000001000000000000000000100000001 -010000000000001101000000000001000000000010000000000000 +000000000000001001000000000000000000000010000000000000 +000000000000000011000010100001000000000000000000000000 +101000000000000101100000010101000001000010000000000001 +100000000000000111100011111101101000000011000000000000 +010000000000000000000010000000000000000000000100000000 +010000000000000000000011000001000000000010000000000000 +000000000000000001000000010000000000000000000100000000 +000000000000001001100011110001000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000010 +000000000001010001000010001001101111001100110100000000 +000000000000100000000010000101101101101100100000000000 +000000000000000001000000001101100001000011000100000000 +000000000000000000100000000101101101000010010000000000 +110000000000000000000000001000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 .ramt_tile 3 8 -000000001110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000 +000000010010000000000000001111000000000000 +101000000000000000000110100000000000000000 +100000011110001001000000001101000000000000 +000000000000000011100110110000000000000000 +000000000000000000100010100101000000000000 +000000000000000101100000011000000000000000 +000000000000000000000010101001000000000000 000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000110000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000000000000000000000000001101000000000000 +000000000110000000000000001000000000000000 +000000000000000000000000001101000000000000 +000000000110000000000000001000000000000000 +000000000000000000000000000101000000000000 +010000000000000000010110011000000000000000 +010000000000000000000110011111000000000000 .logic_tile 4 8 -000000000000001011000110001001000001000001010000000000 -000000000000000001100010010011101110000010010000000000 -111000000000001001100010011011000000000001000000000000 -000000000000001111000110001101100000000000000000000010 -010000000000101111000010011001111010010000000000000000 -110000000001000011000011001001001000000000000000000010 -000000100001000011100111011101011010111001110000000000 -000000000000000001000011011011011010110101110000000000 -000000000000100111000000000001101011111001110000000000 -000000000001010000100010001111111010110101110000000000 -000100000000001001000110101001101110010100000000000000 -000000000000000001100100000011001100011000000000000000 -000000001100000101100011100111001111010100000000000000 -000000000000001111000010011001011110011000000000000000 -000000001100000111000000000000000000000000000100100110 -000000000000000000100000000001000000000010000000000000 +000000000000000011100010000000000000000010000000000001 +000000000110000001000100000001000000000000000000000000 +101000000000000000000000001000000000000010000000000000 +100000000000000000000000000111000000000000000000100000 +010000000000000000000000001001000001000010100000000000 +000000000000000000010000001011001111000010010000000000 +000000000000000000000011010000000000000010000000000000 +000000000000000000000110000011000000000000000000100000 +000000000000000111100000001011100001000010100000000000 +000000000000001001000000000011101011000010010000000000 +000000000000001001100011111111100001000010100000000000 +000000000000001001100010010011001011000010010010000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +110000001100000011000110000000000000000000000100000000 +000000000000000011100000001001000000000010000000000000 .logic_tile 5 8 -000010100000001001100000001001000000000001000000000001 -000001000000001111000000001011000000000000000000000000 -111000100000010111100111101001000000000000000000000000 -000000000000000000100100000001000000000001000000000000 -011000000000001000000010001001000000000001000000000100 -110000000000001111010000001101000000000000000000000000 -000000000000000111100111000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -000000000000000000000000001011100000000001000000100000 -000000000000000000000000001011000000000000000000000000 -000010100000000001100000011001000000000001000000000100 -000001000000000000000010010001000000000000000000100000 -000000000000100000000000001101000001000000100000000000 -000000000001000000000000000101001101000000000001000000 -000000000000000000000000001000000000000000000100000100 -000100000000000000010000001001000000000010000001000000 +000100001000000001100010011111101100000011010000000000 +000100000000000111000010000111011011000011110001000000 +101001001010000101000000001101101101101011110000000000 +100000100000001001000010010101101101100111110000000000 +110000000000100011100110010111011101010100000000000000 +010000000001000000100010100001001101011000000000000000 +000110000000001101000111110001001110100100000000000000 +000001000000000101000111100001111001010100000000000000 +000000000000000011100110110101011111010100000000000000 +000000000000000001000111100111111000011000000000000000 +000000000000000111100010000001111010010100000000000000 +000000000000000000100010000011101001011000000000000000 +000001000000000101000011000001100000000001000010000000 +000010100000001101100000000101000000000000000000000000 +000000000000101001100000011000000000000000000100000000 +000000000001010001000010101101000000000010000000100100 .logic_tile 6 8 -000010100000001000000000001101011001101100000000000000 -000001000100001111000000001011101000001100000000100000 -111000000000000101000111011001111010001100000000000000 -000000000000001111100010010011101100001000000000000000 -010010100000000000000011011111111000101100000000000000 -110001000000000000000111001101001000001100000000000000 -000000000000001101000111001111011110110001010000000000 -000001000010000111100000000101001011110000000000000000 -000000000000000101100000011001111000101100000000000100 -000000000000000000000010100111101101001100000000000000 -001000000000000101100110101001111011101100000000000000 -000001000000000000000010000111101101001100000000000000 -000000000000000101000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000100000101100000000000000000000000000100000000 -000000000000000001000000001111000000000010000000000100 +000000001101011111000000001001000000000011000000000000 +000000000000101011000000000001000000000010000000100000 +101000000000001001000000000011100000000001000000000100 +100000000000000111010010111111100000000000000000000000 +010000001110001111000110001101000000000010000000000100 +110000001010001111000000001001001010000000010000000001 +000010000000000001000000000011100000000001000000000000 +000000000000000111000010100111100000000000000000000000 +010000000000000000000011101101011000111000000000000000 +110000000000000000000100000011001010011100000000000100 +001000000000101101100000011111111011010000000000100000 +000000001100000101100010000101101011000000000001000000 +000001001100001000000000010011100000000010000000000000 +000010100000001001000010010001000000000000000000000000 +000001000000010000000010000000000000000000000101000000 +000000000000100000000000001011000000000010000010000000 .logic_tile 7 8 -000000000000000101000110010000000000000000000000000000 -000000001100001011100011010000000000000000000000000000 -000000000001001001100011100011001111000100000000000000 -000010001110101111000010010001111000001100000000000000 -000000000000000101000111110101000000000010000000000000 -000000000000001011100111000101100000000011000000000000 -000010000001000000000111010001101011010111110000000000 -000000000000001001000010111111111010011011110000000000 -000000000000001111100000011011001011000100000010000000 -000000000000000101000011100001001011000000000000000000 -000000100000000000000111000001000001000000100000000000 -000001000000000000000100000011001001000001000000000000 -000000100001010000000110110011100000000000000000000011 -000000000000000000000011101001100000000001000000000000 -000000000111010000000000000011000001000000010000000000 -000000001000000000000000001001001011000000000000000000 +000000000000000111100010010111001000010000000000000100 +000000000000000000100010101011111100000000000000000000 +101000001101011101000010011001011110000000000000100001 +100000000000000111000111000101101100100000000000000000 +110000000110100000000010001111100001000000100000000010 +000000000001000000000100001001101010000000000000000000 +000000000000001101000110001001100001000000000001000000 +000000000000001111000000001111101000000000010000000000 +000001000010000011000000001001000000000000000000000000 +000010101010001011000011011101000000000001000000000100 +000000000000001000000000000011001010000100000000000000 +000000000000001011000000000111111111000000000000000000 +000001000000000000000000011001100001000010000101000000 +000010101000001011000011010001101100000011000000000011 +000000000000000101100000010000000000000000000100000100 +000000000000000000000010110001000000000010000000000000 .logic_tile 8 8 -000000000000000111000010011011011101000110100000000000 -000000001000000011000011001001101110001111110000000000 -111000100000001111100010010101101111000110100000000000 -010000000000000111100111011101101000001111110000000000 -110010000000010001100010001111001001000110100000000000 -010001000000001001000010111011011110001111110000000000 -000000000001010001000110010101001010010000000000000000 -000000001010101001100010000011101111110000000000000000 -000011101100000101000010011001111110000110100000000000 -000010100000000000000011010011111000001111110000000000 -000010000000000001000000011001001110000110100000000100 -000100000000001001100010001101111010001111110000000000 -000000000000000011100111011101100001000000010000000000 -000000000010000011000110000001001010000000000000000000 -010000000000000111000000000000000000000000000101100000 -100000000000000000100011010001000000000010000000000000 +000000000001001001000111110001000000000000000001000000 +000000001000000001100111111011001110000000010000100000 +000000000000001000000000010101111111011100000000000001 +000000000000000001000011011011101010111100000000000000 +000000100000101111100110001001000000000000010000000000 +000001000000001111100000000001101010000000000000000000 +000001000000000111000010001001000000000000010000000000 +000000100100001101000111010011101010000000000000000000 +000000000100001000000110110111000001000000010000000000 +000000000000001011000011000011101001000000110000000000 +000000000000000001100111000011001111010000000000000000 +000000000000000000100100000001101101000000000000000000 +000000000000000011100000001011000001000000000000000000 +000000000000000000100010011101101010000000010000000000 +000000000000000001100000011001001011001011100000000000 +000000000000000000000010001101011111001011010000000100 .logic_tile 9 8 -000000000000100001000111111011011000000010000000000000 -000000000000010111000011110001101110000000000000000000 -111000000010000111000111111001001001110011000000000000 -000000000000001001000110110111011101000000000000000000 -110000000100001001100000000101111011100000000001100000 -010000000000101111000000000101011001000000000000000000 -000000000000001001100111110101100000000001000000000001 -000000000000010001000110000111000000000000000000000000 -000000000000000111100111110001011101110011000000000000 -000101000000000000000011001111001011000000000000000000 -000010100000000001000110001111111100000010000000000000 -000001000000001001000011001001111100000000000000000000 -000100000010101101100010001001001111110011000000000000 -000000000000010001100010000011111111000000000000000000 -010001000100000000000010100000000000000000000100000010 -100011100000001111000100000101000000000010000000000000 +000000000000000011100000010000000000000010000000000000 +000000000000001001100011111111000000000000000000000001 +101000000000000011000000000001000000000001000000000000 +100000000010000000000000000101100000000000000001000000 +110000000000001111000000000000000000000010000000000000 +000000000001010101000000000111000000000000000000000100 +000000000001010000000000000111000001000010000000000001 +000000000000100000000000000111001000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000001111100010001001000000000010110100000001 +000000000001010011000000001011001000000000110000000000 +000000000000000000000000011101000000000010110100000001 +000000000000000000000011001111001101000000110000000000 .ramt_tile 10 8 -000000100000000000000000000000000000000000 +000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 -001000000100100000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 -000001000000000000000000000000000000000000 -000010101110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 .logic_tile 11 8 -000000000010001011000111010001101100110011000000000000 -000000000110000011100011010011111000000000000000000100 -111000101001000101100110001001111001110011000001000000 -000101000000101101100010111111101010000000000000000000 -110000000000001000000000010111000000000000000000000000 -110100001100001011000010001001000000000001000001100000 -000000000000001011000000001101111100000110100001000000 -000100000000001011000000000001001010001111110000000000 -000000000000011000000011000111000000000000000010000000 -000000000000100011000000001001100000000001000001000000 -000000000010101000000000000111100000000000000000000000 -000000000001010101000000001001100000000001000000000100 -000001000000001000000111110001000000000000000000000011 -000010100000000011010111111001000000000001000000000010 -010001000000100000000010000000000000000000000100000000 -100000100001010000000010001101000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +101000000001010000000000000011100000000000000000000010 +100000000000100000000000000011101010000000010000000000 +110000000000000000000000010011101100010000000000000000 +100000000000000001000010000001011111000000000000000000 +000000000000000000000011001111000000000000100000000100 +000000000000000000000000001111001100000000000000000000 +000000000000001101000111100101000001000000100000000100 +000000000000001111000000000011101011000000110000000000 +000000000000010111000000000000000000000000000100000000 +000000000000100000100010100101000000000010000000100000 +000000000000000001000010001000000000000000000100000000 +000000000000000000100000001011000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 .logic_tile 12 8 -000100000000000000000000001011000000000000000000100000 -000100001110000000000011100001100000000001000000100000 -111000000000001000000000000011101101000100000000000010 -000000000000001111000011110011001101100000000000000000 -010000000000000000000011101011101110110000000000000010 -110000000000000000000000000011101110000000000000000000 -000000000000000000000000010011101111000100000000000010 -000000000100000000000011010111001101100000000000000000 -000001001000001101100011101011111011010000000000100000 -000000001100000111100000001111011001001000000001100000 -000000000000001111000111000000000000000000000110000000 -000000000000000011100000001011000000000010000000100000 -000000000000001101100000000000000000000000000100000010 -000000000000000111100000001001000000000010000000000000 -010100001100000000000111101000000000000000000100000010 -010000000000000111000100000111000000000010000000000000 +000000100000000101000000000101100000000000000000000010 +000001000000000000100000000111101010000000010000000000 +000000000010000001000000000001100000000000100000000010 +000000000000000001000010110001101100000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +010000000000000000000000001001100000000001000000000000 +110000000000000000000010110001000000000000000000000000 +110000000000000111000000001001000000000000000000000000 +000000000000000000000000001001001110000000010000100000 +001000000000000000000000000001100000000010000000000000 +000000000000000000000000000001101100000000000000000100 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000001000000000000000000000000000000000000000000 +000010100000100000000000000000000000000000000000000000 .io_tile 13 8 -000001111000000010 -000000001000000001 +000000000000100010 000000000000000000 -000000000001100001 -000000000010000101 +000000011000000000 +010000001000000001 +000000000011001101 000000000011000000 -001101111000000000 -000000000000000000 +001100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2108,242 +2107,243 @@ 000000000000000000 000000000000000000 000000000000000000 +000011010000000000 .io_tile 0 9 -000001110000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000100 000000000000000000 +000000000000001100 +000000000000001000 000100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 -000000000000000000 +000011010000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 9 -000000000000001000000011100000001000001100110100000001 -000000000000000001000000000000001000110011000001010000 -111000100000001000000000000000000000000000000000000000 -000001000000000001000000000000000000000000000000000000 -010000000000000000000011111101000000000000000100000001 -110000000000000000010110000001100000000011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000001000001001100110100100000 -000000000000000000000000000000101000110011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000010000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000001111100011110001100000000000001000000000 +000000000000001111000111110000100000000000000000001000 +000000000000000000000110010001000000000000001000000000 +000000000000000000000011110000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000011000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000111100000000001000000001000000000 +000000000000000000000100000000001101000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 .logic_tile 2 9 -000001000000001000000000000101100000000000001000000000 -000010100000000011000000000000000000000000000000001000 -111000000000000011100110000000000000000000001000000000 -000000000000000000100000000000001010000000000000000000 -110000000000001000000000010000000001000000001000000000 -110000000000000011000011010000001010000000000000000000 -000000000001000111100000000000000000000000001000000000 -000000000000100101100000000000001011000000000000000000 -000000000000001111000000000000001000111100000010000000 -000000000000000111000000000000000000111100000000000000 -000000000000000101100000001011000001000010100000000100 -000000000000000000000000000011001001000010010000000000 -000000000000000000000111100011000000000000000010000001 -000000000000000000000100000001000000000011000000000000 -010000000000000000000000001000000000000000000100000000 -100000000000000000000000000001000000000010000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000011100000000111100000000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000001000000000000010000000001000000001000000000 +000000000000000101000011010000001011000000000000000000 +000100000000001000000110110000000001000000001000000000 +000100000000001111000011100000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000100000000111100000000001000000001000000000 +000001000000000000010000000000001111000000000000000000 +000000000100000111000011100000000000000000001000000000 +000000000000000000100100000000001011000000000000000000 .ramb_tile 3 9 -000010100000000000000000010000000000000001 -000001000000000000000011000000000000000000 -000010000111010000000000000000000000000010 -000001000000100000000000000000000000000000 -010000001110000000000000000000000000000000 -010000001110000000000000000000000000000000 -000000100111010000000000000000000000000010 -000001000100100000000000000000000000000000 -000000000000000000000000000000000000000010 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000100000100000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000100100000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000010000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000000000000000000000000000000000000010 .logic_tile 4 9 -000000000000000000000000000000011000001100110000000000 -000000000000000000000000000000011100110011000000100000 -111000000000000001000111010000000000000000000000000000 -000000000000100000000110100000000000000000000000000000 -010000000000000000000111101111100001000010100000100000 -110000000000000000000100001001001010000010010000000100 -000010100001000001100000001000000000000010000000000010 -000001001000000000000000000001000000000000000001000000 -110000000000000000000000001000000000000000000100000000 -100000000000000111000000000111000000000010000000000000 -000010100000100101000000001000000000000000000100000000 -000001000001000000000000000011000000000010000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -010000000000010101000000001000000000000000000100000000 -100000000000100111010000000011000000000010000000100000 +000000000000000001000000000011000001000010100000000000 +000000000000000000000000001101101011000010010000000000 +101000000000000011100111111101100001000010100000000000 +100000000000000000100110000001001100000010010000000000 +010000000000000011100010000001000001000010100000000000 +000000000000000000100100000101001001000010010000000010 +000100000000000111100110001000000000000010000000000000 +000100000001000000100000001001000000000000000001000000 +000000000000001000000111100000000000000010000010000000 +000000000000001011000000000011000000000000000000000000 +000000000100000001100000001000000000000000000100000000 +000000000000000101000000000111000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000010000000010000000011000000000010000000000000 +110000000000100000000000001000000000000000000100000000 +000000000000000101000000000111000000000010000000000000 .logic_tile 5 9 -000000000000000001000110000001100000000001000100000000 -000000000000000001000111001001100000000011000001000000 -111000000000000001100111101101000000000001010100000000 -000000000000001001100010010001001101000010010001000000 -110000000000001001100111111101000000000001010110000000 -100000000000001111100110010101101111000001100000000000 -000000000000000001000010001001000001000000010101000000 -000000000000000000100100000111001100000000110000000000 -000000000000001000000011101101011001111110000110000000 -000001000000001111000000001101011001111100000000000000 -000000000001010101100011101101000001000000010110000000 -000000000000010001000100001011101100000000110000000000 -000001000000000000000011101001001100101000110100000000 -000000000001000000000000000111111100000000110000000001 -010000000000000101100000000011111110101000000100000000 -010000000000010001000000000001001101100100000000000100 +000000000000001101100111001001000000000000100000100000 +000000000000000101000011011011101001000000000000000000 +101000000000000111100111000000000000000000000000000000 +100000001000000111000000000000000000000000000000000000 +110000000000000000000000010011000001000001100100000000 +110000000000000000000010101011101011000001011000000100 +000000000000000001000111000001100001000001100100000100 +000000000000000000000010001101001001000001011000000000 +000000000000000000000111111101100001000001100100000000 +000000000000000000000110010011101011000001011001000000 +001000000000001001110000010011100001000001100100000010 +000000000000001001100011010001101001000001011000000000 +000001000100000000000110100001100001000001100100000010 +000010100000000000000000000001001011000001011000000000 +010100000000000011000000001111100001000001100100000000 +110110001010000000100000000011001001000001011000000000 .logic_tile 6 9 -000010000000000011100010011111000000000010000000000100 -000011000000000000100011101011000000000011000000000010 -111000000000001000000010100000000000000000000000000000 -000000000000001111000110010000000000000000000000000000 -010001000000001000000110001001101100000000000000000000 -010010100000000011000000001111101011100000000000000100 -000100000000100111100010100001111010000100000000000000 -000000000000000000010000000101011001000000000000000000 -000000000000001111000011101001011100000100000010000000 -000000000000000101000000001001011100000000000000100000 -000000000000001000000010000011100000000010000000000000 -000000000000000101000110011111000000000000000000000000 -000000001110001000000000001000000000000010000000000000 -000000000000000101000011110101000000000000000000000101 -000000000000000000000110000000000000000000000100000001 -000000000000000000000000001011000000000010000001000000 +000001001110000001000110001011000000000000000000000001 +000010100000000101100011010111100000000001000000000000 +101000000000000111000010000011011001010000000000000000 +100000000110001101000010000101101001000000000000100000 +010000001010101001100000010001000000000011000000000000 +010000000001010001000010000101001111000001000000000000 +000000000000001000000000000001100000000000000000000000 +000000000000101001000000001111101011000000010000000000 +000001000000001000000010000001101011101100000000000000 +000000100000000001000111001011011001111100000000100101 +010000000000000000000000000001000000000001000000100000 +110000000001010000000000001001000000000000000000000000 +010110000000000000000110100001100000000010000000000001 +110101000000000000000111000011100000000011000000000000 +000000000000000011000000001000000000000000000100000010 +000000000000000000000000000101000000000010000000000000 .logic_tile 7 9 -000000100000000000000011010101100001000011000000000000 -000001000000001001000010000001101011000001000000000000 -111010000010000101000010011111100000000000000000000000 -000000000000000101000111101011001011000000010000000000 -110010000000001000000011110001111000110010100000000000 -110001000000000001000111010111101101110011110000000000 -000000000000001000000110011001011001001010000001000000 -000000001010000001000010000011101010001001000000000000 -000000000000000011000000011111000000000000100000000000 -000000000000000000100011010011001011000000000001000000 -000001000010001001100011100011000001000000100000000000 -000000100000001011000000001001101110000000110000000000 -000110100000000101100011100000000000000000000100000000 -000101000010000111000000000001000000000010000000000000 -000000100000001001000000000001100000000010000110000010 -000000000000000101100000000011101010000011000001000000 +000000000000001111100011111101011000010010100000000001 +000000000100001111100010111001001011110011110000000000 +101000000000100001100111000101100000000010000000000000 +100100000001001001000011101001101111000011000000100000 +010010000000001000000111100111100001000000000000000000 +010000000000001111000110101101101010000000110000000000 +000000000000001001100010010111100001000000100000000010 +000000000000000001000011101001101010000000110000000000 +000000000110000111100000001001000001000011010000000000 +000000000000000000000000000001001010000011000000000000 +000000100000000000000000010011100001000000010000000000 +000001000100000000000010001101101001000000000000000000 +000000000000000000000000010011011011000100000000000000 +000000000000000001000010000001011110000000000000000000 +000010100100000111100110000000000000000000000100100000 +000000000000000000100110000111000000000010000000000000 .logic_tile 8 9 -000000000000001101100000010111000000000000000001100000 -000000000000001111000010000101100000000001000000000000 -111000100000000101100110001001100000000001000000000000 -000000001111010000100011000001100000000000000000000000 -110000000000000111010110101111000001000000100000000000 -010001000000000000000100000111001111000000000000000000 -000000000000000000000000000011001001000110100001000000 -000000000000000101000000000001011001001111110000000000 -000000101010000111000000010001111010010000000000000000 -000000000000000111000011011111101011110000000000000000 -000000001110000000000000000000000000000000000000000000 -000010000000000000010000000000000000000000000000000000 -000000000000000000000110111111100000000000000000000000 -000000000000000001000010100111001000000000010000100010 -010000000000000011100010000000000000000000000100000000 -100000000000001001100000000011000000000010000000000000 +000000000000000001100011110001011001000001110000000000 +000000100000000000000011001001111001000000110000000000 +000000000000000000000110001101011011000011110000000000 +000010000000000001000010110011101010100011110000000000 +000000000000001000000110000000000000000010000000000000 +000000000000000111010010101011000000000000000000000000 +010000000000101011100111000011111010000100000000000000 +110000000001000001000010000111111100100000000000000000 +000000000000000011100000000111001011000010000000000100 +000000000001001111000011000011101100000000000000100000 +000000100000001001000111100000000000000010000000000000 +000001000000001111000110000101000000000000000000000000 +000000000000000000000110000011101011010000000001000000 +000000000000001001000111001001111000000000000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000000000000001101101000000000010000000000 .logic_tile 9 9 -000000000000000001000011111011111001010000000000000000 -000000000000000000100011001001111100000000000001000000 -111011100000001011000010000101100000000000000001000000 -000011100001000001100111110101101111000000010000000000 -110000000000010000000000001111000001000000110000100001 -010000000000100111000000001001101000000000000000000001 -000000000000101000000011101011011100000100100000100011 -000000000010010001000000000101001101000000000000000000 -001000000001110111100011110011001000001100110000100000 -000000000001110111000110110000110000110011000000000000 -000000000000000000000011001001011110000000000000000101 -000000000000001001000000000001111000110000000000000000 -000000000000000000000011100000000000000000000100000010 -000000000000000000000010011111000000000010000010000000 -010000000000000000000010000000000000000000000100000010 -100001000000000000000100000011000000000010000001000000 +000000000000000000000010110101000000000000001000000000 +000000000000000000000010100000100000000000000000001000 +000000000000001101100000010000000001000000001000000000 +000000000000000101000011100000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000101000010100000001001000000000000000000 +000000100000001000000000010000000000000000001000000000 +000000000000000111000011010000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000001010000000010101001101000011100000000000000 +000001000000100000000100001101001001111100000000000000 .ramb_tile 10 9 +000000000000000000000000000000000000001000 +000000000000000000000000000000000000010000 +000000000000000000000000000000000000011000 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +110000000110000000000000000000000000011000 +010000000000000000000000000000000000000000 +000000000000000000000000000000000000000100 +000010000000000000000000000000000000000000 +000010000000100000000000010000000000000101 +000001000000010000000011110000000000001000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -001000000000000000000000000000000000000000 +000000000000000000000000000000000000000010 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010 .logic_tile 11 9 -000000000000000101000111011001100000000000100001000000 -000000000000000000100010001101101001000000110000000000 -111000000000000000000010110011111001000110100001000000 -000000000000000000000011110001111011001111110000000000 -110000000000000011000110010101000000000010000000000000 -010000000000000000000011111101101110000011000000000000 -000011000000100101000011001111111100000100000000100001 -000000000001001011000011000101101001100000000001000000 -000001000000010000000011000000000000000000000100000100 -000010000000100000000010010101000000000010000000000000 -000000000000000011100000000000000000000000000110000000 -000100000000000001100010001011000000000010000000000000 -000000000000000101100000001000000000000000000100000101 -000000000000000000000000000101000000000010000000000000 -010001000000000000000011001000000000000000000100000001 -100000000000000000000100000011000000000010000000000001 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +101000000000000111100000000000000000000010000000000001 +100000000000000000100000000001000000000000000000000010 +010000000000000000000011001000000000000010000000000001 +110000000000000000000000000111000000000000000001000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100111001000000000000000000100000000 +000000000000000000000100000011000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000001000000000000000000100000000 +000000000000000000000000001011000000000010000000000100 .logic_tile 12 9 -000000000000001000000111100011111111010000000000000110 -000000000000001011000100000001111110001000000000000000 -000000000000000000000000000001100000000001000000000000 -000000000000000000000010101101100000000000000000000000 -000010100000000000000111111001011010010000000000100010 -000001000000000000000110001101101111001000000000000000 -000000000000001000000011001001011111010010000000000100 -000000000000001011000100001111001101000000000000000000 -000000000000000011100011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000111100000000000000010000000000000 -000010101000000000000100000011000000000000000000000000 -000000000000000000000011100011011011000011000000100000 -000000000000000000000000001101101011110000000000000000 -000000000000000111100000001001011111000100100000000010 -000000000000000000100011011111001101000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000011101100000000000000000000010 +000000000000000000100010000001100000000011000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000001000000000101000010100001100001000000010000000000 +000000000000000000100100000001101110000000000000000100 +000010100000000000000000000111100001000000100000000000 +000001000000000000000000000101001001000000000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101000001001100110000000000 +000000000000000000000000000000101010110011000000000000 +000000000001001000000000000000000000000000000000000000 +000000000000100011000000000000000000000000000000000000 .io_tile 13 9 000000000000000000 000100000000000000 000000000000000000 000000000000000000 -000000011000000100 +000001010000000100 000000001000000100 000100000000000000 000000000000000000 -000010000000000000 -000101010000000000 -000000000000100010 -000000000000010000 000000000000000000 -000000000001100001 +000100000000000000 +000000000000110010 +000000000000010000 +000010000000000000 +000001010000000001 000000000000000010 000000000000000000 .io_tile 0 10 @@ -2364,209 +2364,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 10 -000000000000000001100000000001111110010000000001000000 -000000000000000000000011101001011010000000000000000000 -111000000000000011110000000001111011000010000000000000 -000000000000000111100000001011011100000000000000000000 -010000000000000001100010000011011000000000000000000000 -110000000000000000000111101001001010100000000010000000 -001000000000000011100011101000000000000010000000000000 -000000000000000000100010111101000000000000000000000100 -000000000000000101100111001000000000000010000000000000 -000001000000000000000000001011000000000000000000000100 -000010000000000011100000001001000000000000000000000000 -000000000000000000100010010001101000000000010010000000 -000000000000100000000000001000000000000000000101000000 -000000000001010000010000000101000000000010000000000000 -010000000000000000000000010000000000000000000101000000 -100000000000000000000010111101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 10 -000000100000000011100011110001000000000010000001000000 -000000000000001111000011000001100000000000000000000000 -111010000001011001000000010001100000000000000001000000 -000000000000000111100011111011100000000001000000000000 -110000000000000011000000010001101010101001010100000000 -110000000000001001100011000011001010100101010000000000 -000000000001000000000000010001101111101001010100000000 -000000000000100000000011001011001111100101010000000000 -000000000000000000000111000101011010001100110100000000 -000000000000000111000100000101011001101100100000000000 -000000000000000000000000000000000000000000000100000000 -000000000110000000000000000001000000000010000000000000 -000000000000000011100111001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -010010100000000000000000001111000000000011000100000000 -100001000000000000000011111111001011000010010000000000 +000000001110001001000010110000001000111100000000000000 +000000000000000001000110000000000000111100000000010000 +101000000000001001000111001011100001000000010000000001 +100000000000001111000000000001001001000000000000000010 +011000000000001101000010010101000001000000100000000000 +110000000000000001100011110011001101000000000000000000 +000000000000001011000000000101100000000010100000000000 +000000000000001111100000001011001011000010010010000000 +010000000000001000000010000000000000000000000010000000 +110000000000001011000000000000000000000000000000000001 +000000000000000000000000010001000000000000000010000000 +000000000000001001000010010001100000000001000000000000 +000000000000000000000000000001011111110000000101000000 +000000000000000000010000000001111000111000000000000000 +110000000000000000000000010101011101110000000100000100 +100000000000000000000010010101011010111000000000000000 .ramt_tile 3 10 -000000000100000000000000000000000000000000 -000000010000000000000011001101000000000000 -111000000000001000000110101000000000000000 -000000010000000101000000001101000000000000 -000000000000000000000110110000000000000000 -000000000000000011000010101001000000000000 -000000000000000101100000010000000000000000 -000000000000000000010010100101000000000000 -000000001001110000000000001000000000000000 -000010100000000000000000000101000000000000 -000000000010000000000000001000000000000000 -000000000000000000000000001001000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000000001000000000000 -110000001110000111100000000000000000000000 -110000000000000000110000001101000000000000 -.logic_tile 4 10 -000000000001010001100111111000000000000010000000000000 -000000000000100001000111011101000000000000000000000100 -111000100000000001100000010111011011101000000000000000 -000001001000000101000011000011001110011000000000000000 -110001000000000101000111000000000000001100110000000000 -110010100000000101100010110000001000110011000001000000 -000000000000001011100110000011100000000010100000000000 -000000000000000111000000001111001001000010010000000010 -000000000001000001000000001101100000000010100000000000 -000000000000100111100000001001001011000010010000000110 -000000100000110000000000000101011110001001000000000000 -000000000000001101000011101101001010000101000000000000 -000000000000000001000011110111100001000010100000000000 -000000000000000000100010011001101000000010010000000110 -000000000000000001000000001011011100000111110100000000 -000000000000000011100010110001011000000011110000000000 -.logic_tile 5 10 -000000000000001000000111100001100001000000100000000000 -000000001000000001000111010111001101000000000000000000 -111000000000000111000011010111011010000010110000000100 -000000000000000000000011010111001001000000110000000000 -110100001000001001100000001101100000000000000000000000 -110100100000000001000000001011101100000000010000000001 -000000000000001111000011000001101001110011110000000000 -000010100000000001000011011011111101110010100000000000 -001000001010001111100010001011001001000010110000000000 -000000000000000111000100001111011010000000110000000000 -000000000000010000000010010101011011010000000000000000 -000010001110000000000010000101011010001000000001000000 -000000001000001111100111100001100000000001000100000000 -000000000000000111000000000001100000000000000000000000 -010000000000000000000110001101100000000010000100100000 -100000000000100000000000000101100000000011001000000000 -.logic_tile 6 10 -000000001110001101010110111101000000000000010000000000 -000000000000001101110010000111101000000000000000000000 -111000000001011111100111110101101001000100000000000000 -000001100000100001010111100101011011001100000000000100 -010000000000001000000110111001011001110011110000000000 -110000000000000001000111111001001000010011110000000000 -000000000000000001100010010001100001000000100010000000 -000000000000000111000110001011101001000000000000000000 -000000000000000111100111000011011011000011100000000000 -000000000000000000000010001111001111000011110000000000 -000010000000000000000111001101101110111100110000000000 -000000000000000000000100001011111001010100110000000000 -000000000000000111100111000001111000000100000000100000 -000000000000000000000000000111101101000000000000000000 -000000100000000011000111011000000000000000000100000010 -000001000000000000000111001111000000000010000000000000 -.logic_tile 7 10 -000000000000001000000110011111011101001100000000000000 -000000000000000001000011011011011110000100000000000000 -000000100000001001100011000001001100010000000000000000 -000000000000000001000100001101001111000000000000000000 -000000000000000101000010011001000001000000010000000000 -000000000000000000100111011101101111000000000010100000 -000000000000000011000110011011101111110000000000000000 -000000000000000000100010001001011111100000000000000000 -000000000000001001000010100011000000000001000000000000 -000000000000001111100010100101000000000000000000000000 -000010100000000000000111010101101010000000000000000000 -000000001100001111000011101011011111100000000000000000 -000000000000000000000111011111101111110011110000000000 -000000000000000001000011100001011010010010100000000000 -000011100000100001000110111011001001010111000000000000 -000011100001001111000010101101111000010110000000000000 -.logic_tile 8 10 -000001000000000101000110110101000000000011000000000000 -000010000000000000100110001101001001000001000001000000 -000000000000001101100011001101101011000011000010000000 -000000000000000001100111000101011011000011010000000000 -000000000000101111000110110001001000000011000000000000 -000000000000001001000110000111011010000011010010000000 -000000000000001001100000010011100001000010000001000000 -000000000001010001000011010011101001000000000000000000 -000001000000000111000000001001100000000000000000000000 -000010000000000000100000001111000000000001000000000000 -000101000000000101000011110111000000000000000000000000 -000000100000000000000111110011100000000001000000000000 -000000000010000111000010001011100000000001000001000000 -000000000000000000100100001001000000000000000000000000 -000000000000000000000111001001100000000000110000000100 -000000000000000000000000001011001011000000100010000000 -.logic_tile 9 10 -000000000000001000000000001101011110000110100000000000 -000000000000001111000011000001001101001111110000000000 -111001001000001000000111101101100001000011000001000000 -000000100000001101000100000101001100000011010000000000 -010000100001000111100000010011000000000001000010000000 -010000000000000101100010101111100000000000000000000000 -000000000000011101100000000101100001000011000010000000 -000000000000100001000000001101001100000011010000000000 -000000100000000000000011110011100000000011000001000000 -000000000000000000000010000001100000000000000000000100 -000010000000000001000110001011000000000011000010100000 -000000000000000000000000000111100000000010000001000100 -000000000000001000000010000111000000000000000000000000 -000000000110000001000000000101000000000001000000000100 -010000000000001000000000001000000000000000000101000000 -100000000000000111000000001001000000000010000000000000 -.ramt_tile 10 10 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000001010000000000000000000000000000 +000000000010100000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000000010101000000000010100000000000 +000000000000000000000011001001001101000010010000000010 +101000000000001000000110001101000001000011110000000000 +100000000000101111000000001001101011000011100000000100 +010000000000000000000110001000000000000000000100000000 +000000001000000011000000000011000000000010000000000000 +000000000000001000000000010001100000000011000110000001 +000000000000001111000010001111000000000010000000000010 +000010100000000000000000011000000000000000000100100000 +000001000000000000000010000011000000000010000000000000 +000100000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000001000000000011101100000000011000100000011 +000000000000000101000010100111000000000010000000000010 +110000000000000101100000000001100000000001000100000000 +000000000000000000000000000111000000000000000010000000 +.logic_tile 5 10 +000000000100001000000111000001100000000000100000000000 +000010100000000111000111100001001111000000000000000000 +101000000000001111000010111011100000000001010000000000 +100000001010001101000011001001101100000001100000000000 +010000000000001011100110011001111010110001010000000000 +110000000000001001000111101101001001110011110000000000 +000000000010001111000111010111000000000001010000000000 +000000000000001101000010100111001100000001100000000001 +000000000000001001000111110011101010010000000000000000 +000000000000000001100110000011001101001000000000100100 +000000100000000001100011111101111000110001010000000000 +000000000000001001000011111101001011110011110000000000 +000000000000000011100011100001000001000001100100000000 +000000000000001001000100000101101111000001011000000000 +110000000000000000000011100001100001000001100100000000 +010000100000000000000000001011101101000001011001000000 +.logic_tile 6 10 +000000001000001101000110000001100000000000000001000000 +000000000000001101000011010111001010000000010000000000 +101000000000001001000011100011100000000000000001000000 +100000000000000001000010110001000000000001000000000000 +010100000000001001100110010011101011000011000000000000 +010100000000000011000010001101101011000011010000000000 +000000000000001000000111001001100001000000000000000001 +000000000000000111000000000101101100000000010000000000 +010010000000001000000000000111100000000000000000000000 +110001000000001111000000000011000000000001000000100000 +000000000000001011000110111111000000000010000000100000 +000000000000001011000111100001001110000011000000000000 +000000000000001011000000001001101010001100000000000000 +000000000000001101000000001011111000101100000000100000 +110000000000001000000000000001100000000011000100000000 +000000000000001011000000001001000000000010000000000001 +.logic_tile 7 10 +000000000000000000000111000001101011000001000001000000 +000000000000000000000110000101011001000011000001000000 +101000000000000101000000000111100000000001000000000000 +100000000000000000100010111011100000000000000001000000 +010000000000001001100110010011100000000001000000000000 +010000000000000011000010001111000000000000000000000000 +000000000000000000000111000011000000000010000000000000 +000000000000010001000010110101100000000000000001000000 +000000000000000000000011000101100001000011010100000000 +000001000000001111000011101101101110000011000000000010 +000000000000000001100000000101001100111001010101000010 +000000000000000000000000001101101001110000000000100000 +000000000001000000000011000001100001000011010110000010 +000000000000000000000000001101001011000011000000000000 +000000000000000011000110011101000001000011010100000011 +000010000000000000100011101111001010000011000000000010 +.logic_tile 8 10 +000000000010000001000000000111000000000000001000000000 +000000000000100101000000000000100000000000000000001000 +000000000000001001000000000011100000000000001000000000 +000000000000001101000011000000001110000000000000000000 +000000001000000000000110000011101000001100110000000100 +000000000000000000000000000000100000110011000000000100 +000010100110000001100000001001011000101100000000000000 +000000000000000000000000000011011101001100000000000000 +010000000000000111000011100001101101110001010000000010 +110000000110000000100011001101001010110000000000000000 +000000000000001011000010000001111001010000000000000000 +000000000000000011000000001011011000000000000000000000 +000000000000001000000000000001000000000000000000000000 +000000000000000011000011001011000000000001000001000000 +000000000000000000000000001000000000000010000000000000 +000000000000001001000000000111000000000000000000000000 +.logic_tile 9 10 +000010000000000000000000000101100000000000001000000000 +000001000000000000000000000000000000000000000000001000 +000000000000001111100010110001100001000000001000000000 +000000000000000111100010100000001000000000000000000000 +000000000000000000000111100000000000000000001000000000 +000000000000000001000100000000001011000000000000000000 +000000000000001000000110100000000000000000001000000000 +000000000000001011000000000000001100000000000000000000 +000000000000000001000000010000000000000000001000000000 +000000000000000000000010010000001101000000000000000000 +000000000000000001100000000000000001000000001000000000 +000000000000000000100000000000001100000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000001110000000000000000000001011000000000000000000 +000000000000000000010000001001101000000000000010000000 +000000000000000000000000001001101010100000000000000000 +.ramt_tile 10 10 +000000000000000000000000001000000000000000 +000000010000000001000000001001000000000000 +101000000000000000000111011000000000000000 +100000010000000000000011011001000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000000101000000000000 +000000000000000011100000000000000000000000 +000000000000000000000000000011000000000000 +000000000000000101100110101000000000000000 +000000000000000000000000000111000000000000 +000000000000000000000110101000000000000000 +000000000000001111000000001011000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000 +110000000000000101100000000000000000000000 +010000000000000000000000001011000000000000 .logic_tile 11 10 -000000000000001000000000011001100001000000100001000000 -000000000000001111000011011001001000000000000000000000 -111000000000001111100000001011000001000010000000000000 -010000000000101101100011010111001010000000000001000000 -010000000000000111100000000111000000000000000010000001 -010000000000000000100000000101000000000001000000000001 -000000000000001000000000000000000000000000000000000000 +000000000000001000000000001101100001000001010000000000 +000000000000000001000000001011101000000001100000000000 +101000000000000011100000001111000000000001000100000000 +100000000000000001000000000001000000000011000000000000 +110000000000001000000000000000000000000000000000000000 000000000000000001000000000000000000000000000000000000 -000000000000001000000111110111101110001100110010000100 -000000000000001101000110100000111101110011000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000010011100000000000000000100000 -000000001110001111000010100001000000000001000000000000 -000000000000000000000000010000000000000000000100000000 -000000000000001011000011011011000000000010000000000000 +110000000000000011100000011000000000000000000100000000 +000000000000000000000010000001000000000010000000000000 +000000000000000000000000011101000000000001000100000000 +000000000000000000000010100101000000000011000000000100 +000000000000000000000000001011000000000001000100000000 +000000000000000000000010010001100000000011000000000000 +000000000000000101100110100000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000001111000000000001000100000000 +000000000000000000000000000001100000000011000000000000 .logic_tile 12 10 +000000000000000001100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000100000000000000010100000000000000010000000000110 -000001000000000000000000000000000000000000000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +101000000000010001000000011101100001000001010000000000 +100000000000000001000010001101001000000001100000000000 +110000000000000000000110011011100001000001100000000000 +000000000000000000010010000001001011000000110000000000 +110000000000000001100110000001100001000001010000000000 +000000000000000000000010100011101000000001100000000000 +110000000000000011100000000000000000000000000000000000 +000000001110000000100000000000000000000000000000000000 +000001000000100001100000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000001000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 .io_tile 13 10 000000000000000000 000100000000000000 @@ -2585,9 +2585,9 @@ 000000000000000000 000000000000000000 .io_tile 0 11 -000000000000100000 000000000000000000 -000000000000100000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2597,242 +2597,242 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000010000 000000000000000001 000000000000000000 000000000000000000 .logic_tile 1 11 -000000001110001111000000010001100000000000001000000000 -000000000000000011100011000000100000000000000000001000 -111000000000000000000111000111100000000000001000000000 -000000000000001111000100000000001101000000000000000000 -010000001110000011100000000001001000001100111110000001 -010000000000000000100000000000001111110011000000000000 -000000000000000000000000010001001000001100111100000000 -000000000000000000000011010000001101110011000000100000 -000000000000000000000011100101101000001100111100000000 -000000000000000000000000000000101111110011000000000000 -000000000000000000000000000101001000001100111100000001 -000000000000000000000000000000001101110011000000000000 -000000000000001000000011100111001000001100111100000000 -000000000000000011000000000000001111110011000000000000 -010000000000000101100000000001101000001100110101000010 -100000000000000000100000000000001101110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000001000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000001101100000000001100101000000 +000000000000000000000000000001101110000001010000000000 .logic_tile 2 11 -000000000000100000010000001111100001000010100000000001 -000000000000000000000011011101101111000010010000000000 -111000001000001000000000001101101011000010000000000001 -000000001010000101000000000101001011000000000000000000 -010100000000100011000010001000000000000010000000000000 -110000000000000101000100000001000000000000000000000000 -000000000000001101100010011000000000000000000101000000 -000000000100000101000010100011000000000010000000000000 -000000100000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000001 -000010000110000011100000001000000000000000000100000000 -000000001110000000100000000011000000000010000010000000 -000000000000000000000000000101000000000010000100000000 -000000000000000000000000001001000000000011001000100011 -010000000000000011100110001000000000000000000100000000 -100000000000000000100010100011000000000010000000000000 +000000000000001001000011100001000001000000100000000000 +000000000000000111100110000001101011000000000000000000 +101000000000000101000011001101011001011000000000000000 +100000000000000000000010011001111100101000000000000000 +110000000000000000000010100001000001000000010000000001 +110000000000000000000110110001101011000000000010000000 +000000000000000101000010001111111000001000000000000000 +000000000000000000000100000011111000001100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010111000000001111011010001000000100000001 +000000000000100000000000000011001110001100000000000000 +000000000000000011000010000101011001011000000100000000 +000000000000000011100100001001101110101000000010000000 +110010100000000111000011000000000000000000000000000000 +100001000000000000000000000000000000000000000000000000 .ramb_tile 3 11 -000000000000000111100010010001000000100000 -000000000000000001000011101101100000000000 -111000000000000000000011100000000000000000 -000000000000000000000100000001000000000000 -010000000000000000000010001111000000001000 -010000000000000000000011110101100000000000 -000000000000000111100000001000000000000000 -000000000000000000000000001001000000000000 -000000000000000111100111100011000000000000 -000000000000001111000000000001100000000000 -000010100000000000000000000000000000000000 -000001000000000000000000001101000000000000 -000000000000101000000000010111000000000000 -001000000001010011000011000011000000000000 -110000000000000001000000000000000000000000 -110000000000000000100000000011000000000000 +000000000000000000000000000000000000000000 +000000010001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 .logic_tile 4 11 -000001000100001011100010100111000001000010100000000100 -000000100000000011100011100101001010000010010000000000 -111000000001001000000000011011000001000001010000000000 -000000000000001011000011110101101011000001100000000000 -010000000000001011100110001111100001000001010000000000 -010001000000000001000000001101101001000001100000000000 -000000100000001111100110010111100000000010100000100000 -000001000000000001100011110001001111000010010000000000 -000000000000000111000010010011100000000001100100000000 -000000000000000000100011111101001110000001011000000000 -001000000000000011100000010011100000000001100100000000 -000000000000001001100010111001101100000001011001000000 -000000000000100111000000011011000000000001100100000000 -000000000001001101100011100001101110000001011000000000 -110000000000000111100000010001000000000001100100000000 -010000000000000000100010000101001100000001011000000000 +000000000000000111100000001001011100001000000100000000 +000000000000000000100010000001011001001100000000100000 +101000000000001000000000010000000000000000000000000000 +100000000000001011000011110000000000000000000000000000 +010000000000000000000111100000000000000000000000000000 +110000000000000000000110110000000000000000000000000000 +000000000000001011000010001101111110001000000100000010 +000000000000001011000100000101111011001100000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000111001101111111001000000100000000 +000000000000000000100100000101011100001100000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000111001001111110001000000100000010 +100000000000000000000100000101111100001100000000000000 .logic_tile 5 11 -000000000000000000000011110101100000000010000000000000 -000000000000000011000111000011000000000000000010100000 -111001000000001011100010110101111000110011110000000000 -000010000000000001100110110011011010010010100000000000 -010000100000000111000011111001101001111100000000000000 -010000000000001101000110001101111100011100000000000000 -000000000000001111000110011011111011101100000000000000 -000000000000000011100010111011001001111100000001000000 -000000000000000011000010100011011110000011010000000000 -000000000000101111000100001101111000000011110000000000 -000000000000001000000110001101011000000100000000100000 -000100000000001111000011101101001011001100000000000000 -000000000000000000000000000001101110001100110100000100 -000000000000000000000011100000010000110011000001000000 -010001000000000000000000011011100000000011000100000000 -100010000000010000000011100001100000000000000000000010 +000000000000000101000010110000000000000000000000000000 +000000001100000000000110000000000000000000000000000000 +101000000000000001000000000001001011100100000000000000 +100000000000001101000000000001111101010100000000000000 +110000000000000000000110000101100001000010100000000000 +010000000000000000000010010011101000000010010000000000 +000001000000000001100110000000011100001100110000000000 +000010100000001101000010100000001001110011000000000000 +010000000000000111100000001101011100001010000000000000 +000000000010100011100011110101111011001001000000000000 +000000000000000000000011010011100001000010100000000000 +000000000000000001010010111111101010000010010000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000001101100000000001100100000010 +000000000000000000000000000001101100000001010000000000 .logic_tile 6 11 -000000000000010001100010101101000001000000110000000000 -000000000000100000100010011101101111000000100000000000 -000001001100001111100110010101011000101011000000000000 -000010100000000001000011010001011010000011000000000000 -000001000000001101100010110011001001000110100000000000 -000010100000001111000010001011011010001111110000000000 -000010000110000001100110010011011111111000000001000000 -000001000000000000010010001011111001110000000000000000 -000000000000000111000111110011101100000010000000000000 -000000000000000000100011011001001111000000000000000000 -000000000000000011000000000011111001010000000000000000 -000000000000000111000000000111001000000000000000000100 -000010100100000000000111100001100001000000010000000000 -000000000000001111000000001101001000000000000000000000 -000000000000000000000111000011100001000000000000000000 -000000000010000000000000000111001011000000110000000000 +000000000000000001000110001001100000000011000000000100 +000000000000000000100000001101101100000001000000000010 +101000000000000000000110010001100000000000100000000000 +100000000000000000000011010101101011000000110000000001 +110000000000000000000000001001001001000100000000000100 +000000000000000001000010101111011010000000000000000000 +000000000000000001100010100000000000000000000100000000 +000000100000000000000000000111000000000010000000000000 +000000001110001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000001000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000001101000000000011000000000010000000000000 +000010100000100000000110100000000000000000000100000000 +000001000000110000000000000001000000000010000000000000 .logic_tile 7 11 -000000000000000011000010110111111111010000000000000000 -000000000000000000100110000111101001000000000001000000 -111000000000100011100110000101100001000000000001000000 -000010000001010000100011010011101111000000010001100000 -110001000000001000000010111001100000000001000000000000 -110010100000000101000110001001100000000000000000000000 -000010100000000000000110001111000000000000100000000000 -000001000000000000000011010111001011000000000000000100 -000010000000000011000000000001100001000000000000000010 -000000000000000000100000000111001101000000010000000000 -000000001110000000000000010011111011010000000000000000 -000000000000000000000010000011111011000000000000000001 -000000000000000011000010000111101011111100010100000001 -000000000000000000100000001101101001111100000000000000 -000001001100000000000000010001000000000001110100000010 -000000100000000001000010001001101000000000110000000000 +000000000000000011100000011011000001000000100000000000 +000000000000000000110011111101001010000000000001000000 +101100000000000011100010110001100000000000000000000100 +100000000000000001000010000011100000000001000000000000 +010000000000001001100000001001100000000000110000000000 +010000000000000101000000000101101010000000000000000000 +010000000000000001100010100011111000000100000000000000 +110000000000000101000000000001101010000000000000100010 +000001000000000011000000000000000000000000000000000000 +000010100000000011000000000000000000000000000000000000 +000000000000000000000000000111000000000001000010000000 +000000000000000000000010010111100000000000000000000000 +000000000000000000000011000101100000000001000000000000 +000000000000000011000000000011000000000000000000000000 +000000000000000000000000001000000000000000000101000000 +000000000000000000000000000001000000000010000000100000 .logic_tile 8 11 -000000000000000111000000001000000000000010000000000000 -000000000000000000110000001011000000000000000000000000 -111000100000010000000110100001100000000000000000100000 -000000001100000000000010010001100000000001000000000000 -111000000111010000000000001011100000000000000010000000 -010000000000100000000000000101000000000001000000000001 -000001000000000001100110111011111111000100000000000010 -000000100000001111110010101001101101000000000001000000 -000000000000010000000000011011111011010000000000000000 -000100000000000000000010011101111101000000000001000000 -000000001100000101100010101000000000000010000000000000 -000000001110000000000110111101000000000000000000000000 -000000000000010000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000011100000001000000000000000000101000000 -000000000000000000000000000101000000000010000000000000 +000000000000000000000111111000000000000010000000000000 +000000000010000000000110100111000000000000000000000000 +000000000000000001010000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000010000001101000001110100001000000 +000000001010000000000100001001011011000110100000000000 +000000000000000000000000001000000000000010000000000000 +000000000110000000000000001111000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +110000000001010000000000000000000000000000000000000000 +000000000000101000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +000000000000000001100000010000000000000000000000000000 +000000001010000000100010010000000000000000000000000000 .logic_tile 9 11 -000000000000000000000000000101000000000000001000000000 -000000000000000001000000000000000000000000000000001000 -111000000000000101000000000000000001000000001000000000 -000000000000000000100000000000001011000000000000000000 -110000000000001000000000010011000001000000001000000000 -110000000000000101000011100000101010000000000000000000 -000000000000000101100000010000000000000000001000000000 -000000000000000101000010100000001011000000000000000000 -000000000000000011100011100000001000111100000000100000 -000000000000000000100000000000000000111100000000000000 -000001000000000000000000001001000001000000010000000000 -000010100000000000000000000001001010000000000000000000 +000000000000000000000110110101100000000000001000000000 +000000000000000000000010100000100000000000000000001000 +101000000000000000000000010000000000000000001000000000 +100000000000000000000010100000001001000000000000000000 +010000000000001000000000000111000001000000001000000000 +010000000000000101000000000000001001000000000000000000 +000000000000000111100000010000000000000000001000000000 +000000000000000000100010000000001010000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000010 000000000000000000000011100000000000000000000000000000 000000000000000000000100000000000000000000000000000000 -000001000000000000000000000000000000000000000101000000 -000000100000000000000000000011000000000010000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000001101000000000101000000000000000000000000 +110000000000000000000000001000000000000000000101000000 +000000000000000000000000001011000000000010001000000000 .ramb_tile 10 11 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -001000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 +001010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 .logic_tile 11 11 -000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000100000000011000000000000000000000010000000000000 -000000000000000000000000000001000000000000000001000000 +000000000000000000010000000000000000000000000000000000 +101000000000000000000000010000000000000000000000000000 +100000000000000000000011010000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000000011000000000000000000110000000 +000000000000000000000011001101000000000010001000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000001001000000000010001000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 110000000000000000000000000000000000000000000000000000 -110000000000000000000011000000000000000000000000000000 -000000000000000000000010101000000000000000000101000000 -000000000000000000000000000001000000000010001000000000 -000000000000000000010000001000000000000000000110000000 -000000000000000000000000001101000000000010001000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000001101000000000010000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -.logic_tile 12 11 000000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -111000000010000000000000001001000000000000000100000000 -000000000000001011000000000111100000000001000000000000 -010000000000000000000000001101100000000000000100000000 -000000000000000000000000000001000000000001000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000001101100010110000000000000000000000000000 -000000000000000000000000001101100000000000000100000000 -000000000000000000000000000001100000000001000000000000 +.logic_tile 12 11 +000000000000000011000000000001100000000000001000000000 +000000000000000000100000000000000000000000000000001000 +000000000000000101000010100000000001000000001000000000 +000000000000000000000010100000001001000000000000000000 +000000000000000000000000000000001001001100111000100000 +000000000000000000000000000000001001110011000000100000 +000000000000000000000000000000001001001100110000000100 +000000000000000000000000000000001111110011000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000100000 +000000000000000000000000000001000000000000000000100000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000001000000000001101100000000000000100000000 -100000000000001111000000000111000000000001000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 .io_tile 13 11 000000000000000010 -000100000000010000 +000100000000000000 000000000000000000 000000000000000001 -000001011000100010 -000000001000010000 +000000110000100010 +000000000000110000 001100000000100000 000000000000000000 -000000110000000000 -000100001000000000 -000000000000010010 +000000000000000000 +000100000000000000 +000001111000010010 000000000000010000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 0 12 -000010000000000010 -000110110000000000 +000000000000000010 +000100000000000000 000000000000000000 000000000000000001 -000000000000000010 +000000000000100010 000000000000010000 000100000000000000 000000000000000000 -000000000000000000 -000100000000000000 +000000011000000000 +000100001000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2840,213 +2840,213 @@ 000000000000000000 000000000000000000 .logic_tile 1 12 -000000000000001111000000000011100000000000001000000000 -000000000000000011100000000000000000000000000000001000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000010000000001000000001000000000 -001000000000000000000010010000001100000000000000000000 -000000000000000000000000010000000000000000001000000000 -000000000000000000000011010000001011000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000001101100000000000000001000000001000000000 -000000000000000101000000000000001011000000000000000000 -000000000000100000000000000000000001000000001000000000 -001000000001000000000000000000001110000000000000000000 -000000000000000111000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000010 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 12 -000000000000000000000000000011100000000000001000000000 -000000000000000111000011000000000000000000000000001000 -111000000000000011100011110000000000000000001000000000 -000000000000000111000111110000001111000000000000000000 -010000000000000000000000000000001000001100110000000000 -011000000000000101000000000000001000110011000000000010 -000000000000000000000111001000000000000010000000000100 -000000000000000000000000000001000000000000000000000000 -000000000000100000000011101000000000000010000000000000 -000000000001000000000011101001000000000000000000000000 -000000000000000011100000001000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000001000010010011111000000000001100110000000 -001000000000001011000111001101001101000001011000000000 -010000000000000000000000000111000000000001100101000000 -010000000000000000000000000101101101000001011000000000 +010000000000001001100000001011100001000000000000000000 +000000000000011111000011001001001110000000010000000000 +101000000000000001000000011111100001000000100000000000 +100000000000000001000011111101001100000000000000000000 +110000000000001111000110011111000000000001100100000000 +010000000000000001100011100001101010000001010000000000 +010000000000001001100110011101000000000001100100000000 +001000001100000001000011110001101000000001010000000000 +010000000000000000000000011101100000000001100100000000 +000000000000000000000011110001001000000001010000000001 +000000000000000111000000001101100000000001100100000000 +001000000000000000000000000001001010000001010000000001 +000000000000000000000000011111100000000001100100000010 +001000000000000000000010000001101001000001010000000000 +000000000000000001000000011101100000000001100100000010 +000000000000000000000010000001101011000001010000000000 .ramt_tile 3 12 -000000000000001111000011101011100000000000 -000000010000001011000000001111100000000000 -111000000000000000000011100000000000000000 -000000010000000000000000001101000000000000 -000000000000000000000000000001000000000010 -000000000000000000000010000001000000000000 -000000000000001001000000000000000000000000 -000000000000000111100000001111000000000000 -000001000001010000000000000001000000000000 -000000100000000111000000000011100000000001 -000000000000000000000010001000000000000000 -000000000000000000000011100111000000000000 -000000001100000000000000001101100000000000 -000000000000000000000011101011000000000000 -010000000000000000000010101000000000000000 -110000000000000111000000001001000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 12 -000000000001011000000111111011100000000001010000000001 -000000000000000011000111110011001010000001100000000000 -111000000000001000000110011101111101010000000000000000 -000000000000001011000110011011111011000000000000000010 -010000000000000111000111001000000000000010000000000000 -011000000000000000000011001111000000000000000001000000 -000000000000001001100110010101100000000000000000000000 -001000000000000011000110011011001001000000010000000000 -000000000000000000000010000101000000000001010000000000 -000000000010001111000011101101101010000001100000000010 -000000000000101111100111011001100001000000100000000000 -000000001110001111000111111011101001000000000000000000 -000000000000000000000000000111100000000001100100000000 -001000001110000000000011100001101101000001011001000000 -010000000000000000000111011011000000000001100101000000 -010000000000000000000110110101001111000001011000000000 +010000000000001000000000001001101111100000000000000010 +001000000010000111000010010101011101000000000000000000 +101010000000001001100110001111000000000000100000000000 +100001000100000111000000001111101100000000000001000000 +110000000000000000000000001001101010010000000000000000 +010000000000000000000011010001111000110000000000000000 +000000000000000111100000000001000001000000000001000000 +001000000000000000100011111011101111000000010000000000 +000000000000000001000011000011000000000000000000000000 +001000000000000000100111000111001111000000010001000000 +000010000000000000000000000011100001000000000000000000 +000001000000000001000010010111001011000000010000000000 +000000000000001001000011000000000000000000000100000000 +001000000000000011000111110111000000000010000000000000 +010000000000000000000000000000000000000000000100000010 +000000000000000000000010001111000000000010000000000001 .logic_tile 5 12 -000000000000000001100111011101000000000000100000000000 -000010100000001011000011000001101010000000000000000000 -000000000000001001000110011011011011000100000000000000 -000000000000000001100010000001101011000000000000000000 -000000000000000001100000011001011011010111000000100000 -001000000000001111000011001011101001111111000000000000 -000100000010001011100010100001001100010000000000000100 -000100000000001111000010010011101000000000000000100000 -000000000000001011000000010011000000000000100000000000 -000000000000001011110011100011001000000000110000000000 -000000000000000000000000000101001000000000000000000000 -000000000000000000000011110111011100100000000000000010 -000100000000011011100000000001100001000000000000000000 -001000000000101011110000000111101011000000010000000000 -000000000000000111100000000111100001000000000000000000 -001000000000000000100011110101001111000000010000000000 +010000000000001101000011111001100000000011110000000000 +000000000000000001000010000111001001000011100000000000 +101000000000010001100010011001000001000000000000000000 +100000000000100001000011011101001001000000010000000100 +110000000000001111000000000111000001000010000000000000 +110000000000000001000000000101101011000000000001000000 +011010100000000011100010010000000000000010000000000100 +111001000000000101110011011001000000000000000000000000 +000000000000000000000010001001000000000000000000000000 +000000000000000111000100001011100000000011000000000010 +000010100001010001000000001101111110000010000000000000 +001001000000100000000010000011011101000000000000000000 +010000000000000011100111101101000001000000010010000100 +110000000000000000000011011001001000000000000000000000 +000000000000100011100111101011001110000111110100100000 +000000000000000000100000000101001000000011110000000000 .logic_tile 6 12 -000010000000001001000010001011111011010010100000000000 -000001000000000111000110011101011111110011110000000000 -111000000010000000000011111111100000000001000000000000 -000010000000000000000011100111000000000000000000000000 -110000000000001111000110110001000000000000000001000001 -010000000000000011000111010101101011000000010000000001 -000000000000001101100011101111000000000000010000000000 -000000000000000001100010101001101010000000000000000000 -000000000000000000000010010011000000000001000000000100 -000000000000010000000011001001100000000000000000000000 -000000000011011001000000000101111001110000000010100000 -000000000000100111000000001111011010100000000001000000 -000000000000000001100010110101101010000000000010000000 -001010000000000000000110000111011000100000000000000000 -110000000000000101100111100000000000000000000100000000 -010000000000001101100100000001000000000010001000000001 +010000000000000000000110001001000000000010000000000000 +000000000010000000000011100001100000000000000001000100 +101000000000000001100110010000000000000000000000000000 +100000001110001001000011110000000000000000000000000000 +010000000000100001000111001101011010010000000010000001 +010000000000010000000110000101101010001000000001000100 +000000001010000001100000000101011001110000000000000000 +001000000000001011000000001101011000000011000000000000 +000000000000000000000111011001111101000010000000000000 +001000000000001111000111111011111100000000000001000000 +000000000000000000000110110011001100001100110000000000 +001000000000000001000010000000100000110011000000000000 +001000000000000000000000000000000000000000000100000010 +000000000000000000000000001101000000000010000000000001 +010000000000010000000000000000000000000000000100000010 +000000000000000000000000001011000000000010000000000000 .logic_tile 7 12 -000000000000001000000110010111111011010000000000100000 -000000000000000101000010100011101110000000000000000000 -111000000010001101100000011101011000000011110001000000 -000000000000001111100010001111001111100011110000000000 -110000000000001001000110100101101111001100000000000000 -010000000000010001000011000011101111001000000000000000 -000000000000001101100011110101100000000010000000000000 -000010000000001111100010000011000000000000000000000000 -000000000000000101000111100101001011010000000000100000 -000000000000000111100110001001011011000000000000000000 -000010100001000000000000000001100000000001000000000000 -000001000000000000000000001011100000000000000000000000 -000000000010100000000000011001011000101101010100100000 -001000000001010001000010000111101000001100000001000001 -000010100000000001110110010111101010111100010100000010 -000001000110000000000011001001111101111100000000000000 +010000000000000011100000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +101000000001010101100011001001011010010000000010000010 +100000000000000000000100001001101000001000000000000000 +010001000000001011100011111001011000000100000000000101 +110000100000001111000011000011001001100000000000000100 +000010100000000101100000000101011101000000000000100000 +001001000000000000000000001101001000110000000000000100 +000000000000000000000000001001011000000100000010000001 +000000000000000000000000000101011001100000000000000000 +000100000010000000000000001011101010010010000010000100 +001000000000000001000011100001001011000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001011000000000010000000100000 +010000000000100000000000000000000000000000000100000010 +000000000000000000000000000101000000000010000000000000 .logic_tile 8 12 -000001000000001011000110000011100000000000001000000000 -000000100000001111000010100000000000000000000000001000 -111000000000001001100000010101100000000000001000000000 -000000000000001011000010000000000000000000000000000000 -010010100000000011000111000101001000001100110000000100 -111000000001001011000110010000101110110011000000000010 -000010000000000111000010101011001001000011000000000000 -000000000000110000100111100001111101000001000001000000 -000000000000010101100000011001000000000001000000000000 -000000000000100000000011111001100000000000000010000000 -000010100000000001000010000101000000000000000000000000 -000011000001010000000000001111001100000000010000000000 -000010000000010011100000011101011011111100000000000000 -001001001110100000100011001101011000011100000000000000 -010000000000100000000000000001000000000011000100000100 -100000000010010000000000000001000000000010000010000000 +000000000000000000000000000000000000000010000000100000 +000000000000000000000000000000000000000000000001100100 +000001000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000010000000000010 +000000000000000000000000000011000000000000000000000000 .logic_tile 9 12 -000000000000001111000000010101100000000000000001000001 -000000000000000011000011001001101000000000010000000000 -111000000000000001100000000111111001000000000000000000 -000000000000000000000011111111011011100000000000000000 -010000100010000011000010000111100001001100110001000000 -111001000000000111000000000000101101110011000000000000 -000000000000000111100110010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000001000000000001100000000001001101000100000010000000 -000010100000000000000000001001001111100000000000000000 -000000000011010000000000000101101110000010000000000001 -000000000000100000000011110101011110000000000000000000 -000000000000000000000111100001100000000000000000000000 -001000000000000011000010000101000000000001000000000000 -010000000000000111000010110101100000000010000100000000 -100000001010000000100111001111100000000000000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 12 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000110000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000010000000000000000000000000000000 .logic_tile 11 12 +000000000000000011100000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +101000000000000000000000001000000000000000000100000000 +100000000000000000000000001111000000000010000001000000 +110000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000110000000 +001010000000000000000011001001000000000010000000000000 000000000000000000000000000000000000000000000000000000 -001100000000001011000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -001000001110000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000001000000 -000000000000000000000000001111000000000001000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011100000001001000000000000000110000000 -000010100000000000100000000011000000000001000010000000 -000000000000000000000000001011000000000000000100000000 -001000000000000000000010000111100000000001000000000000 -010000000000000011100110101111100000000000000100000000 -100000000000000000100000000011100000000001000010000000 +001000000000001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000001011000000000010000000000000 +110000000000000000000000000000000000000000000100000000 +011010000000000000000010000001000000000010000010000000 .logic_tile 12 12 -000000000000000011100000000001100000000000001000000000 -001000000000000000100010100000000000000000000000001000 -000000000000000101100010100000000000000000001000000000 -000000000000000101000000000000001000000000000000000000 -000000000000000101000000000000001000001100111000000000 -001000000000000000000000000000001010110011000000000000 -000000000000000000000000010000001001001100111000000000 -000000000100000000000010100000001011110011000000000000 -000010100000000000000011100000001000001100111000000000 -000001000000000000000100000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -001000000000000000000000000000001001110011000000000000 -000010100000000000000000000000001001001100111000000000 -001001000000000000000000000000001000110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +100000000000000000010000000000000000000000000000000000 +110000000000000000000111000000000000000000000110000000 +101000000000000000000000001011000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000111000000000010000000000100 +000000000000000000000000000000000000000000000100000100 +000000000000000000000011001101000000000010000000000000 +000000000000000000000000000000000000000000000100000100 +001000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +110000000000000101100000000000000000000000000000000000 +111000000000000000100000000000000000000000000000000000 .io_tile 13 12 -000001111000000010 -000000001000000000 +000000000000000010 000000000000000000 +000001110000000000 000000000000000001 000000000000010010 000000000000110000 @@ -3055,18 +3055,18 @@ 000000000000000000 000100000000000000 000000000000000010 -000000000000010000 +000000000000110000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 -.io_tile 0 13 -000010000000000010 -000101010000000000 +.io_tile 0 13 +000000000000000010 +000100000000000000 000000000000000000 000000000000000001 -000000000000000010 -000000000000010000 +000010000000010010 +000001110000010000 000100000000000000 000000000000000000 000000000000000000 @@ -3078,211 +3078,211 @@ 000000000000000000 000000000000000000 .logic_tile 1 13 -000100000000000111000110000001100000000000001000000000 -000100000000001001100100000000100000000000000000001000 -000000000000000011000011000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000011000000000001000000001000000000 -001000000000000000000000000000001101000000000000000000 -001000000000010000000000000000000001000000001000000000 -000000000000100000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000010000000000000000000000000000000000000001000000000 -000001001110000000000010000000001001000000000000000000 -000000000000000000000000000000000000000000001000000000 -001000000000000000000000000000001011000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 13 -000000000000000001000000000101100000000001000000000000 -000000000000000000000011101101000000000000000000000010 -111000000000000111000011111001111010000011010010000000 -000000000000000111100111101001001000000011000000000000 -010000000000000001000110100111000000000000000000000010 -010000000000000000100100001011100000000001000010000000 -001000000000001111000110111001100000000011000000000000 -000000000000000001100011110001101000000001000010000000 -000000000000100011100000000001100000000000110000000000 -000000000001010011000011000101101100000000010001000000 -000000000000001000000010101101111001110000000000000000 -000000000000000011000100001101101101010000000010000000 -000000000000000000000000001000000000000000000100000000 -001001000000000000000011110011000000000010000000000010 -110000000000000111100000000000000000000000000100100000 -110000000000000000110000000011000000000010000000000000 +010000000000001111100111100000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +101000000000000000000111001001001100110011000001000000 +100000000000000000000100000011011001000000000000000000 +110000000000000111000000001101111001010000000000000100 +110000000000000000100000000011011010110000000000000000 +000000000000001111000010000101000000000000100001000000 +001000000000000001000000000111001000000000110000000000 +000000000000000011100000011000000000000000000110000000 +000000000000000000100011111101000000000010000000000000 +000000000000010111100000000000000000000000000101000000 +000000000000101001000000000011000000000010000000000000 +000000000000000000010011100000000000000000000000000000 +000000000000001001000100000000000000000000000000000000 +010000000000010000000000000000000000000000000100000000 +000000000000100000000000001001000000000010000010000000 .ramb_tile 3 13 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000110000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110100000000000000000000000000000 -000100000000010000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000100000000000000000000000000000 +001000000110000000000000000000000000000000 +000000000001010000010000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000001011010000000000000000000000000000 -000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 13 -000000100000000000000011110000000000000010000000000000 -000000001000000111000011110111000000000000000000000010 -111000000000001111100110011011000000000001000000000000 -000000000001011011100011110101100000000000000000000001 -010000000000000011100110000001100000000000010000000000 -011000000000000000100000000101001011000000000000000000 -000000000000000000000110000101100000000001000000000000 -000000000000001111000000001001101111000011000000000000 -000000000000000000000111011000000000000010000000100000 -000000000000000000000011111001000000000000000001000000 -000000000000000000000000000000000000000010000000100000 -000000000000000000000000001011000000000000000000000000 -000000100000000011100000001000000000000010000000100000 -001000000000000000000011100111000000000000000000000010 -010000000001000101100000000001000001001100110100000000 -010000000000000000100000000000001001110011000000000010 +010010000000000001100110101011001001010000000010000000 +000001000000000000100111010001011100110000000000000000 +101000000000001001000000001111101010110000000000000000 +100000000000000111000010100101001011100000000000000100 +110000000000001001100000000101101010110011000000000000 +110000000000000011100011011011101100000000000000000000 +000001000000001000000110001001000000000000100010000000 +001000100000000001000010100001101000000000000000000000 +010001000000000001000011011101001101000100000000000000 +000000000000001011000011111001011101000000000000000000 +000000000000001011100000011111111101110011000000000000 +000000000000001011100011100111011111000000000000000000 +000000000000000000000010001000000000000010000000000000 +000000000000000000000000001101000000000000000000100000 +000000000000000111100000001000000000000000000100000000 +000000000000000001000011110011000000000010000000000000 .logic_tile 5 13 -000000000000000111100010001001000000000000000001000000 -000000000000000000100010001111000000000001000000000000 -111000000100000000000110011011011110101000000001000000 -000000000000000000000010001101111001100100000000000000 -010000000000000001000011001011100000000000110000000000 -011000000000000001100000000111001011000000010000000000 -000000000000000000000000011111000000000000000000000010 -000000000000000000000010001001000000000001000000000010 -000000000000000111100000011011000001000000010000000000 -000000000000000000100010001111001001000000000010000001 -000000000000000111100000000101000000000001000000000000 -000000001100000000100000000101000000000000000001000000 -000000000000000011000000000001100000000011000000000000 -001000000000000000000000000111100000000010000011100000 -000000000000100111100011011000000000000000000100000000 -000000000000000000000111011111000000000010000000000000 +010000100000000111000010011101101100000110100001000000 +000001000000000111000010010001111011001111110000000000 +101000000000001101000000001101111011010000000000100000 +100000000100000111100011010011101000110000000000000000 +110000100001000011000111111001011011000010000000000000 +010001000010000000000110011111111001000000000001000000 +000000000001010011100010010001001010000110100000000000 +001000000000100000000110001011001011001111110000000000 +000000100000000011000011100001011110000110100000000000 +000000000000000000110010011111011001001111110010000000 +000000001010000111000000010000000000000000000100000001 +000000000000000000000011110011000000000010000000000000 +000011101110000000000011101000000000000000000110000000 +000001000000101111000000001011000000000010000000000000 +010010100100000000000110110000000000000000000100100000 +000000000000000000000010010111000000000010000000000000 .logic_tile 6 13 -000000000100000111100010000011111010000011000000000000 -000000000001010001010011010001001011000001000000000000 -111001100000000000000110011011000000000000000000000000 -000001000000001111000010101001100000000001000000000000 -010001000000001001100010000101100000000000000001000001 -011010001100000001010110100101100000000001000000000001 -000000000000001000000000010001100000000011000000000000 -000000000001010101000010100001101011000001000000000100 -000000000110000000000000011101100001000000100000000010 -000000100000000000000011010001101110000000110000000000 -000000000000000111000000000111000001000000000000100000 -000100000000001111000000001001101110000000010000000000 -000110000000000000000110011101101011110000000000000000 -001000001100000000000011010001101100100000000001000000 -010000000000000011100000000000000000000000000110000000 -100000000000001111000000001111000000000010000000000000 +010010100000000001000110100111101011100000000000000000 +000001000000001001000000001101111000000000000000000000 +101000000000001011100111111011101010111001010000000000 +100000000000000001100111111101101010110000000010000110 +110000100000001111000110001111000001000000100000000000 +010000000000000001000011011101101010000000110000000000 +000000000000001111100010001011011111110011000000000000 +001000000000001111110110110101001000000000000000000000 +000000000000000001000111010011001111110011000000000000 +000000000010001001000011010001001111000000000000000000 +000001000000000000000110010111000000000000100000000000 +001011000000000000000011110001101001000000110000000001 +000000000000000011100111001011101100110011000000000000 +000000000000001001100011011001111110000000000000000000 +010001000001010011000011111000000000000000000100000000 +000000100001010000000010001001000000000010000000000001 .logic_tile 7 13 -000000000000101101100111100001001010000000000000000000 -000000000001010111000111100101101001100000000010000000 -111000000000001001100110011001100000000000110000000000 -000000000000000001000111100101001101000000100000000000 -010010100000001001100111001001100000000000000000000010 -111001000000000111000011101011001000000000010000000000 -000001000000001011100111100101100000000000000000100000 -000010001000000111100000001111101011000000010000100000 -000000000000000111100011101001111101010000000000000000 -000000000000000000100000000101101001000000000000000000 -000000000000000111000000010101100000000001000000000000 -000000001010000111100010000011100000000000000000100000 -000000000000000000000011111011100000000000010000000000 -001000000001010000000010010011001111000000110000000000 -010000000000000000000000000000000000000000000100000000 -101000000000000000000000000001000000000010000000000010 +010000000000000101000010100011111011010000000001000000 +000000000000000000000010101101001011110000000000000000 +000000000010001101000011110011011010010000000000100000 +000001000000000111000011111001001011110000000000000000 +000000000000000101010010110101011000010000000000000010 +000000000000000001100010101101011111110000000000000000 +000000000000000001100110000111101010010000000000000010 +001001000000000011000010100011001101110000000000000000 +000000000000001011100010010101101000000110100010000000 +000000000000000011000111001001111101001111110000000000 +000000001110000011100000000111111000000110100000000000 +000000001000000000000011100011111000001111110000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010011000011101011111000000110100000000000 +000000000000000000000000000001101000001111110000000000 .logic_tile 8 13 -000000000000000101000010100001000000000000001000000000 -000000000000000000100100000000101100000000000000000000 -111000000000000101100011100011001000001100111000000000 -000000000000000000100100000000101000110011000000000100 -010000000000001000000010000001101000001100111000000000 -111000000000000011010000000000000000110011000000000100 -000000000010001101100111100000001000001100110000000000 -000000000000001001000000000000000000110011000000000000 -000000000110000000000000011101011101000011100000000000 -000000000000001101000010111101001111000001110000000000 -000000001100000111100000001111011101010000000000000000 -000000000000000000100011110101111001110000000000000010 -000000000000000000000111001111100000000001000000000000 -001000000000000000000100000101000000000000000001000000 -010000000000001000000110000000000000000000000100000000 -101000000000000001000000000101000000000010000010000000 +010010000000000001100000000011111000001100110000000000 +000000000000000000000010110000000000110011000000000010 +101000000010000011100110001101100000000000000000100000 +100000000000000011000000000011100000000011000001000000 +110000000000001000000010101001111111000100000001000000 +010000000000000001000100000101101100000000000000000000 +000000000000000001100110011001100000000000000000000000 +001000000000000000000010001101000000000001000000100000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011011001000000000010000000000000 +000000000000001001100000000000000000000000000100000010 +000000000000000001000000000101000000000010001000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010001000000000 +110000000000001011000000000000000000000010000100000000 +110000000110000011000000000001000000000000000000000000 .logic_tile 9 13 -000000000001011000000010000101000000000001100000000000 -000000000000100001000011011001001101000001010001000000 -111001000001010101110000010101000000000001000000000010 -000000000000000000000010000111100000000000000000000000 -110010100000011000000111001001100000000000000000000000 -111001000000000111000100001001001000000000010000000001 -000000000001100111100110000000000000000000000000000000 -000000000001010101000000000000000000000000000000000000 -000000100000000000000000011000000000000010000000000001 -000001000000000000000011111101000000000000000000000000 -000000000010000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001011000111110011111011001100000000000000 -001000000000000001100011110111001111000100000000000000 -000000000000000000000110000101100000000000110100000100 -000000000000000000000100001111001001000000010000000000 +010000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +101000000101000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000001000000000000000000000000000100000001 +110000000000000000000000000101000000000010000001000001 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000100000000 +000000001000000000000000001001000000000010000001000010 .ramb_tile 10 13 -000001001110000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 13 -000000000000001011100000001001011000111111110000000000 -001000000000001111100000001101011000001111110001000000 -111000000000000111100011001011000000000000000100000000 -000000000000000000000100000001100000000001000000000000 -010000000000000000000000001011100000000000000100000000 -001000000000000000000000000101000000000001000000000000 -000000000000000111100010001111000000000000000100000000 -000000000000000000000000000001100000000001000000000000 -000000000000000101100000011011000000000000000100000000 -000000000000000000000010100101000000000001000000000010 -000000000000001101100000011011100000000000000100000000 -000000000000000101000010100001000000000001000000000000 -000010100000001000000110101111000000000000000100000000 -001001000000000101000000000101000000000001000000000000 -010000000000000000000000001011100000000000000100000000 -100000000000000000000000000001100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 13 -000000000000000011110110100000001001001100111000000000 -001000000000000000100000000000001001110011000000010000 -000001000000000000000000010000001000001100111000000000 -000000000000000000000010100000001000110011000000000000 -000000000000000101100000010000001000001100111000000000 -001000000000000000000010100000001010110011000000000000 -000000000000000101110110100000001000001100111000000000 -000000000000001101000000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -001000001110000000000000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -001000000000000000000000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 13 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -3299,226 +3299,226 @@ 000000000000000000 000000000000000000 .io_tile 0 14 -000000000000000010 +000001110000000010 000100000000000000 000000000000000000 000000000000000001 -000001010000010010 -000000111000010000 -001100000000000000 -000000000000000000 +000000000000010010 +000000000000110000 +001110000000000000 +000000110000000000 000000000000000000 000100000000000000 -000000000000010010 +000000000000000010 000000000000010000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .logic_tile 1 14 -000000000000001001000000000001100000000000001000000000 -000000000000001111000000000000000000000000000000001000 -000000000000000111000000000001000000000000001000000000 -000000000000000000100000000000001111000000000000000000 -000000000000000000000010000000000000000000001000000000 -000000000000000000000000000000001011000000000000000000 -000000000000000101000000000000000000000000001000000000 -000000000000000000100000000000001101000000000000000000 -000000000000000000000011100000000001000000001000000000 -000000000000000111000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000011100000001100000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 14 -000000000000000000000010100001100000000000001000000000 -000000000000000000000110110000100000000000000000001000 -000000100000110000010111100000000000000000001000000000 -000001000001100000000010110000001011000000000000000000 -000000100000000000000000000000001001001100111000000000 -000000000000000000010011010000001001110011000000000000 -000000000000000101000000000000001000001100111000000000 -000000000000001101100000000000001001110011000000000000 -000000000001010011000000000000001000001100111000000000 -000000000000100000100000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000001000000000000000000000000001001001100111000000000 -000000100000000000000000000000001010110011000000000001 -000000000000000000000000000000001000001100110000000000 -000000000000000000000000000000001100110011000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +101000000000000011100011001111101011010000000000100000 +100000000000000000100000001001001000110000000000000000 +010000000000000111000000001000000000000000000111000000 +010000000000000000100000000011000000000010000000000000 +000000000001010000000000000000000000000000000110000000 +000000000000100000000000000001000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000011001000000000000000000100100000 +000000000000000001000000001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 14 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000010010100000000000000000000000000000 -111000000000000111100000001000000000000010000000000000 -000000000110000000100000000011000000000000000001000000 -010000000000010000000000000000000000000000000000000000 -010000000000100000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111000000010000000000000000000000000000 -000000000000000000100010100000000000000000000000000000 -000000000000000000000000001000000000000010000000100000 -000000000000000000000000001011000000000000000000000000 -000000000000000000000000001101100000000010010100100000 -000000000000000000000000000001101100000010100000000100 +000010000000000001100010101001011100011111110000000000 +000000000000001011000010100101001001111111110000000000 +000010100000000011100000001001111110110011000000000000 +000001000000000001100011010111111101000000000000000000 +000000000000101000000010010101101010010000000000100000 +000000000000000001000111101101011110110000000000000000 +010000000000001101010110001101101100000100000001000100 +000000000000000111000011110001111001000000000000000100 +000000000000000000000111001101101110000010000000000010 +000000000000000000000110001001011000000000000000000000 +000000000000001001100111111011111101110011000000000000 +000010000000001111000111111101101111000000000000000000 +000000000000000011100000001011100000000001000010000000 +000000000000000000000011000001100000000000000000000000 +001000000000000000000010000001100000000001000000000000 +000000000000001001000010000101000000000000000000000000 .logic_tile 5 14 -000000000000000101000000010111100000000000001000000000 -000000000000000000100010000000100000000000000000001000 -111000000000101000000000000000000001000000001000000000 -000000000000001111000000000000001110000000000000000000 -110000000000000000000000000000001001001100111000000000 -010000000000000000000000000000001110110011000000000000 -000010100000000000000000000000001001001100111000000000 -000001000000010000000000000000001101110011000000000000 -000000000000000000000000000000001000001100110000000000 -000000000000000000000011100000000000110011000000000000 -000000000000000101100110101001000000000001010000100000 -000000000100000000000000000011101000000001100000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000001101100000010000000000000000000000000000 -000000000000000101000010100000000000000000000000000000 +000000000110000001000011000011011111010000000000100000 +000000000000000000000111011011001001110000000000000000 +000000000000001000000110010101111000000110100000000000 +000000000000000111000010000001001110001111110000000010 +000000000001001001000110000011111011000110100000000000 +000000000110101111100000000101101110001111110001000000 +001000000001011011000010000011111100000110100000000000 +000000000000000111000100000001101001001111110000000000 +000000000000000011000000011111001010000010000000000000 +000000000000000001100011001101001110000000000000000000 +000000000000000111100111101111001011010000000000000000 +000000000000000001100011110101011100110000000000000000 +000001000000000011100000011111111000010000000000000000 +000000100000001011100011100001111100110000000000000000 +000000000100001101000000000001011100000110100000000000 +000000000000000101100011100001101111001111110000000000 .logic_tile 6 14 -000000000000001001000110000101000000000000000000000000 -000000000000000001110011101011000000000001000000000010 -111000000000000101110110001001000000000011010000000000 -000000000000010000000000000011001000000011000000100000 -010000000000001000000110100000000000000000000000000000 -010000000000000011000000000000000000000000000000000000 -000000000000100000000010101101000000000001010001000010 -000000001010000000000100001001101100000001100000000000 -001000000000000101000000011000000000000000000100100001 -000000000000000000100010111101000000000010000000000000 -000000000000000101100000001000000000000000000100100000 -000000000000000000100010110001000000000010000000000100 -000000001100000000000000001000000000000000000100000100 -000000000010000000000000001011000000000010000001000000 -010000000000000000000000000000000000000000000101000100 -100000000000000000000000001001000000000010000000000000 +000000100000001000000110011011011100110011000000000000 +000000000000000001000011011001011111000000000000000000 +101000000000101001000011001101101011010000000000000000 +100000000000010111000111101001111100110000000001000000 +010000000000100111000111001101000000000000000000000000 +010000001100011101000010011011000000000001000000000000 +000000000000001000000111000001001011100000000000000000 +000000000100001111000000001111001111000000000000000000 +010000001010000011000000011111001010000110100000000000 +000000100000001111100010000011011100001111110000000000 +000010100000110011000010110011011001101000000000000000 +000000000000100001000110101001101000011000000000100100 +000000000001000000000111011101000000000010000000000100 +000000000000100011000110101011000000000000000000000000 +010000000000100000010000011000000000000000000101000010 +000000000000010000000010110101000000000010000000000000 .logic_tile 7 14 -000000000000001111000110011001000000000001000000000001 -000000000000001111000010001001000000000000000000000000 -111000000000001000000010000001111011101000100001000100 -000000000000000001000111011001011011110100100000000000 -110000000000000001000110001001001111100110100000000000 -100000000000000000010000000101011001100101010000000000 -000000000000001001100111111111001101000000000000000000 -000000000000000001000110101011011011110000000000000000 -000000000000000011100000001011000000000010000000000000 -000000000000000000010010011111001011000011000000000000 -000000000000000000000011101001000001000011000000000000 -000000000000000000000100000101001011000000110000000000 -000000000000000011100000000111111000001100000100000000 -000000000000000000000010000101011001001101010000100000 -000000000000000000000011100000000000000000000000000000 -000001000000001001000100000000000000000000000000000000 +000000000000000011000110001101101101101000000001000000 +000000000000001001000010011001101000011000000000000001 +101000000000000000000111111111101011000110100000000000 +100100000000000001000011101111001101001111110000000000 +010000000000001001000011100001011010110011000000000000 +010000000000001111000111100111001000000000000000000000 +010000000000000000000111111011111010000010000000000000 +000000000000000111000110001001111010000000000000000000 +000000000000000111000111001011111110000010000000000000 +000000000000000000100011111111001011000000000000000000 +001001000000001101100010010011011101000110100000000000 +000010000000000001000010101101111111001111110000100000 +000001000000000111100000001001000000000001000000000000 +000010000000000000000000000011100000000000000000000000 +010000000000001111000110000000000000000000000100100000 +000000000000000101100011001011000000000010000000000000 .logic_tile 8 14 -000001000000001000000011100111000000001100110000000000 -000010000010000111000011010000000000110011000000000000 -111000000001011011100111000001000000000001000000000000 -000000000000101011100100001001100000000000000000000000 -110000000000001111000010110011011101101011110000000000 -100000000000000111000010001101111000011011110000000000 -000000000000001000000010000001000000000000000001000000 -000000000000000001000111001001100000000011000000000000 -000000000000001000000010101101111000100101010000000000 -000100000000000001000000000111101010100110100000000000 -000010000000000001000010000101111101001100000100000100 -000001000000000000100110010101111010001101010000000000 -000010000000000101100000000011101011110000000100000000 -000001000000001001000000000001011101111000000000000010 -000001000000000101100000000111001101110000000100000000 -000010000000000000000000000101001001111001010000100000 +000000000000001000000000000011000000000000001000000000 +000000000000001011010000000000000000000000000000001000 +101001000100000011000000000101100000000000001000000000 +100000100000000000100010100000101010000000000000000000 +010000000000001000000011000101101000001100111000000000 +110000000000001011000000000000001000110011000000000000 +000000000000000000000010100001101000001100110000000000 +000000000000000101000000000000101010110011000000000000 +000000000000001011000000000000000000000000000100000000 +000000000000000011000000000011000000000010000001000000 +000000000000001000000000001000000000000000000100100000 +000000000000001111000000000001000000000010000000000010 +000000000000000000000000001000000000000000000100100000 +000000000000000000000000000011000000000010000000000010 +010000000001000000000000001000000000000000000100000000 +000000000000000001000000000111000000000010000010000000 .logic_tile 9 14 -000000000000001101100111101101011001101100100000000000 -000000000000000001100100001001101011110101000000000000 -111000000000001011000110011101000000000000000000000000 -000000000000000001000010001111100000000001000000000000 -110000000000000011000110011001000000000001000000000000 -010000000000000000000010001111000000000000000000000000 -000000000000000111100011000011111010001100110000000000 -000000000000000000000111000000001001110011000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000011101111000000000011000001000000 -000010000011001001000000001001000000000011000000000000 -000001000000100111100000001101000000000000000000000000 -000000000000000000000000001111100001000000010000000000 -000100000000000000000000000001001011000000000000000000 -010100000000001000000010011101000001000001000101000110 -100101000000001101000011011001001100000011000000000000 +000000000000001011100111010001111100110011110000000000 +000000000000000001100111110101001110010010100000000000 +101000000001001011100010100011101111110011110000000000 +100000000010000001100011010101001100010010100000000000 +011000000000000011100000010101101101110000000000000000 +010000000000001001100010001011001010000000000000000000 +000000000000001001100011011111011000110000000000000000 +000000000000000101000110001011101011000000000001000000 +000000000000000001100010001001011010110000000000000000 +000000000000000001000110011001111000000000000000000000 +000000000000100001000111011011011100110011000000000000 +000000000001011001000111110111011101010010000000000000 +000010000000000111100111101001011001110011000000000000 +000001000000000000000100001101011111010010000000000000 +010000000000000000000011100000000000000000000100000000 +000000000000001001000000001011000000000010000001000000 .ramt_tile 10 14 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 14 -000000000000000000000000011000000000000010000000000000 -000000000000000000000011001001000000000000000000000000 -111000000000010000000110011000000000000010000000000000 -000000000000000000000010001001000000000000000000000000 -010000000000000000000010000000000000000000000000000000 -010000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000101100001001100110100000000 -000000000000000000000000000000101001110011000010100100 -010000000000000000000000001001100000000000000100000000 -100000000000000000000000001001100000000011000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 14 -000000000000001000000000000000001001001100111000000000 -000000000000000001000000000000001010110011000000010000 -111000000000000011000010110000001001001100111000000000 -000000000000000000000010000000001101110011000000000000 -010000000000000001100000010000001001001100111000000000 -000000000000000000000010000000001001110011000000000000 -000000000000000001100000000000001001001100110001000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000011101011100000000001000000000000 -000001000000100000000110000001000000000000000100000000 -000000000001000000000000001111000000000001000000000000 -000000000000000000000000000101000000000000000100000000 -000000000000000000000011101011100000000001000000000000 -010000000000000000000000000101000000000000000100000000 -100000000000000000000000001111000000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 14 000000000000000000 000000000000000000 @@ -3537,7 +3537,7 @@ 000000000000000000 000000000000000000 .io_tile 0 15 -000000000000011000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -3554,50 +3554,50 @@ 000000000000000000 000000000000000000 .logic_tile 1 15 -000000000000000011000000000101100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000100000000110010000000000000000001000000000 -000000000000010000000010000000001011000000000000000000 -010000000000000000000111100000001001001100111001000000 -110000000000000000000010110000001001110011000000000000 -000000000000000101100000000000001001001100110001000000 -000000000000000000100010110000001001110011000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001011000000000000000000100000 -000000000000000000000010011000000000000010000000000000 -000000000010000000000110111011000000000000000000000010 -000000000000000000000000001000000000000000000101000000 -000000000000000000000000000101000000000010001000000000 -010000000000000000000000000000000000000000000101000000 -100000000000000000000000000001000000000010001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 15 -000000000010000111000010101101100000000001000000000000 -000000000000000000010010010101100000000000000000000000 -111000000000000101000011101011100000000000110000000000 -000000000000000101000110011001101111000001100000000000 -010000000000001001100000001101011101011001010100000000 -010000000000000001000010100001001100011010100000000010 -000000000000000101000010110101111111011001010100000000 -000000000000000000100010111001001011011010100000000010 -000000000000000011000000001001100001000001010100000000 -000000000000001001000000001001001110000001100000000010 -000000000000000011100000000011000001000001010100000000 -000000001100001001100010110001001100000001100000000010 -000000000000000000000000000101000001000001010100000000 -000000000000000000000010110101101110000001100000000010 -000000000000000011100000000101100001000001010100000011 -000000000000000000100000000011101100000001100000000000 +000000100000000111000010000101000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000111100000000101000000000000001000000000 +000000000000000111000000000000000000000000000000000000 +000000000000001011100000000001000000000000001000000000 +000000000000001011100000000000100000000000000000000000 +000000000000000000000000000111000000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000000000000000111100000000000001000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000011000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000111000001100000000000001000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000011000000000000000000000000000000000000 .ramb_tile 3 15 -001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3605,112 +3605,112 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 15 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000011000000000101000001000001010001000001 -000000000000000000000000001001101110000001100000000000 -110000000000000000000011000000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000011000000001101100001000001010001000001 -000000000000000000100000000001001110000001100000000000 -000000000000000000000111000000000000000000000000000000 -000000001110000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000001011000000000010001100000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000001000000000000000000000000000000000000000 -100000000000000101000000000000000000000000000000000000 +000000000000000000000011111101000000000000000001100000 +000000000000000000000010000001100000000001000000000000 +101000000000000001000000001011100001000000110000000000 +100000000000000001100011100001101100000000000000000000 +010000000000000001100000010101011010110001010000000000 +010000000000000000000011011111111010110000000000000000 +011000000000000001000000000000000000000000000100000000 +000000000000000000100010100111000000000010000000000011 +000001000000000000000000001000000000000000000100000100 +000000100000000000000000001001000000000010000010000000 +000000000000000101100000011000000000000000000100100000 +000000000000000000000010000111000000000010000000000000 +000000000000000000000110101000000000000000000100000000 +000000000000001001000000000101000000000010000000000011 +010000000000000000000000000000000000000000000110000000 +000000000000000011000000001001000000000010000000000100 .logic_tile 5 15 -000000000000000111000110001101101000000100000000000000 -000000000000000001000010100001111011100000000000000000 -000000000000000001100110001001000000000000000000000000 -000000000000000101010011001001001010000000110000000000 -000000000000001000000010111011001101110011000000000000 -000000000000000001000010000011011001000000000000000000 -000000000000000001100110001101101001110011000000000000 -000000000000000011000011000111011010000000000000000000 -000000000000001001000010000011101011110000000000100001 -000000000000001111100111100011111011000000000000000000 -000000000000001000000010010011000000001100110000000000 -000000000000000111000011010000101100110011000000000000 -000000000000000000000000001101011000110100000011000000 -000000000000000000000000001101001000111100010000000000 -000000000000101000000000000011100000000011000000000000 -000000000000000111000000000001100000000000000000000000 +000010000000001001100111111001100000000011000000000000 +000001000000000001010111101111000000000000000000000000 +101001000000000111100111001101001000110011000000000000 +100010000000000101100010010011111001000000000000000000 +110010000001000000000110101001101100000010000000000000 +110000000000001001000011010101111001000000000000000000 +000010100000000011100011010001100000000000000000000000 +000001000000000111100010001101001111000000010000000000 +000000000000000011100111101011011100110011000000000000 +000000000000000000110100001001111110000000000000000000 +000000100000001011000111100001011101100000000000000000 +000001000000000001000000000001001011000000000000000000 +000100000001010011100010011101011011110011000000000000 +000100000000000000100110000111001011000000000000000000 +010000000000000001000010000000000000000000000101000010 +000000000000000000100000000011000000000010000000000000 .logic_tile 6 15 -000000000000000101100000000000000000000000000000000000 +000000000000100000000110001001000000000001000000000000 +000000000001010001000010111001100000000000000000000000 +101000000000100111000110011001001011000110100000100000 +100000000001001101100010000011111110001111110000000000 +110000000000101011000010000101011011110011000000100000 +110000000001011111000010110001111011000000000000000000 +000000000000000101000011101111111010000010000000000000 +000000000000000011100010111001001011000000000000000000 +001000000000000111000011001101101100111001010010000001 +000000000110000000000010101111001101110000000000100000 +000000000010000111100000001001101010000110100000000010 +000000000000000000000000000011011010001111110000000000 +000000000000000011000011100011011010000010000000000000 +000000000000000000100000000101111110000000000000000000 +011000000000000011000110101000000000000000000100000010 +000000000000000101000000000001000000000010000000000000 +.logic_tile 7 15 +000010100001000001000010100001100000000000001000000000 +000001000000100000100100000000000000000000000000001000 +000000000001000101100111010000000000000000001000000000 +000010000000101101100010000000001000000000000000000000 +000000000001010000000111000000000000000000001000000000 +000000000000000000010000000000001101000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000010110000001110000000000000000000 +000000000000000000000000010000001000111100000010000000 +000000000000000000000010100000000000111100000000000000 +000000000000100000000111001011101011000110100000000000 +000000000001010000000110111001111101001111110000000010 +000000100000001000000000001111111011000010000000000000 +000001000000100101000000001111011000000000000000000000 +000000000000001000000110100001100000000001000000100000 +000000000000000101000000001001100000000000000000000000 +.logic_tile 8 15 +000000000001010001000000000000000000000010000000000000 +000000000000100000100010101101000000000000000000000000 +101000000000001000000000000000000000000000000000000000 +100000000000000001000000000000000000000000000000000000 +110000000000000000000010100000000000000010000000000001 +010000000000000000000000001001000000000000000000000000 +000000000000000000000110000101111001110011000000000000 +000000000000000000000000000001101101000000000000000000 +000010100000000000000000001000000000000000000100000000 +000001000000000000000011110011000000000010000000000100 +000010000000000000000010000000000000000000000000000000 +000000000000001001000100000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000100100000 +000000000000000000000000000001000000000010000000000000 +.logic_tile 9 15 000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000100100000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100001000000110010000000 -000000000000000000000000000001101010000001100000000000 -.logic_tile 7 15 -000000000000000001100000010001101110010111110000000000 -000000000000000011000010000001011010100111110000000000 -111000000000000001100011111001111101000001110000000000 -000000000000000000000111011011111001000011100000000000 -110000000000000001000000001101101110010100000000000000 -100000001100000000010000001101011010100100000000000000 -000000000000001111000110101011000000000000000000100000 -000000000000000001000000000011100000000001000000100000 -000000000001001000000000010111111111100101100000000000 -000000000000000001000010111111101010001100110000000000 -000000000000000101100010000011100000000000000000000000 -000000000000010111100110000111000000000011000000000000 -000100000000000000000010000001000000000010000100000000 -000100000000000001000010001001000000000000000010000000 -000000000000001000000000010001101101000111110100000010 -000000000000001001000010011111101101000011110000000000 -.logic_tile 8 15 -000000000000000000000010000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000101000111001011001001101011110000000000 -000000000000001101100000000101111001011011110000000000 -110000000000000000000110010000000000000000000000000000 -100000000000000000000011010000000000000000000000000000 -000000000000001000000000000111001001101011110000000000 -000000000000001011000000001101011001011011110000000000 -000010000000000001100000011001101100110000000100000000 -000001000000000000000010101111001001111000000000000010 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000111001001101010110000000100000000 -000000000000000000000100001011011001111000000010000000 -.logic_tile 9 15 -000000000000000001010000000101000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000010001101001001100111000000000 -000000000000000000000010000000101010110011000000000000 -000000000000000000000000000011001001001100111000000000 -000000000000000101000000000000101110110011000000000000 -000000000000001101100000000000001000001100110000000000 -000000000000000001100010100000000000110011000000000000 -000000000000000000000011100101100000000000000000000000 -000000000000000000000010001111100000000001000000000000 -000000000000000000000000000011111100101000000000000000 -000000000000000111000011010001011101110000000000000000 -000000000000000000000011100111111110010100010000000000 -000000000000000000000000001011011111001100010000000000 -000000000000000001100000000000000000000000000000000000 -000000000000000111000011010000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 10 15 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3724,39 +3724,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 15 -000000000000000000000010100001100001000000000000000000 -000000000000000001000110110101101001000000010000000000 -000000000000000101000010110101100001000000010001000000 -000000000000001101100010000101101000000000000000000000 -000000000000001011100000000000000000000010000000000000 -000000000000000001000010011001000000000000000000000000 -000000000000000000000010100000000000000010000000000000 -000000000000001101000110111101000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 -000000001110000000000000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000000000000000000101101001000100000000000000 -000000000000000000000000001001111000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 15 -000000000000000000000110110111000000000000001000000000 -000000000000000000000010100000000000000000000000001000 -000000000000001000000110100111000000000000001000000000 -000000000000000101000000000000101100000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000101100000000111000001000000001000000000 -000000000000000000000000000000101110000000000000000000 -000000000000000001100000000000000001000000001000000000 -000000000000000000100000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000001000000011000000000001000000001000000000 -000000000000001001000000000000001000000000000000000000 -000000000000001000000000000000000000000000001000000000 -000000000000001001000000000000001001000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000110000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 15 000000000000000000 000000000000000000 @@ -3794,42 +3794,42 @@ .logic_tile 1 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000001100000000000000000000000000100000000 -010000000000000000100000000101000000000010001010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010001000000011 -010000000000000101100000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 16 -000000000000000101000000000101100000000000001000000000 -000000000000000101000000000000001000000000000000000000 -000000000000000000000111110001101001001100111000000001 -000000000000000000000111110000101101110011000000000000 -000000000000000101000000000001101000001100111000100000 -000000000000000000000000000000100000110011000000000000 -000000000000001011100111110000001000001100110000000100 -000000000000000001100110100000000000110011000000000000 -000000000000000111100000000001100000000000000000000001 -000000000000000000000000000101100000000011000000000000 -000000000000000000000110011011100000000001010000000001 -000000000000000000000010001101101111000001100000000000 -000000000000000000000000000011000001001100110000000000 -000000000000000000000011100000001010110011000000000000 -000000000000000000000000000101100000000001000000000000 -000000000000000000000000000001100000000000000000000000 +000000000000000000000000000000001000111100000001000000 +000000000000000000000000000000000000111100000000010000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 16 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3843,110 +3843,109 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 16 -000000000000000000000000000111100000000000001000000000 +000000000000000000000000000101100000000000001000000000 000000000000000000000000000000100000000000000000001000 -111000000000000000000110010111100000000000001000000000 -000000000000000000000010000000101100000000000000000000 -110000000000000000000110010001101000001100110000000000 -010000000000000000000010000000101110110011000000000000 -000000000000000000000000011111100001000010000000000000 -000000000000000000000010001111101001000000000000000000 -000000000000000011100000001111000000000000000000000010 -000000000000000000000011111001000000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000001011100000000101000000000001000000000000 -000100100000000101000000001101100000000011000000000000 -010001000000000000000110111000000000000000000100000000 -100010000000000000000010101101000000000010000100000000 +000000000000000001100000000011100000000000001000000000 +000000000000000011000000000000001010000000000000000000 +000000000000001000000000000111000000000000001000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000010011100000000000001000000000 +000000000000000000000011000000100000000000000000000000 +000000000000000000000000000111100000000000001000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000001000010010000000000111100000000000000 +000000000001001000000000000001100001000000100000000000 +000000000000000011000000000011001000000000000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 .logic_tile 5 16 -000000000000100000000110001001011011000100100000000000 -000000000001010000000011110101101110000000000000000000 -111000000000001001100000010000000000000000000000000000 -000000000000001111000010000000000000000000000000000000 -010000000000000000000110100000000000000000000000000000 -010000001100000000000000000000000000000000000000000000 -000000000000000001100110010001111000001100110000000000 -000000000000001001000010000000100000110011000000000000 -000000000000000000000000001101100000000011000000000000 -000000000000000000000000000111001011000011110000100010 -000000000000000000000000001101100000000011000000000000 -000000000000000000000000001101100000000000000000000000 -000000000000000000000000000001000000000001000100000000 -000000000000000000000000001101100000000011000100000000 -010000000100000001100000001000000000000000000100000000 -100000000000010000100000000001000000000010000100000000 +000000000000001011100000000001000000000000001000000000 +000000000000000111000000000000000000000000000000001000 +101000000000000000000000000000000001000000001000000000 +100000000000000000000000000000001111000000000000000000 +110000000000000000000000000000001000001100110000000100 +010000000000000000000000000000001000110011000000000000 +001000000000000000000000001000000000000000000100000000 +000000000000000111000000000101000000000010000000100011 +000000000000000000000011110000000000000000000000000000 +000000000000001001000010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000000011000000000010000000000010 +010000000000000000000000000000000000000000000100000010 +000000000000000000000010001011000000000010000000000000 .logic_tile 6 16 -000000000000000000000110010001100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000000011000000000111100001000000001000000000 -000000000000010000000000000000001111000000000000000000 -110000000000000000000110000101101001001100111000000000 -110000000000000000000100000000101101110011000000000000 -000000000000000000000110010101101001001100110000000000 -000000000000000000000010000000101111110011000000000000 -000100000000000000000010000000000000000010000000000000 -000100000000000000000000000111000000000000000000000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000011110111101001000000000000000000 -000000000000001001000011000011000000000011000100000000 -000000000000000101000000001001100000000010000000000000 -010000000000000101100000000101000000000011000100000000 -100000000000000000000011111001100000000010000000000000 +000000000000000000000011111000000000000010000000000000 +000000000000000000000011000001000000000000000000000000 +101000000000000000000000000011111011110011000000000100 +100000000000000001000000000111011101000000000000000000 +010000000000000011000000001000000000000000000100000000 +010000000000000000100000001011000000000010000000000000 +010000000000000000000110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000100000010 +000000000000001011000000000011000000000010000000000000 +000000000000001000000010000000000000000000000100000000 +000000000000000001000110001001000000000010000000000011 +000000001100001000000000000000000000000000000100000000 +000000001110001011000000000101000000000010000000000000 +010000000000000000000000001000000000000000000100000110 +000000000000000000000000001011000000000010000000000000 .logic_tile 7 16 -000000000000000000000110000001011010001100110000000000 -000000000000000000000011100000110000110011000000000000 -111000000000000101100000001111000001000000000000000100 -000000000000000000000000000011101000000000110000000000 -011010100000001000000010100000000000000000000000000000 -010001000000000001000000000000000000000000000000000000 -000000000000000000000000000101000000000011000101000000 -000000000000000000000010010101100000000010000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000001100000001111000000000000110100000000 -000000000000000000000000000011101100000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010001000000000000000000000000000000000000000000000000 -100010000000000000000000000000000000000000000000000000 +000000000000000000000000001001111101110011000000000000 +000000000000000000000011101111101011000000000000000000 +101000000000001011100110011001111000110011000000000000 +100000000000000001100010000001011101000000000000000000 +010000000000001001100110000011001010100000000000000000 +010000000000000001000111010101001011000000000000000000 +000000000000000011100111101111011111000010000000000000 +000000000000000000100100000111101001000000000000000000 +000000000000000101100010001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000000001100111010000000000000000000100000000 +000000000000000000000111111101000000000010000000000100 +000000000000000000000110101000000000000000000100000100 +000000000000000000000000001011000000000010000000000000 +010000000000001001100111001000000000000000000100000100 +000000000000000101000100001011000000000010000000000000 .logic_tile 8 16 -000000000000000101100111100001000000000000001000000000 -000000000000000000100100000000100000000000000000001000 -000000000000000011000000000101000001000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000000000001111000011000101001000001100111000000000 -000000000000001011100000000000000000110011000000100000 -000000000000000000000011000101001001001100111000000000 -000000000000000000000000000000101111110011000000000010 -000000000001000000000000000001101001001100111000000000 -000000100010000000000000000000001101110011000000000000 -000001000000000000000000010101101001001100111000000000 -000000100000000000000011000000101111110011000000000000 -000000000000000000000000000001001001001100110000000000 -000000000000000000000000000000001101110011000000000010 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +101000000000001000000000001001101010110011000000000000 +100000000000000001000000001011011101000000000000000000 +110000000000000000000110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001011000000000010000000000001 +000000000000000000000111001000000000000000000100000000 +000000000000000000000100000011000000000010000000000100 +000000000000000000000010000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 9 16 -000000000000001000000111100111100000000000001000000000 -000000000000001111000100000000100000000000000000001000 -000000000000001111100000000001100000000000001000000000 -000000000000000111000000000000001001000000000000000000 -000000001110000000000000010101100000000000001000000000 -000000000000000000000011110000100000000000000000000000 -000000000001010000000000010001000000000000001000000000 -000000000000100000010011100000001001000000000000000000 -000000000000000000000000010101000000000000001000000000 -000000000000000000000011110000100000000000000000000000 -000000000000000000000000000011000000000000001000000000 -000000000000000000000000000000101001000000000000000000 -000000000000000000010000000001100000000000001000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000001000111100000001000000 -000000000000000000000011110000000000111100000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000100000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 16 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3955,46 +3954,47 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000101000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 16 -000000000000000000000110010101100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000001001100110010000000001000000001000000000 -000000000000000001000010000000001011000000000000000000 -110000000000000000000000000000001000001100111100000000 -010000000000000000000000000000001001110011000001000100 -000000000000001000000000010000001000001100111100000000 -000000000000000011000011000000001001110011000001000000 -000000000000000000000000010000001001001100111100100000 -000000000000000000000011000000001000110011000001000000 -000001000000000000000000000000001001001100111100100000 -000000000000000000000000000000001000110011000001000000 -000000000000000000000000000000001001001100111100100000 -000000000000000000000000000000001001110011000000000010 -010000000000000000000000000000001001001100110101000000 -100000000000000000000000000000001001110011000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 16 -000000000000000011000000001001101000101100000000000000 -000000000000000000100000000101101100111100000000010100 -111000000000001000000110100000000000000000000100000000 -000000000000000011000000000001000000000010000000000000 -110010000000000000000000000000000000000000000100000000 -000001000000000000000000001111000000000010000000000100 -000000000000000101100000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000000 -000000000000001111100000010000000000000000000110000000 -000000000000001001100010110111000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000011000000000010000000000000 -000000000000000101100110100000000000000000000100000000 -000000000000000000100100001011000000000010000000000000 -010000000000001000000000011000000000000000000100000000 -010000000000001101000010111111000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 16 000000000000000000 000000000000000000 @@ -4082,7 +4082,7 @@ 000000000000000000 .io_tile 5 17 000000000000000000 -000000000000000000 +000000000000011000 000000000000000000 000000000000000000 000000000000000000 @@ -4103,24 +4103,24 @@ 000000000000000000 000000000000000000 000000000000000100 -000000000000001100 +000000000000001000 000100000000000000 000000000000000000 +000010000000000000 +000010010000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000010000000000000 -000010010000000000 000000000000000000 000000000000000000 .io_tile 7 17 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000100 +000000111000000000 +000000001000000000 000000000000001100 +000000000000000000 000100000000000000 000000000000000000 000000000000000000 @@ -4128,7 +4128,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000110000000000 +000000000000000000 000000000000000000 000000000000000000 .io_tile 8 17 @@ -4145,22 +4145,22 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000001100000 000000000000000000 000000000000000000 -.io_tile 9 17 -000010000000000010 -000111110000000000 000000000000000000 +.io_tile 9 17 +000000000000001010 +000100000000000000 +000011111000000000 +000011111000000001 000000000000000001 -000001110010000001 -000000000001000000 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000010 -000000000001000000 +000000000011000000 000000000000000000 000000000000000001 000000000000000001 @@ -4185,38 +4185,38 @@ .io_tile 11 17 000000000000000010 000100000000000000 -000000000000000000 +000001111000000000 +000000001000000001 000000000000000001 -000000000011000001 000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000001010001000010 -000000000011000000 +000001011001000010 +000000001011000000 000000000000000000 000000000000000001 000000000000000001 -000001010000000000 -.io_tile 12 17 -000000011000100010 -000100001000000000 000000000000000000 +.io_tile 12 17 +000010000000100010 +000101110000000000 +000001110000000000 +000000001000000001 000000000000000001 -000000000000000001 -000000000001000000 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000011000010 +000000000000000010 000000000001000000 -000000011000000000 -000000001000000001 +000000000000000000 +000000000000000001 000000000000000001 000000000000000000 -.ram_data 3 9 +.ram_data 3 7 000000040000000a00000003000000020000003f000100000000001e00000000 000000000000000000010000000100010004009f000000060000000500000003 0000001500e7002900e0001900070005000100a1000600090001000500000000 @@ -4233,7 +4233,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 3 +.ram_data 10 5 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4250,7 +4250,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 1 +.ram_data 10 9 000000010001000100e70058004c0053000100010001000100e0003900e10029 0001000100010001002c002a0019003b000100010001000100e200150006003a 0001000100010001002c002a0019003b000100010001000100e200150006003a @@ -4267,1849 +4267,1023 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 11 -0000000000000000000000000000000000000000000000000000000000005555 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -.sym 1 $abc$56607$n33$2 -.sym 2 $abc$56607$n901$2 -.sym 3 $abc$56607$n35$2 -.sym 4 $abc$56607$n1490$2 -.sym 5 $abc$56607$n31$2 -.sym 6 $abc$56607$n1471$2 -.sym 7 CLK$2$2 -.sym 8 $abc$56607$n1018$2 -.sym 54 $abc$56607$n2583 -.sym 177 $abc$56607$n2528 -.sym 178 $abc$56607$n2624 -.sym 179 $abc$56607$n2626 -.sym 181 $abc$56607$n2625 -.sym 182 $abc$56607$n2628 -.sym 183 $abc$56607$n2623 -.sym 184 $abc$56607$n2627 -.sym 293 $abc$56607$n2212 -.sym 294 $abc$56607$n2213 -.sym 295 $abc$56607$n2214 -.sym 296 $abc$56607$n2215 -.sym 297 $abc$56607$n2216 -.sym 298 $abc$56607$n2217 -.sym 405 $abc$56607$n1524 -.sym 406 $abc$56607$n1526 -.sym 407 $abc$56607$n1530 -.sym 408 I2C.byte_counter[4] -.sym 409 I2C.byte_counter[3] -.sym 410 I2C.byte_counter[7] -.sym 411 I2C.byte_counter[2] -.sym 412 I2C.byte_counter[5] -.sym 519 $abc$56607$n2210 -.sym 520 $abc$56607$n1521 -.sym 521 $abc$56607$n1520 -.sym 522 $abc$56607$n1277 -.sym 523 $abc$56607$n1306 -.sym 524 I2C.byte_counter[0] -.sym 525 I2C.byte_counter[6] -.sym 526 I2C.byte_counter[1] -.sym 633 $abc$56607$n1523 -.sym 634 $abc$56607$n1302_1 -.sym 635 $abc$56607$n1301 -.sym 637 $abc$56607$n1303 -.sym 638 $abc$56607$n1529 -.sym 639 $abc$56607$n1305 -.sym 640 I2C.i2c_start_latency -.sym 748 KEYBOARD.init_ram_cnt[2] -.sym 749 KEYBOARD.init_ram_cnt[3] -.sym 750 KEYBOARD.init_ram_cnt[4] -.sym 751 KEYBOARD.init_ram_cnt[5] -.sym 752 KEYBOARD.init_ram_cnt[6] -.sym 753 KEYBOARD.init_ram_cnt[7] -.sym 830 CLK$2 +.sym 1 $abc$27697$n25$2 +.sym 2 $abc$27697$n434$2 +.sym 3 $abc$27697$n29$2 +.sym 4 CLK$2$2 +.sym 5 $abc$27697$n23$2 +.sym 6 I2C.FLT_SCL.RESET$2 +.sym 7 $abc$27697$n741$2 +.sym 8 $abc$27697$n667$2 +.sym 47 I2C.SCLF +.sym 181 I2C.FLT_SCL.out +.sym 292 $abc$27697$n690 +.sym 293 $abc$27697$n1295 +.sym 294 $abc$27697$n689 +.sym 295 $abc$27697$n772_1 +.sym 296 $abc$27697$n1058 +.sym 297 I2C.FLT_SCL.counter[1] +.sym 298 I2C.FLT_SCL.counter[0] +.sym 407 $abc$27697$n1061 +.sym 408 $abc$27697$n771_1 +.sym 411 $abc$27697$n1296 +.sym 412 I2C.FLT_SCL.counter[2] +.sym 750 I2C_OUT_DESC_MASK[4] +.sym 830 $abc$27697$n741 .sym 836 CLK$2 -.sym 860 KEYBOARD.init_ram_cnt[8] -.sym 862 KEYBOARD.init_ram_cnt[1] -.sym 864 KEYBOARD.init_ram_cnt[0] -.sym 944 $abc$56607$n1490 -.sym 974 $abc$56607$n673 -.sym 975 $abc$56607$n674 -.sym 976 $abc$56607$n805 -.sym 977 $abc$56607$n2612 -.sym 978 $abc$56607$n2611 -.sym 979 $abc$56607$n807 -.sym 980 I2C_HID_DESC.real_adress[3] -.sym 981 I2C_HID_DESC.real_adress[2] -.sym 1090 I2C_INPUT_LEN[2] -.sym 1091 I2C_INPUT_LEN[3] -.sym 1092 I2C_INPUT_LEN[4] -.sym 1093 I2C_INPUT_LEN[5] -.sym 1094 I2C_INPUT_LEN[6] -.sym 1095 I2C_INPUT_LEN[7] -.sym 1209 $abc$56607$n2569 +.sym 866 $abc$27697$n1961 +.sym 867 $abc$27697$n854 +.sym 944 CLK$2 +.sym 1095 KEYBOARD.report[0][4] +.sym 1203 COM_TX$2 .sym 1289 COM_TX$2 -.sym 1323 $abc$56607$n2580 .sym 1403 I2C.is_read -.sym 1437 $abc$56607$n2570 .sym 1517 COM_DSR$2 .sym 1522 COM_DCD$2 -.sym 1546 $abc$56607$n1817 -.sym 1547 $abc$56607$n1820 -.sym 1548 $abc$56607$n2613 -.sym 1549 $abc$56607$n276 -.sym 1550 ring_rd[2] -.sym 1551 ring_rd[3] -.sym 1660 ring_rd[1] -.sym 1664 ring_rd[0] .sym 1851 $false .sym 1853 KEYBOARD.ROWS_EN[13] .sym 1856 $false .sym 1858 KEYBOARD.ROWS_EN[14] -.sym 1880 $abc$56607$n1979 -.sym 1881 $abc$56607$n1981 -.sym 1882 $abc$56607$n1983 -.sym 1883 I2C.FLT_SCL.RESET -.sym 1884 rststate[1] -.sym 1885 rststate[3] -.sym 1886 rststate[2] -.sym 2065 $abc$56607$n360 -.sym 2066 $abc$56607$n361 -.sym 2067 $abc$56607$n2529 -.sym 2068 $abc$56607$n2051 -.sym 2069 KEYBOARD.row_counter[2] -.sym 2070 KEYBOARD.kbd_code[4] -.sym 2147 $true -.sym 2184 $abc$56607$n2528$2 -.sym 2185 $false -.sym 2186 $abc$56607$n2528 +.sym 1882 KEYBOARD.COLS_SHADOW[5] +.sym 2064 $abc$27697$n1925 +.sym 2065 $abc$27697$n1926 +.sym 2069 $abc$27697$n1924 +.sym 2185 SCL$2 +.sym 2186 $false .sym 2187 $false .sym 2188 $false -.sym 2190 $auto$alumacc.cc:470:replace_alu$12147.C[3] -.sym 2192 $false -.sym 2193 $abc$56607$n2627 -.sym 2196 $auto$alumacc.cc:470:replace_alu$12147.C[4] -.sym 2198 $false -.sym 2199 $abc$56607$n2623 -.sym 2202 $auto$alumacc.cc:470:replace_alu$12147.C[5] -.sym 2204 $false -.sym 2205 $abc$56607$n2628 -.sym 2208 $auto$alumacc.cc:470:replace_alu$12147.C[6] -.sym 2210 $false -.sym 2211 $abc$56607$n2624 -.sym 2214 $auto$alumacc.cc:470:replace_alu$12147.C[7] -.sym 2216 $false -.sym 2217 $abc$56607$n2625 -.sym 2220 $abc$56607$n2583$2 -.sym 2222 $false -.sym 2223 $abc$56607$n2626 -.sym 2230 $abc$56607$n2583$2 -.sym 2234 $abc$56607$n2615 -.sym 2235 $abc$56607$n2530 -.sym 2236 $abc$56607$n879 -.sym 2237 $abc$56607$n372 -.sym 2238 $abc$56607$n2617 -.sym 2239 $abc$56607$n1977 -.sym 2240 rststate[0] -.sym 2241 I2C.i2c_bit_counter[3] -.sym 2320 $abc$56607$n1521 -.sym 2321 $false -.sym 2322 $false -.sym 2323 $false -.sym 2326 $abc$56607$n1527 -.sym 2327 $false -.sym 2328 $false -.sym 2329 $false -.sym 2332 $abc$56607$n1530 -.sym 2333 $false -.sym 2334 $false -.sym 2335 $false -.sym 2344 $abc$56607$n1529 +.sym 2231 $true +.sym 2232 CLK$2$2 +.sym 2233 $false +.sym 2236 $abc$27697$n1396 +.sym 2237 $abc$27697$n1398 +.sym 2238 $abc$27697$n1400 +.sym 2239 $abc$27697$n1402 +.sym 2240 $abc$27697$n1404 +.sym 2241 $abc$27697$n1406 +.sym 2344 I2C.SCLF .sym 2345 $false .sym 2346 $false .sym 2347 $false -.sym 2350 $abc$56607$n1526 -.sym 2351 $false -.sym 2352 $false -.sym 2353 $false -.sym 2356 $abc$56607$n1524 -.sym 2357 $false -.sym 2358 $false -.sym 2359 $false -.sym 2362 $abc$56607$n1523 -.sym 2363 $false -.sym 2364 $false -.sym 2365 $false -.sym 2369 $abc$56607$n873_1 -.sym 2370 $abc$56607$n2047 -.sym 2371 $abc$56607$n2248 -.sym 2372 $abc$56607$n2045 -.sym 2373 $abc$56607$n358 -.sym 2374 $abc$56607$n880 -.sym 2375 I2C.i2c_bit_counter[1] -.sym 2376 I2C.i2c_bit_counter[0] -.sym 2417 $true -.sym 2454 $abc$56607$n1520$2 -.sym 2455 $false -.sym 2456 $abc$56607$n1520 -.sym 2457 $false -.sym 2458 $false -.sym 2460 $auto$alumacc.cc:470:replace_alu$12152.C[2] -.sym 2462 $false -.sym 2463 $abc$56607$n1521 -.sym 2466 $auto$alumacc.cc:470:replace_alu$12152.C[3] -.sym 2467 $false -.sym 2468 $false -.sym 2469 $abc$56607$n1523 -.sym 2470 $auto$alumacc.cc:470:replace_alu$12152.C[2] -.sym 2472 $auto$alumacc.cc:470:replace_alu$12152.C[4] -.sym 2473 $false -.sym 2474 $false -.sym 2475 $abc$56607$n1524 -.sym 2476 $auto$alumacc.cc:470:replace_alu$12152.C[3] -.sym 2478 $auto$alumacc.cc:470:replace_alu$12152.C[5] -.sym 2479 $false -.sym 2480 $false -.sym 2481 $abc$56607$n1526 -.sym 2482 $auto$alumacc.cc:470:replace_alu$12152.C[4] -.sym 2484 $auto$alumacc.cc:470:replace_alu$12152.C[6] +.sym 2366 $abc$27697$n689 +.sym 2367 CLK$2$2 +.sym 2368 $abc$27697$n23$2 +.sym 2369 I2C_INPUT_LEN[5] +.sym 2370 I2C_INPUT_LEN[3] +.sym 2372 I2C_INPUT_LEN[6] +.sym 2374 I2C_INPUT_LEN[1] +.sym 2375 I2C_INPUT_LEN[4] +.sym 2376 I2C_INPUT_LEN[2] +.sym 2461 I2C.FLT_SCL.out +.sym 2462 I2C.SCLF +.sym 2463 $abc$27697$n771_1 +.sym 2464 $false +.sym 2467 I2C.FLT_SCL.counter[0] +.sym 2468 I2C.FLT_SCL.counter[1] +.sym 2469 $false +.sym 2470 $false +.sym 2473 $abc$27697$n1296 +.sym 2474 $abc$27697$n1058 +.sym 2475 $abc$27697$n772_1 +.sym 2476 $false +.sym 2479 $abc$27697$n1295 +.sym 2480 I2C.FLT_SCL.out +.sym 2481 I2C.SCLF +.sym 2482 $false .sym 2485 $false -.sym 2486 $false -.sym 2487 $abc$56607$n1527 -.sym 2488 $auto$alumacc.cc:470:replace_alu$12152.C[5] -.sym 2490 $auto$alumacc.cc:470:replace_alu$12152.C[7] -.sym 2491 $false +.sym 2486 I2C.FLT_SCL.counter[0] +.sym 2487 $false +.sym 2488 $true$2 +.sym 2491 $abc$27697$n1295 .sym 2492 $false -.sym 2493 $abc$56607$n1529 -.sym 2494 $auto$alumacc.cc:470:replace_alu$12152.C[6] -.sym 2497 $false -.sym 2498 $false -.sym 2499 $abc$56607$n1530 -.sym 2500 $auto$alumacc.cc:470:replace_alu$12152.C[7] -.sym 2504 $abc$56607$n2043 -.sym 2505 $abc$56607$n1321 -.sym 2506 $abc$56607$n1315_1 -.sym 2507 $abc$56607$n1527 -.sym 2508 $abc$56607$n1492 -.sym 2509 $false$2 -.sym 2510 I2C_HID_DESC.last_rd_request -.sym 2590 $abc$56607$n2043 -.sym 2591 I2C.byte_counter[3] +.sym 2493 $false +.sym 2494 $false +.sym 2497 $abc$27697$n771_1 +.sym 2498 $abc$27697$n1058 +.sym 2499 $false +.sym 2500 $false +.sym 2501 $abc$27697$n690 +.sym 2502 CLK$2$2 +.sym 2503 $abc$27697$n23$2 +.sym 2506 $abc$27697$n1288 +.sym 2508 $abc$27697$n1393 +.sym 2509 $abc$27697$n674 +.sym 2510 $abc$27697$n929_1 +.sym 2511 I2C_INPUT_LEN[0] +.sym 2552 $true +.sym 2589 I2C.FLT_SCL.counter[0]$2 +.sym 2590 $false +.sym 2591 I2C.FLT_SCL.counter[0] .sym 2592 $false .sym 2593 $false -.sym 2596 $abc$56607$n2043 -.sym 2597 I2C.byte_counter[4] -.sym 2598 $false -.sym 2599 $false -.sym 2602 $abc$56607$n2043 -.sym 2603 I2C.byte_counter[7] -.sym 2604 $false -.sym 2605 $false -.sym 2608 $abc$56607$n2214 -.sym 2609 $abc$56607$n1301 -.sym 2610 $abc$56607$n1526 -.sym 2611 $abc$56607$n1304 -.sym 2614 $abc$56607$n2213 -.sym 2615 $abc$56607$n1524 -.sym 2616 $abc$56607$n1306 -.sym 2617 $false -.sym 2620 $abc$56607$n2217 -.sym 2621 $abc$56607$n1301 -.sym 2622 $abc$56607$n1530 -.sym 2623 $abc$56607$n1304 -.sym 2626 $abc$56607$n2212 -.sym 2627 $abc$56607$n1301 -.sym 2628 $abc$56607$n1523 -.sym 2629 $abc$56607$n1304 -.sym 2632 $abc$56607$n2215 -.sym 2633 $abc$56607$n1527 -.sym 2634 $abc$56607$n1301 -.sym 2635 $abc$56607$n1304 -.sym 2636 $true +.sym 2595 $auto$alumacc.cc:470:replace_alu$6031.C[2] +.sym 2597 I2C.FLT_SCL.counter[1] +.sym 2598 $true$2 +.sym 2602 $false +.sym 2603 I2C.FLT_SCL.counter[2] +.sym 2604 $true$2 +.sym 2605 $auto$alumacc.cc:470:replace_alu$6031.C[2] +.sym 2608 I2C.FLT_SCL.counter[0] +.sym 2609 I2C.FLT_SCL.counter[1] +.sym 2610 I2C.FLT_SCL.counter[2] +.sym 2611 $false +.sym 2626 $abc$27697$n771_1 +.sym 2627 $abc$27697$n1061 +.sym 2628 $false +.sym 2629 $false +.sym 2632 $abc$27697$n1296 +.sym 2633 $false +.sym 2634 $false +.sym 2635 $false +.sym 2636 $abc$27697$n690 .sym 2637 CLK$2$2 -.sym 2638 $false -.sym 2639 $abc$56607$n874 -.sym 2640 $abc$56607$n1487 -.sym 2641 $abc$56607$n1304 -.sym 2642 $abc$56607$n877 -.sym 2643 $abc$56607$n1276 -.sym 2644 $abc$56607$n1248 -.sym 2645 $abc$56607$n1300 -.sym 2646 I2C.received_byte[0] -.sym 2725 $false -.sym 2726 $true$2 -.sym 2727 $abc$56607$n1520 -.sym 2728 $false -.sym 2731 $abc$56607$n2043 -.sym 2732 I2C.byte_counter[1] -.sym 2733 $false -.sym 2734 $false -.sym 2737 $abc$56607$n2043 -.sym 2738 I2C.byte_counter[0] -.sym 2739 $false -.sym 2740 $false -.sym 2743 I2C.byte_counter[2] -.sym 2744 I2C.byte_counter[3] -.sym 2745 I2C.byte_counter[0] -.sym 2746 I2C.byte_counter[1] -.sym 2749 $abc$56607$n1301 -.sym 2750 $abc$56607$n1304 -.sym 2751 $false -.sym 2752 $false -.sym 2755 $abc$56607$n2210 -.sym 2756 $abc$56607$n1520 -.sym 2757 $abc$56607$n1301 -.sym 2758 $abc$56607$n1304 -.sym 2761 $abc$56607$n2216 -.sym 2762 $abc$56607$n1301 -.sym 2763 $abc$56607$n1529 -.sym 2764 $abc$56607$n1304 -.sym 2767 $abc$56607$n1306 -.sym 2768 I2C.byte_counter[0] -.sym 2769 I2C.byte_counter[1] -.sym 2770 $abc$56607$n2043 -.sym 2771 $true -.sym 2772 CLK$2$2 -.sym 2773 $false -.sym 2775 $abc$56607$n2606 -.sym 2776 $abc$56607$n1283 -.sym 2777 I2C_TRANS -.sym 2778 $abc$56607$n2518 -.sym 2779 $abc$56607$n875 -.sym 2781 I2C.received_byte[4] -.sym 2860 $abc$56607$n2043 -.sym 2861 I2C.byte_counter[2] -.sym 2862 $false -.sym 2863 $false -.sym 2866 $abc$56607$n1523 -.sym 2867 $abc$56607$n1303 -.sym 2868 I2C.byte_counter[0] -.sym 2869 I2C.byte_counter[1] -.sym 2872 $abc$56607$n1302_1 -.sym 2873 $abc$56607$n1529 -.sym 2874 $false -.sym 2875 $false -.sym 2884 I2C.byte_counter[3] -.sym 2885 I2C.byte_counter[4] -.sym 2886 I2C.byte_counter[5] -.sym 2887 I2C.byte_counter[7] -.sym 2890 $abc$56607$n2043 -.sym 2891 I2C.byte_counter[6] -.sym 2892 $false -.sym 2893 $false -.sym 2896 I2C.i2c_start_latency -.sym 2897 I2C.i2c_state_machine -.sym 2898 $abc$56607$n2043 -.sym 2899 $false -.sym 2902 $abc$56607$n2043 -.sym 2903 $false -.sym 2904 $false -.sym 2905 $false -.sym 2906 $abc$56607$n1305 -.sym 2907 CLK$2$2 -.sym 2908 $false -.sym 2909 $abc$56607$n925 -.sym 2910 $abc$56607$n924 -.sym 2912 $abc$56607$n923 -.sym 2913 $abc$56607$n2621 -.sym 2915 KEYBOARD.ram_adr[6] -.sym 2916 KEYBOARD.ram_adr[5] -.sym 2957 $true -.sym 2994 KEYBOARD.init_ram_cnt[0]$2 -.sym 2995 $false -.sym 2996 KEYBOARD.init_ram_cnt[0] -.sym 2997 $false -.sym 2998 $false -.sym 3000 $auto$alumacc.cc:470:replace_alu$12188.C[2] -.sym 3002 $false -.sym 3003 KEYBOARD.init_ram_cnt[1] -.sym 3006 $auto$alumacc.cc:470:replace_alu$12188.C[3] -.sym 3007 $false -.sym 3008 $false -.sym 3009 KEYBOARD.init_ram_cnt[2] -.sym 3010 $auto$alumacc.cc:470:replace_alu$12188.C[2] -.sym 3012 $auto$alumacc.cc:470:replace_alu$12188.C[4] -.sym 3013 $false -.sym 3014 $false -.sym 3015 KEYBOARD.init_ram_cnt[3] -.sym 3016 $auto$alumacc.cc:470:replace_alu$12188.C[3] -.sym 3018 $auto$alumacc.cc:470:replace_alu$12188.C[5] -.sym 3019 $false +.sym 2638 $abc$27697$n23$2 +.sym 2641 $abc$27697$n929 +.sym 2645 I2C.SDA_DIR +.sym 2775 $abc$27697$n847 +.sym 2776 $abc$27697$n259 +.sym 2780 I2C_HID_DESC.real_adress[7] +.sym 2781 I2C_HID_DESC.real_adress[0] +.sym 2909 $abc$27697$n1889 +.sym 2910 $abc$27697$n867 +.sym 2911 I2C_HID_DESC.real_adress[1] +.sym 2912 I2C_HID_DESC.real_adress[4] +.sym 2913 I2C_HID_DESC.real_adress[2] +.sym 2914 I2C_HID_DESC.real_adress[6] +.sym 2915 I2C_HID_DESC.real_adress[5] +.sym 2916 I2C_HID_DESC.real_adress[3] +.sym 3019 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 3020 $false -.sym 3021 KEYBOARD.init_ram_cnt[4] -.sym 3022 $auto$alumacc.cc:470:replace_alu$12188.C[4] -.sym 3024 $auto$alumacc.cc:470:replace_alu$12188.C[6] -.sym 3025 $false -.sym 3026 $false -.sym 3027 KEYBOARD.init_ram_cnt[5] -.sym 3028 $auto$alumacc.cc:470:replace_alu$12188.C[5] -.sym 3030 $auto$alumacc.cc:470:replace_alu$12188.C[7] -.sym 3031 $false -.sym 3032 $false -.sym 3033 KEYBOARD.init_ram_cnt[6] -.sym 3034 $auto$alumacc.cc:470:replace_alu$12188.C[6] -.sym 3036 $auto$alumacc.cc:470:replace_alu$12188.C[8] -.sym 3037 $false -.sym 3038 $false -.sym 3039 KEYBOARD.init_ram_cnt[7] -.sym 3040 $auto$alumacc.cc:470:replace_alu$12188.C[7] -.sym 3041 $abc$56607$n1459 +.sym 3021 $false +.sym 3022 $false +.sym 3041 $abc$27697$n434$2 .sym 3042 CLK$2$2 -.sym 3043 $abc$56607$n35$2 -.sym 3048 $abc$56607$n2229 -.sym 3049 $abc$56607$n1052 -.sym 3050 $abc$56607$n660 -.sym 3051 I2C_OUT_DESC_MASK[5] +.sym 3043 $abc$27697$n23$2 +.sym 3092 $true +.sym 3129 $abc$27697$n854$2 .sym 3130 $false -.sym 3131 $false -.sym 3132 KEYBOARD.init_ram_cnt[8] -.sym 3133 $auto$alumacc.cc:470:replace_alu$12188.C[8] -.sym 3142 KEYBOARD.init_ram_cnt[0] -.sym 3143 KEYBOARD.init_ram_cnt[1] -.sym 3144 $false -.sym 3145 $false -.sym 3154 $false -.sym 3155 $true$2 -.sym 3156 KEYBOARD.init_ram_cnt[0] -.sym 3157 $false -.sym 3176 $abc$56607$n1459 -.sym 3177 CLK$2$2 -.sym 3178 $abc$56607$n35$2 -.sym 3179 $abc$56607$n1099_1 -.sym 3180 $abc$56607$n806 -.sym 3181 I2C_HID_DESC.real_adress[7] -.sym 3182 I2C_HID_DESC.real_adress[0] -.sym 3183 I2C_HID_DESC.real_adress[6] -.sym 3184 I2C_HID_DESC.real_adress[4] -.sym 3185 I2C_HID_DESC.real_adress[1] -.sym 3186 I2C_HID_DESC.real_adress[5] -.sym 3265 I2C.byte_counter[2] -.sym 3266 I2C.byte_counter[3] -.sym 3267 $abc$56607$n674 -.sym 3268 I2C.byte_counter[1] -.sym 3271 I2C.byte_counter[4] -.sym 3272 I2C.byte_counter[5] -.sym 3273 I2C.byte_counter[6] -.sym 3274 I2C.byte_counter[7] -.sym 3277 I2C.byte_counter[2] -.sym 3278 $abc$56607$n806 -.sym 3279 $abc$56607$n674 -.sym 3280 I2C.byte_counter[3] -.sym 3283 I2C_INPUT_LEN[6] -.sym 3284 $false -.sym 3285 $false -.sym 3286 $false -.sym 3289 I2C_INPUT_LEN[5] -.sym 3290 $false -.sym 3291 $false -.sym 3292 $false -.sym 3295 I2C.byte_counter[3] -.sym 3296 I2C.byte_counter[2] -.sym 3297 $abc$56607$n674 -.sym 3298 $false -.sym 3301 I2C.byte_counter[3] -.sym 3302 $false -.sym 3303 $false -.sym 3304 $false -.sym 3307 I2C.byte_counter[2] -.sym 3308 $false -.sym 3309 $false -.sym 3310 $false -.sym 3311 $abc$56607$n1492 -.sym 3312 CLK$2$2 -.sym 3313 $abc$56607$n35$2 -.sym 3314 $abc$56607$n1056 -.sym 3315 $abc$56607$n855 -.sym 3316 $abc$56607$n2610 -.sym 3317 I2C_OUT_DESC_MASK[4] -.sym 3318 I2C_OUT_DESC_MASK[2] -.sym 3319 I2C_OUT_DESC_MASK[0] -.sym 3320 I2C_OUTPUT_TYPE[0] -.sym 3321 I2C_OUT_DESC_MASK[7] -.sym 3362 $true -.sym 3399 I2C.byte_counter[0]$2 -.sym 3400 $false -.sym 3401 I2C.byte_counter[0] -.sym 3402 $false -.sym 3403 $false -.sym 3405 $auto$alumacc.cc:470:replace_alu$12141.C[2] -.sym 3407 I2C.byte_counter[1] -.sym 3408 $true$2 -.sym 3411 $auto$alumacc.cc:470:replace_alu$12141.C[3] -.sym 3412 $false -.sym 3413 I2C.byte_counter[2] -.sym 3414 $true$2 -.sym 3415 $auto$alumacc.cc:470:replace_alu$12141.C[2] -.sym 3417 $auto$alumacc.cc:470:replace_alu$12141.C[4] -.sym 3418 $false -.sym 3419 I2C.byte_counter[3] -.sym 3420 $true$2 -.sym 3421 $auto$alumacc.cc:470:replace_alu$12141.C[3] -.sym 3423 $auto$alumacc.cc:470:replace_alu$12141.C[5] -.sym 3424 $false -.sym 3425 I2C.byte_counter[4] -.sym 3426 $true$2 -.sym 3427 $auto$alumacc.cc:470:replace_alu$12141.C[4] -.sym 3429 $auto$alumacc.cc:470:replace_alu$12141.C[6] -.sym 3430 $false -.sym 3431 I2C.byte_counter[5] -.sym 3432 $true$2 -.sym 3433 $auto$alumacc.cc:470:replace_alu$12141.C[5] -.sym 3435 $auto$alumacc.cc:470:replace_alu$12141.C[7] -.sym 3436 $false -.sym 3437 I2C.byte_counter[6] -.sym 3438 $true$2 -.sym 3439 $auto$alumacc.cc:470:replace_alu$12141.C[6] -.sym 3442 $false -.sym 3443 I2C.byte_counter[7] -.sym 3444 $true$2 -.sym 3445 $auto$alumacc.cc:470:replace_alu$12141.C[7] -.sym 3446 $abc$56607$n1180 +.sym 3131 $abc$27697$n854 +.sym 3132 $false +.sym 3133 $false +.sym 3135 $auto$alumacc.cc:470:replace_alu$6006.C[4] +.sym 3137 $true$2 +.sym 3138 $abc$27697$n853 +.sym 3141 $auto$alumacc.cc:470:replace_alu$6006.C[5] +.sym 3143 $false +.sym 3144 $abc$27697$n851 +.sym 3147 $auto$alumacc.cc:470:replace_alu$6006.C[6] +.sym 3149 $false +.sym 3150 $abc$27697$n850 +.sym 3153 $auto$alumacc.cc:470:replace_alu$6006.C[7] +.sym 3155 $false +.sym 3156 $abc$27697$n848 +.sym 3159 $abc$27697$n1961$2 +.sym 3161 $false +.sym 3162 $abc$27697$n847 +.sym 3169 $abc$27697$n1961$2 +.sym 3172 I2C.byte_counter[2] +.sym 3173 $false +.sym 3174 $false +.sym 3175 $false +.sym 3179 $abc$27697$n1956 +.sym 3180 $abc$27697$n285 +.sym 3181 $abc$27697$n1098 +.sym 3182 $abc$27697$n817 +.sym 3183 $false$2 +.sym 3184 $abc$27697$n847_1 +.sym 3185 I2C_TX_REPORT[3] +.sym 3186 I2C_TX_REPORT[1] +.sym 3314 $abc$27697$n1021 +.sym 3315 $abc$27697$n862 +.sym 3316 $abc$27697$n695_1 +.sym 3317 $abc$27697$n874 +.sym 3319 I2C_TX_REPORT[2] +.sym 3320 I2C_TX_REPORT[0] +.sym 3442 $abc$27697$n25$2 +.sym 3443 KEYBOARD.report[0][4] +.sym 3444 $abc$27697$n1021 +.sym 3445 $false +.sym 3446 $abc$27697$n659 .sym 3447 CLK$2$2 -.sym 3448 $abc$56607$n35$2 -.sym 3451 $abc$56607$n2477 -.sym 3452 $abc$56607$n274 -.sym 3453 $abc$56607$n2609 -.sym 3454 $abc$56607$n2607 -.sym 3455 UART_TX_DATA[5] -.sym 3456 UART_TX_DATA[7] -.sym 3497 $true -.sym 3534 $abc$56607$n2607$2 -.sym 3535 $false -.sym 3536 $abc$56607$n2607 -.sym 3537 $false -.sym 3538 $false -.sym 3540 $auto$alumacc.cc:470:replace_alu$12031.C[3] +.sym 3448 $false +.sym 3449 $abc$27697$n1023 +.sym 3450 $abc$27697$n1015 +.sym 3451 KEYBOARD.report[0][0] +.sym 3452 KEYBOARD.report[0][1] +.sym 3453 KEYBOARD.report[0][6] +.sym 3454 KEYBOARD.report[0][5] +.sym 3455 KEYBOARD.report[0][3] +.sym 3456 KEYBOARD.report[0][2] +.sym 3541 $abc$27697$n12 .sym 3542 $false -.sym 3543 $abc$56607$n2608 -.sym 3546 $auto$alumacc.cc:470:replace_alu$12031.C[4] -.sym 3548 $false -.sym 3549 $abc$56607$n2609 -.sym 3552 $auto$alumacc.cc:470:replace_alu$12031.C[5] -.sym 3554 $false -.sym 3555 $abc$56607$n2610 -.sym 3558 $auto$alumacc.cc:470:replace_alu$12031.C[6] -.sym 3560 $false -.sym 3561 $abc$56607$n2611 -.sym 3564 $auto$alumacc.cc:470:replace_alu$12031.C[7] -.sym 3566 $false -.sym 3567 $abc$56607$n2612 -.sym 3570 $abc$56607$n2569$2 -.sym 3572 $false -.sym 3573 $abc$56607$n2613 -.sym 3580 $abc$56607$n2569$2 -.sym 3584 $abc$56607$n1050 -.sym 3585 $abc$56607$n787 -.sym 3586 $abc$56607$n667 -.sym 3587 $abc$56607$n753 -.sym 3588 $abc$56607$n795 -.sym 3589 $abc$56607$n1073 -.sym 3590 KEYBOARD.ram_adr[4] -.sym 3591 KEYBOARD.ram_adr[7] -.sym 3632 $true -.sym 3669 $abc$56607$n282$3 -.sym 3670 $false -.sym 3671 $abc$56607$n282 -.sym 3672 $false -.sym 3673 $false -.sym 3675 $auto$alumacc.cc:470:replace_alu$12098.C[3] -.sym 3677 $false -.sym 3678 $abc$56607$n280 -.sym 3681 $auto$alumacc.cc:470:replace_alu$12098.C[4] -.sym 3683 $false -.sym 3684 $abc$56607$n279 -.sym 3687 $auto$alumacc.cc:470:replace_alu$12098.C[5] -.sym 3689 $false -.sym 3690 $abc$56607$n277 -.sym 3693 $auto$alumacc.cc:470:replace_alu$12098.C[6] -.sym 3695 $false -.sym 3696 $abc$56607$n276 -.sym 3699 $auto$alumacc.cc:470:replace_alu$12098.C[7] -.sym 3701 $false -.sym 3702 $abc$56607$n274 -.sym 3705 $abc$56607$n2580$2 -.sym 3707 $false -.sym 3708 $abc$56607$n273 -.sym 3715 $abc$56607$n2580$2 -.sym 3721 $abc$56607$n1824 -.sym 3722 $abc$56607$n1827 -.sym 3723 $abc$56607$n1830 -.sym 3724 $abc$56607$n1833 -.sym 3725 $abc$56607$n1836 -.sym 3726 $abc$56607$n1839 -.sym 3767 $true -.sym 3804 $abc$56607$n282$2 -.sym 3805 $false -.sym 3806 $abc$56607$n282 -.sym 3807 $false -.sym 3808 $false -.sym 3810 $auto$alumacc.cc:470:replace_alu$12036.C[3] -.sym 3812 $true$2 -.sym 3813 $abc$56607$n280 -.sym 3816 $auto$alumacc.cc:470:replace_alu$12036.C[4] -.sym 3818 $false -.sym 3819 $abc$56607$n279 -.sym 3822 $auto$alumacc.cc:470:replace_alu$12036.C[5] -.sym 3824 $false -.sym 3825 $abc$56607$n277 -.sym 3828 $auto$alumacc.cc:470:replace_alu$12036.C[6] -.sym 3830 $false -.sym 3831 $abc$56607$n276 -.sym 3834 $auto$alumacc.cc:470:replace_alu$12036.C[7] -.sym 3836 $false -.sym 3837 $abc$56607$n274 -.sym 3840 $abc$56607$n2570$2 -.sym 3842 $false -.sym 3843 $abc$56607$n273 -.sym 3850 $abc$56607$n2570$2 -.sym 3854 $abc$56607$n2545 -.sym 3855 $10\ring_rd[3:0][1] -.sym 3856 report_data_radr[4] -.sym 3857 report_data_radr[3] -.sym 3858 report_data_radr[5] -.sym 3859 report_data_radr[6] -.sym 3860 report_data_radr[2] -.sym 3861 report_data_radr[7] -.sym 3902 $true -.sym 3939 ring_rd[0]$2 -.sym 3940 $false -.sym 3941 ring_rd[0] -.sym 3942 $false -.sym 3943 $false -.sym 3945 $auto$alumacc.cc:470:replace_alu$12117.C[2] -.sym 3947 $false -.sym 3948 ring_rd[1] -.sym 3951 $auto$alumacc.cc:470:replace_alu$12117.C[3] -.sym 3952 $false -.sym 3953 $false -.sym 3954 ring_rd[2] -.sym 3955 $auto$alumacc.cc:470:replace_alu$12117.C[2] -.sym 3958 $false -.sym 3959 $false -.sym 3960 ring_rd[3] -.sym 3961 $auto$alumacc.cc:470:replace_alu$12117.C[3] -.sym 3964 I2C_INPUT_LEN[7] -.sym 3965 $false -.sym 3966 $false -.sym 3967 $false -.sym 3970 I2C.received_byte[5] -.sym 3971 $false -.sym 3972 $false -.sym 3973 $false -.sym 3976 $10\ring_rd[3:0][2] -.sym 3977 $false -.sym 3978 $false -.sym 3979 $false -.sym 3982 $10\ring_rd[3:0][3] -.sym 3983 $false -.sym 3984 $false -.sym 3985 $false -.sym 3986 $abc$56607$n999 -.sym 3987 CLK$2$2 -.sym 3988 $abc$56607$n35$2 -.sym 3990 $abc$56607$n1832 -.sym 3991 $abc$56607$n1835 -.sym 3992 $abc$56607$n1838 -.sym 3993 $abc$56607$n2543 -.sym 3994 $10\ring_rd[3:0][0] -.sym 3995 $abc$56607$n1811 -.sym 3996 $abc$56607$n2691 -.sym 4087 $10\ring_rd[3:0][1] -.sym 4088 $false -.sym 4089 $false -.sym 4090 $false -.sym 4111 $10\ring_rd[3:0][0] -.sym 4112 $false -.sym 4113 $false -.sym 4114 $false -.sym 4121 $abc$56607$n999 -.sym 4122 CLK$2$2 -.sym 4123 $abc$56607$n35$2 +.sym 3543 $false +.sym 3544 $false +.sym 3585 $abc$27697$n1142_1 +.sym 3586 $abc$27697$n869 +.sym 3587 $abc$27697$n682 +.sym 3588 KEYBOARD.report[4][2] +.sym 3589 KEYBOARD.report[4][1] +.sym 3591 KEYBOARD.report[4][3] +.sym 3720 $abc$27697$n870 +.sym 3721 KEYBOARD.report[4][6] +.sym 3722 KEYBOARD.report[4][5] +.sym 3724 KEYBOARD.report[4][4] +.sym 3989 $abc$27697$n1947 .sym 4212 $false .sym 4214 KEYBOARD.ROWS_EN[15] -.sym 4237 KEYBOARD.kbd_code_hid[0] -.sym 4238 KEYBOARD.kbd_code_hid[1] -.sym 4239 KEYBOARD.kbd_code_hid[2] -.sym 4240 KEYBOARD.kbd_code_hid[3] -.sym 4241 KEYBOARD.kbd_code_hid[4] -.sym 4242 KEYBOARD.kbd_code_hid[5] -.sym 4243 KEYBOARD.kbd_code_hid[6] -.sym 4244 KEYBOARD.kbd_code_hid[7] -.sym 4274 $false -.sym 4311 $auto$alumacc.cc:470:replace_alu$12126.C[1] -.sym 4313 $abc$56607$n35$2 -.sym 4314 rststate[0] -.sym 4317 $auto$alumacc.cc:470:replace_alu$12126.C[2] -.sym 4318 $false -.sym 4319 $false -.sym 4320 rststate[1] -.sym 4321 $auto$alumacc.cc:470:replace_alu$12126.C[1] -.sym 4323 $auto$alumacc.cc:470:replace_alu$12126.C[3] -.sym 4324 $false -.sym 4325 $false -.sym 4326 rststate[2] -.sym 4327 $auto$alumacc.cc:470:replace_alu$12126.C[2] -.sym 4330 $false +.sym 4330 KBD_COLUMNS[5]$2 .sym 4331 $false -.sym 4332 rststate[3] -.sym 4333 $auto$alumacc.cc:470:replace_alu$12126.C[3] -.sym 4336 rststate[3] -.sym 4337 rststate[2] -.sym 4338 rststate[1] -.sym 4339 rststate[0] -.sym 4342 $abc$56607$n1251 -.sym 4343 $abc$56607$n1979 -.sym 4344 $false -.sym 4345 $false -.sym 4348 $abc$56607$n1251 -.sym 4349 $abc$56607$n1983 -.sym 4350 $false -.sym 4351 $false -.sym 4354 $abc$56607$n1251 -.sym 4355 $abc$56607$n1981 -.sym 4356 $false -.sym 4357 $false +.sym 4332 $false +.sym 4333 $false .sym 4358 $true .sym 4359 CLK$2$2 .sym 4360 $false -.sym 4437 $true -.sym 4474 $abc$56607$n2045$2 -.sym 4475 $false -.sym 4476 $abc$56607$n2045 -.sym 4477 $false -.sym 4478 $false -.sym 4480 $auto$alumacc.cc:470:replace_alu$12155.C[2] -.sym 4482 $abc$56607$n2047 -.sym 4483 $true$2 -.sym 4486 $auto$alumacc.cc:470:replace_alu$12155.C[3] -.sym 4487 $false -.sym 4488 $abc$56607$n2049 -.sym 4489 $true$2 -.sym 4490 $auto$alumacc.cc:470:replace_alu$12155.C[2] -.sym 4492 $abc$56607$n2529$2 -.sym 4493 $false -.sym 4494 $abc$56607$n2051 -.sym 4495 $true$2 -.sym 4496 $auto$alumacc.cc:470:replace_alu$12155.C[3] -.sym 4502 $abc$56607$n2529$2 -.sym 4505 $abc$56607$n2617 -.sym 4506 $false -.sym 4507 $false -.sym 4508 $false -.sym 4511 $abc$56607$n2248 +.sym 4481 I2C_INPUT_LEN[4] +.sym 4482 $false +.sym 4483 $false +.sym 4484 $false +.sym 4487 I2C_INPUT_LEN[5] +.sym 4488 $false +.sym 4489 $false +.sym 4490 $false +.sym 4511 I2C_INPUT_LEN[3] .sym 4512 $false .sym 4513 $false .sym 4514 $false -.sym 4517 $abc$56607$n2287 -.sym 4518 $abc$56607$n2246 -.sym 4519 $false -.sym 4520 $false -.sym 4521 $abc$56607$n1490$2 -.sym 4522 CLK$2$2 -.sym 4523 $false -.sym 4524 KEYBOARD.RAM.r_data[0] -.sym 4526 KEYBOARD.RAM.r_data[1] -.sym 4528 KEYBOARD.RAM.r_data[2] -.sym 4530 KEYBOARD.RAM.r_data[3] -.sym 4598 $abc$56607$n2045 -.sym 4599 $false +.sym 4560 $true +.sym 4597 I2C.byte_counter[0]$3 +.sym 4598 $false +.sym 4599 I2C.byte_counter[0] .sym 4600 $false .sym 4601 $false -.sym 4604 $abc$56607$n2047 -.sym 4605 $false -.sym 4606 $false -.sym 4607 $false -.sym 4610 $abc$56607$n361 -.sym 4611 $abc$56607$n372 -.sym 4612 $abc$56607$n2529 -.sym 4613 $false +.sym 4603 $auto$alumacc.cc:470:replace_alu$6017.C[2] +.sym 4605 I2C.byte_counter[1] +.sym 4606 $true$2 +.sym 4609 $auto$alumacc.cc:470:replace_alu$6017.C[3] +.sym 4610 $false +.sym 4611 I2C.byte_counter[2] +.sym 4612 $true$2 +.sym 4613 $auto$alumacc.cc:470:replace_alu$6017.C[2] +.sym 4615 $auto$alumacc.cc:470:replace_alu$6017.C[4] .sym 4616 $false -.sym 4617 $false +.sym 4617 I2C.byte_counter[3] .sym 4618 $true$2 -.sym 4619 $abc$56607$n2529 -.sym 4622 I2C.i2c_bit_counter[3] -.sym 4623 $abc$56607$n2043 -.sym 4624 $false -.sym 4625 $false +.sym 4619 $auto$alumacc.cc:470:replace_alu$6017.C[3] +.sym 4621 $auto$alumacc.cc:470:replace_alu$6017.C[5] +.sym 4622 $false +.sym 4623 I2C.byte_counter[4] +.sym 4624 $true$2 +.sym 4625 $auto$alumacc.cc:470:replace_alu$6017.C[4] +.sym 4627 $auto$alumacc.cc:470:replace_alu$6017.C[6] .sym 4628 $false -.sym 4629 $abc$56607$n35$2 -.sym 4630 rststate[0] -.sym 4631 $false -.sym 4634 $abc$56607$n1251 -.sym 4635 $abc$56607$n1977 -.sym 4636 $false -.sym 4637 $false -.sym 4640 $abc$56607$n1290_1 -.sym 4641 $abc$56607$n2617 -.sym 4642 $abc$56607$n361 -.sym 4643 $abc$56607$n1293 -.sym 4644 $true -.sym 4645 CLK$2$2 -.sym 4646 $false -.sym 4647 KEYBOARD.RAM.r_data[4] -.sym 4649 KEYBOARD.RAM.r_data[5] -.sym 4651 KEYBOARD.RAM.r_data[6] -.sym 4653 KEYBOARD.RAM.r_data[7] -.sym 4721 $abc$56607$n874 -.sym 4722 $abc$56607$n878 -.sym 4723 $abc$56607$n879 +.sym 4629 I2C.byte_counter[5] +.sym 4630 $true$2 +.sym 4631 $auto$alumacc.cc:470:replace_alu$6017.C[5] +.sym 4633 $auto$alumacc.cc:470:replace_alu$6017.C[7] +.sym 4634 $false +.sym 4635 I2C.byte_counter[6] +.sym 4636 $true$2 +.sym 4637 $auto$alumacc.cc:470:replace_alu$6017.C[6] +.sym 4640 $false +.sym 4641 I2C.byte_counter[7] +.sym 4642 $true$2 +.sym 4643 $auto$alumacc.cc:470:replace_alu$6017.C[7] +.sym 4721 $abc$27697$n574 +.sym 4722 $abc$27697$n1402 +.sym 4723 $false .sym 4724 $false -.sym 4727 $abc$56607$n2043 -.sym 4728 I2C.i2c_bit_counter[1] +.sym 4727 $abc$27697$n574 +.sym 4728 $abc$27697$n1398 .sym 4729 $false .sym 4730 $false -.sym 4733 $abc$56607$n2237 -.sym 4734 KEYBOARD.row_counter[2] -.sym 4735 $abc$56607$n33$2 -.sym 4736 $false -.sym 4739 $abc$56607$n2043 -.sym 4740 I2C.i2c_bit_counter[0] +.sym 4739 $abc$27697$n574 +.sym 4740 $abc$27697$n1404 .sym 4741 $false .sym 4742 $false -.sym 4745 $false -.sym 4746 $abc$56607$n2045 -.sym 4747 $false -.sym 4748 $true$2 -.sym 4751 I2C.i2c_bit_counter[0] -.sym 4752 I2C.i2c_bit_counter[1] -.sym 4753 $abc$56607$n2043 +.sym 4751 I2C.byte_counter[0] +.sym 4752 I2C.byte_counter[1] +.sym 4753 $abc$27697$n574 .sym 4754 $false -.sym 4757 $abc$56607$n1290_1 -.sym 4758 $abc$56607$n2047 -.sym 4759 $abc$56607$n1293 -.sym 4760 $abc$56607$n880 -.sym 4763 $abc$56607$n1290_1 -.sym 4764 $abc$56607$n2045 -.sym 4765 $abc$56607$n1293 -.sym 4766 $abc$56607$n358 -.sym 4767 $true +.sym 4757 $abc$27697$n574 +.sym 4758 $abc$27697$n1400 +.sym 4759 $false +.sym 4760 $false +.sym 4763 $abc$27697$n574 +.sym 4764 $abc$27697$n1396 +.sym 4765 $false +.sym 4766 $false +.sym 4767 $abc$27697$n422 .sym 4768 CLK$2$2 -.sym 4769 $false -.sym 4844 I2C.FLT_SDA.out -.sym 4845 $abc$56607$n14 -.sym 4846 I2C.FLT_SCL.out +.sym 4769 $abc$27697$n23$2 +.sym 4806 $true +.sym 4843 I2C.byte_counter[5]$2 +.sym 4844 $false +.sym 4845 I2C.byte_counter[5] +.sym 4846 $false .sym 4847 $false -.sym 4850 I2C.FLT_SCL.out -.sym 4851 I2C.FLT_SDA.out -.sym 4852 $abc$56607$n14 -.sym 4853 I2C.wr -.sym 4856 $abc$56607$n1268_1 -.sym 4857 I2C.i2c_state_machine -.sym 4858 $false -.sym 4859 $false -.sym 4862 $abc$56607$n2043 -.sym 4863 I2C.byte_counter[5] -.sym 4864 $false -.sym 4865 $false -.sym 4868 I2C_HID_DESC.last_rd_request -.sym 4869 I2C.wr -.sym 4870 I2C.FLT_SCL.RESET -.sym 4871 $false -.sym 4874 $false -.sym 4875 $false +.sym 4849 $auto$alumacc.cc:470:replace_alu$6037.C[7] +.sym 4851 $false +.sym 4852 I2C.byte_counter[6] +.sym 4856 $false +.sym 4857 $false +.sym 4858 I2C.byte_counter[7] +.sym 4859 $auto$alumacc.cc:470:replace_alu$6037.C[7] +.sym 4868 $false +.sym 4869 I2C.byte_counter[0] +.sym 4870 $false +.sym 4871 $true$2 +.sym 4874 $abc$27697$n675 +.sym 4875 UART.tx_activity .sym 4876 $false .sym 4877 $false -.sym 4880 I2C.wr -.sym 4881 $false -.sym 4882 $false +.sym 4880 $abc$27697$n1116 +.sym 4881 $abc$27697$n1107 +.sym 4882 $abc$27697$n652 .sym 4883 $false -.sym 4890 $true +.sym 4886 $abc$27697$n574 +.sym 4887 $abc$27697$n1393 +.sym 4888 $false +.sym 4889 $false +.sym 4890 $abc$27697$n422 .sym 4891 CLK$2$2 -.sym 4892 $abc$56607$n35$2 -.sym 4967 $abc$56607$n875 -.sym 4968 $abc$56607$n877 -.sym 4969 $false -.sym 4970 $false -.sym 4973 I2C.byte_counter[7] -.sym 4974 $abc$56607$n1276 -.sym 4975 $false -.sym 4976 $false -.sym 4979 $abc$56607$n1300 -.sym 4980 $abc$56607$n877 -.sym 4981 $false -.sym 4982 $false -.sym 4985 I2C.FLT_SDA.out -.sym 4986 $abc$56607$n14 -.sym 4987 I2C.FLT_SCL.out -.sym 4988 I2C.i2c_state_machine -.sym 4991 I2C.byte_counter[4] -.sym 4992 I2C.byte_counter[5] -.sym 4993 I2C.byte_counter[6] -.sym 4994 $abc$56607$n1277 -.sym 4997 $abc$56607$n358 -.sym 4998 $abc$56607$n880 -.sym 4999 $abc$56607$n872 -.sym 5000 $false -.sym 5003 $abc$56607$n1280 -.sym 5004 $abc$56607$n1285 -.sym 5005 $abc$56607$n875 -.sym 5006 $false -.sym 5009 I2C.FLT_SDA.out -.sym 5010 $false -.sym 5011 $false -.sym 5012 $false -.sym 5013 $abc$56607$n1248 +.sym 4892 $abc$27697$n23$2 +.sym 4979 $false +.sym 4980 $false +.sym 4981 $true$2 +.sym 4982 $abc$27697$n1891 +.sym 5003 $abc$27697$n1116 +.sym 5004 $abc$27697$n1130 +.sym 5005 $abc$27697$n652 +.sym 5006 $abc$27697$n916 +.sym 5013 $true .sym 5014 CLK$2$2 .sym 5015 $false -.sym 5096 $abc$56607$n2605 +.sym 5016 I2C_HID_DESC.VAL[0] +.sym 5017 I2C_HID_DESC.VAL[1] +.sym 5018 I2C_HID_DESC.VAL[2] +.sym 5019 I2C_HID_DESC.VAL[3] +.sym 5020 I2C_HID_DESC.VAL[4] +.sym 5021 I2C_HID_DESC.VAL[5] +.sym 5022 I2C_HID_DESC.VAL[6] +.sym 5023 I2C_HID_DESC.VAL[7] +.sym 5096 I2C.byte_counter[7] .sym 5097 $false .sym 5098 $false .sym 5099 $false -.sym 5102 $abc$56607$n358 -.sym 5103 $abc$56607$n880 -.sym 5104 $abc$56607$n887 +.sym 5102 I2C_HID_DESC.last_rd_request +.sym 5103 I2C.wr +.sym 5104 I2C.FLT_SCL.RESET$2 .sym 5105 $false -.sym 5108 I2C.i2c_state_machine -.sym 5109 I2C.i2c_start_latency -.sym 5110 $false -.sym 5111 $false -.sym 5114 UART.tx_bit_counter[0] -.sym 5115 UART.tx_bit_counter[1] -.sym 5116 $false -.sym 5117 $false -.sym 5120 I2C.i2c_start_latency -.sym 5121 $abc$56607$n2043 -.sym 5122 I2C.i2c_state_machine -.sym 5123 I2C.is_read -.sym 5132 I2C.FLT_SDA.out -.sym 5133 $false -.sym 5134 $false -.sym 5135 $false -.sym 5136 $abc$56607$n1283 +.sym 5126 I2C.byte_counter[7] +.sym 5127 $abc$27697$n1288 +.sym 5128 I2C_OUTPUT_TYPE[1] +.sym 5129 I2C_OUTPUT_TYPE[0] +.sym 5132 I2C.byte_counter[0] +.sym 5133 $abc$27697$n1283 +.sym 5134 I2C_OUTPUT_TYPE[1] +.sym 5135 I2C_OUTPUT_TYPE[0] +.sym 5136 $abc$27697$n259 .sym 5137 CLK$2$2 -.sym 5138 $false -.sym 5213 KEYBOARD.init_ram_cnt[2] -.sym 5214 KEYBOARD.init_ram_cnt[3] -.sym 5215 KEYBOARD.init_ram_cnt[4] -.sym 5216 KEYBOARD.init_ram_cnt[8] -.sym 5219 KEYBOARD.init_ram_cnt[5] -.sym 5220 KEYBOARD.init_ram_cnt[6] -.sym 5221 KEYBOARD.init_ram_cnt[7] +.sym 5138 $abc$27697$n23$2 +.sym 5213 I2C.byte_counter[1] +.sym 5214 $false +.sym 5215 $false +.sym 5216 $false +.sym 5219 $abc$27697$n613 +.sym 5220 I2C.byte_counter[0] +.sym 5221 $abc$27697$n602 .sym 5222 $false -.sym 5231 KEYBOARD.init_ram_cnt[0] -.sym 5232 KEYBOARD.init_ram_cnt[1] -.sym 5233 $abc$56607$n924 -.sym 5234 $abc$56607$n925 -.sym 5237 $abc$56607$n2519 +.sym 5225 I2C.byte_counter[1] +.sym 5226 $false +.sym 5227 $false +.sym 5228 $false +.sym 5231 I2C.byte_counter[4] +.sym 5232 $false +.sym 5233 $false +.sym 5234 $false +.sym 5237 I2C.byte_counter[2] .sym 5238 $false .sym 5239 $false .sym 5240 $false -.sym 5249 KEYBOARD.init_ram_cnt[6] -.sym 5250 $false -.sym 5251 $false +.sym 5243 I2C_OUTPUT_TYPE[1] +.sym 5244 I2C_OUTPUT_TYPE[0] +.sym 5245 I2C.byte_counter[5] +.sym 5246 I2C.byte_counter[6] +.sym 5249 I2C_OUTPUT_TYPE[1] +.sym 5250 I2C_OUTPUT_TYPE[0] +.sym 5251 I2C.byte_counter[5] .sym 5252 $false -.sym 5255 KEYBOARD.init_ram_cnt[5] +.sym 5255 I2C.byte_counter[3] .sym 5256 $false .sym 5257 $false .sym 5258 $false -.sym 5259 $abc$56607$n1462 +.sym 5259 $abc$27697$n259 .sym 5260 CLK$2$2 -.sym 5261 KEYBOARD.init_ram_cnt[8] -.sym 5262 I2C_HID_DESC.VAL[0] -.sym 5263 I2C_HID_DESC.VAL[1] -.sym 5264 I2C_HID_DESC.VAL[2] -.sym 5265 I2C_HID_DESC.VAL[3] -.sym 5266 I2C_HID_DESC.VAL[4] -.sym 5267 I2C_HID_DESC.VAL[5] -.sym 5268 I2C_HID_DESC.VAL[6] -.sym 5269 I2C_HID_DESC.VAL[7] +.sym 5261 $abc$27697$n23$2 .sym 5298 $true -.sym 5335 $abc$56607$n2621$2 +.sym 5335 $abc$27697$n857$2 .sym 5336 $false -.sym 5337 $abc$56607$n2621 +.sym 5337 $abc$27697$n857 .sym 5338 $false .sym 5339 $false -.sym 5341 $auto$alumacc.cc:470:replace_alu$12026.C[2] -.sym 5343 $false -.sym 5344 $abc$56607$n2518 -.sym 5347 $auto$alumacc.cc:470:replace_alu$12026.C[3] +.sym 5341 $auto$alumacc.cc:470:replace_alu$5985.C[2] +.sym 5343 $true$2 +.sym 5344 $abc$27697$n1889 +.sym 5347 $auto$alumacc.cc:470:replace_alu$5985.C[3] .sym 5349 $false -.sym 5350 $abc$56607$n2604 -.sym 5353 $abc$56607$n2229$2 +.sym 5350 $abc$27697$n854 +.sym 5353 $auto$alumacc.cc:470:replace_alu$5985.C[4] .sym 5355 $false -.sym 5356 $abc$56607$n2606 -.sym 5363 $abc$56607$n2229$2 -.sym 5366 I2C_HID_DESC.VAL[5] -.sym 5367 REPORT_DATA.r_data[5] -.sym 5368 I2C_OUT_DESC_MASK[5] -.sym 5369 $false -.sym 5372 $abc$56607$n2518 -.sym 5373 $abc$56607$n2519 -.sym 5374 $false -.sym 5375 $false -.sym 5378 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5356 $abc$27697$n853 +.sym 5359 $auto$alumacc.cc:470:replace_alu$5985.C[5] +.sym 5361 $false +.sym 5362 $abc$27697$n851 +.sym 5365 $auto$alumacc.cc:470:replace_alu$5985.C[6] +.sym 5367 $false +.sym 5368 $abc$27697$n850 +.sym 5371 $auto$alumacc.cc:470:replace_alu$5985.C[7] +.sym 5373 $false +.sym 5374 $abc$27697$n848 +.sym 5377 $abc$27697$n1956$2 .sym 5379 $false -.sym 5380 $false -.sym 5381 $false -.sym 5382 $abc$56607$n1191 -.sym 5383 CLK$2$2 -.sym 5384 $abc$56607$n35$2 -.sym 5459 $abc$56607$n670 -.sym 5460 I2C.is_read -.sym 5461 $false -.sym 5462 $false -.sym 5465 I2C.byte_counter[0] -.sym 5466 I2C.byte_counter[1] -.sym 5467 $false +.sym 5380 $abc$27697$n847 +.sym 5462 $abc$27697$n1956$2 +.sym 5465 I2C.FLT_SCL.RESET$2 +.sym 5466 $abc$27697$n574 +.sym 5467 I2C.is_read .sym 5468 $false -.sym 5471 I2C.byte_counter[7] -.sym 5472 $abc$56607$n2477 -.sym 5473 I2C_OUTPUT_TYPE[1] -.sym 5474 I2C_OUTPUT_TYPE[0] -.sym 5477 I2C.byte_counter[0] -.sym 5478 $abc$56607$n2471 -.sym 5479 I2C_OUTPUT_TYPE[1] -.sym 5480 I2C_OUTPUT_TYPE[0] -.sym 5483 I2C_OUTPUT_TYPE[1] -.sym 5484 I2C_OUTPUT_TYPE[0] -.sym 5485 I2C.byte_counter[5] -.sym 5486 I2C.byte_counter[6] -.sym 5489 I2C.byte_counter[4] -.sym 5490 $false +.sym 5471 $abc$27697$n602 +.sym 5472 $abc$27697$n1956 +.sym 5473 $abc$27697$n1961 +.sym 5474 $false +.sym 5477 I2C_HID_DESC.VAL[7] +.sym 5478 I2C_TX_REPORT[7] +.sym 5479 I2C_OUT_DESC_MASK[7] +.sym 5480 $false +.sym 5483 $false +.sym 5484 $false +.sym 5485 $false +.sym 5486 $false +.sym 5489 $abc$27697$n613 +.sym 5490 $abc$27697$n1098 .sym 5491 $false .sym 5492 $false -.sym 5495 I2C.byte_counter[1] -.sym 5496 $false -.sym 5497 $false -.sym 5498 $false -.sym 5501 I2C_OUTPUT_TYPE[1] -.sym 5502 I2C_OUTPUT_TYPE[0] -.sym 5503 I2C.byte_counter[5] -.sym 5504 $false -.sym 5505 $abc$56607$n1492 +.sym 5495 $abc$27697$n1956 +.sym 5496 $abc$27697$n874 +.sym 5497 $abc$27697$n615 +.sym 5498 $abc$27697$n1961 +.sym 5501 $abc$27697$n1956 +.sym 5502 $abc$27697$n862 +.sym 5503 $abc$27697$n615 +.sym 5504 $abc$27697$n1961 +.sym 5505 $abc$27697$n285 .sym 5506 CLK$2$2 -.sym 5507 $abc$56607$n35$2 -.sym 5508 REPORT_DATA.r_data[0] -.sym 5510 REPORT_DATA.r_data[1] -.sym 5512 REPORT_DATA.r_data[2] -.sym 5514 REPORT_DATA.r_data[3] -.sym 5582 I2C_HID_DESC.VAL[7] -.sym 5583 REPORT_DATA.r_data[7] -.sym 5584 I2C_OUT_DESC_MASK[7] +.sym 5507 $abc$27697$n741$2 +.sym 5582 KEYBOARD.kbd_code_hid[0] +.sym 5583 KEYBOARD.kbd_code_hid[1] +.sym 5584 KEYBOARD.kbd_code_hid[2] .sym 5585 $false -.sym 5588 I2C_INPUT_LEN[4] -.sym 5589 I2C_INPUT_LEN[5] -.sym 5590 I2C_INPUT_LEN[6] -.sym 5591 I2C_INPUT_LEN[7] -.sym 5594 I2C_INPUT_LEN[4] -.sym 5595 $false -.sym 5596 $false +.sym 5588 $abc$27697$n863 +.sym 5589 KEYBOARD.report[0][1] +.sym 5590 $abc$27697$n849 +.sym 5591 $abc$27697$n867 +.sym 5594 KEYBOARD.kbd_code_hid[0] +.sym 5595 KEYBOARD.kbd_code_hid[1] +.sym 5596 KEYBOARD.kbd_code_hid[2] .sym 5597 $false -.sym 5600 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5601 $false -.sym 5602 $false -.sym 5603 $false -.sym 5606 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5607 $false -.sym 5608 $false -.sym 5609 $false -.sym 5612 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5613 $false -.sym 5614 $false -.sym 5615 $false -.sym 5618 $abc$56607$n1093 -.sym 5619 $abc$56607$n1099_1 -.sym 5620 $false -.sym 5621 $false -.sym 5624 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5625 $false -.sym 5626 $false -.sym 5627 $false -.sym 5628 $abc$56607$n1191 +.sym 5600 $abc$27697$n849 +.sym 5601 KEYBOARD.report[0][3] +.sym 5602 $abc$27697$n875 +.sym 5603 $abc$27697$n867 +.sym 5612 $abc$27697$n849 +.sym 5613 KEYBOARD.report[0][2] +.sym 5614 $abc$27697$n869 +.sym 5615 $abc$27697$n847_1 +.sym 5618 $abc$27697$n851_1 +.sym 5619 KEYBOARD.report[0][0] +.sym 5620 $abc$27697$n849 +.sym 5621 $abc$27697$n847_1 +.sym 5628 $abc$27697$n285 .sym 5629 CLK$2$2 -.sym 5630 $abc$56607$n35$2 -.sym 5631 REPORT_DATA.r_data[4] -.sym 5633 REPORT_DATA.r_data[5] -.sym 5635 REPORT_DATA.r_data[6] -.sym 5637 REPORT_DATA.r_data[7] -.sym 5667 $true -.sym 5704 I2C.byte_counter[5]$2 -.sym 5705 $false -.sym 5706 I2C.byte_counter[5] -.sym 5707 $false +.sym 5630 $abc$27697$n741$2 +.sym 5705 KEYBOARD.kbd_code_hid[1] +.sym 5706 KEYBOARD.kbd_code_hid[0] +.sym 5707 KEYBOARD.kbd_code_hid[2] .sym 5708 $false -.sym 5710 $auto$alumacc.cc:470:replace_alu$12164.C[7] -.sym 5712 $false -.sym 5713 I2C.byte_counter[6] -.sym 5717 $false -.sym 5718 $false -.sym 5719 I2C.byte_counter[7] -.sym 5720 $auto$alumacc.cc:470:replace_alu$12164.C[7] -.sym 5723 I2C.received_byte[6] -.sym 5724 $false -.sym 5725 $false +.sym 5711 KEYBOARD.kbd_code_hid[1] +.sym 5712 KEYBOARD.kbd_code_hid[2] +.sym 5713 KEYBOARD.kbd_code_hid[0] +.sym 5714 $false +.sym 5717 $abc$27697$n25$2 +.sym 5718 KEYBOARD.report[0][0] +.sym 5719 $abc$27697$n566 +.sym 5720 $false +.sym 5723 $abc$27697$n25$2 +.sym 5724 KEYBOARD.report[0][1] +.sym 5725 $abc$27697$n1015 .sym 5726 $false -.sym 5729 I2C_INPUT_LEN[3] -.sym 5730 $false -.sym 5731 $false +.sym 5729 $abc$27697$n25$2 +.sym 5730 KEYBOARD.report[0][6] +.sym 5731 $abc$27697$n1025 .sym 5732 $false -.sym 5735 I2C_INPUT_LEN[1] -.sym 5736 $false -.sym 5737 $false +.sym 5735 $abc$27697$n25$2 +.sym 5736 KEYBOARD.report[0][5] +.sym 5737 $abc$27697$n1023 .sym 5738 $false -.sym 5741 $abc$56607$n1052 -.sym 5742 I2C.received_byte[5] -.sym 5743 I2C.is_read +.sym 5741 $abc$27697$n25$2 +.sym 5742 KEYBOARD.report[0][3] +.sym 5743 $abc$27697$n1019 .sym 5744 $false -.sym 5747 $abc$56607$n1056 -.sym 5748 I2C.received_byte[7] -.sym 5749 I2C.is_read +.sym 5747 $abc$27697$n25$2 +.sym 5748 KEYBOARD.report[0][2] +.sym 5749 $abc$27697$n1017 .sym 5750 $false -.sym 5751 $abc$56607$n888 +.sym 5751 $abc$27697$n659 .sym 5752 CLK$2$2 -.sym 5753 $abc$56607$n19 -.sym 5828 $abc$56607$n1180 -.sym 5829 $abc$56607$n795 -.sym 5830 $false -.sym 5831 $false -.sym 5834 $abc$56607$n788 -.sym 5835 $abc$56607$n21 -.sym 5836 $abc$56607$n754 -.sym 5837 $abc$56607$n742 -.sym 5840 I2C_TRANS -.sym 5841 last_trans -.sym 5842 $false -.sym 5843 $false -.sym 5846 $abc$56607$n742 -.sym 5847 $abc$56607$n747 -.sym 5848 $abc$56607$n754 +.sym 5753 $false +.sym 5834 KEYBOARD.kbd_code_hid[2] +.sym 5835 KEYBOARD.report[3][2] +.sym 5836 KEYBOARD.kbd_code_hid[3] +.sym 5837 KEYBOARD.report[3][3] +.sym 5840 $abc$27697$n855 +.sym 5841 KEYBOARD.report[4][2] +.sym 5842 $abc$27697$n849 +.sym 5843 $abc$27697$n870 +.sym 5846 $abc$27697$n690_1 +.sym 5847 $abc$27697$n691_1 +.sym 5848 $abc$27697$n683 .sym 5849 $false -.sym 5852 $abc$56607$n754 -.sym 5853 $abc$56607$n788 -.sym 5854 I2C.FLT_SCL.RESET +.sym 5852 KEYBOARD.kbd_code_hid[2] +.sym 5853 $false +.sym 5854 $false .sym 5855 $false -.sym 5858 $abc$56607$n826 -.sym 5859 $abc$56607$n2580 -.sym 5860 $abc$56607$n2570 -.sym 5861 $abc$56607$n21 -.sym 5864 KEYBOARD.init_ram_cnt[4] -.sym 5865 $false -.sym 5866 $false -.sym 5867 $false -.sym 5870 KEYBOARD.init_ram_cnt[7] +.sym 5858 KEYBOARD.kbd_code_hid[1] +.sym 5859 $false +.sym 5860 $false +.sym 5861 $false +.sym 5870 KEYBOARD.kbd_code_hid[3] .sym 5871 $false .sym 5872 $false .sym 5873 $false -.sym 5874 $abc$56607$n1462 +.sym 5874 $abc$27697$n618 .sym 5875 CLK$2$2 -.sym 5876 KEYBOARD.init_ram_cnt[8] -.sym 5913 $true -.sym 5950 report_data_radr[0]$2 -.sym 5951 $false -.sym 5952 report_data_radr[0] -.sym 5953 $false -.sym 5954 $false -.sym 5956 $auto$alumacc.cc:470:replace_alu$12120.C[2] -.sym 5958 $false -.sym 5959 report_data_radr[1] -.sym 5962 $auto$alumacc.cc:470:replace_alu$12120.C[3] -.sym 5963 $false +.sym 5876 $abc$27697$n25$2 +.sym 5957 $abc$27697$n858 +.sym 5958 KEYBOARD.report[3][2] +.sym 5959 $abc$27697$n871 +.sym 5960 $abc$27697$n872 +.sym 5963 KEYBOARD.kbd_code_hid[6] .sym 5964 $false -.sym 5965 report_data_radr[2] -.sym 5966 $auto$alumacc.cc:470:replace_alu$12120.C[2] -.sym 5968 $auto$alumacc.cc:470:replace_alu$12120.C[4] -.sym 5969 $false +.sym 5965 $false +.sym 5966 $false +.sym 5969 KEYBOARD.kbd_code_hid[5] .sym 5970 $false -.sym 5971 report_data_radr[3] -.sym 5972 $auto$alumacc.cc:470:replace_alu$12120.C[3] -.sym 5974 $auto$alumacc.cc:470:replace_alu$12120.C[5] -.sym 5975 $false -.sym 5976 $false -.sym 5977 report_data_radr[4] -.sym 5978 $auto$alumacc.cc:470:replace_alu$12120.C[4] -.sym 5980 $auto$alumacc.cc:470:replace_alu$12120.C[6] -.sym 5981 $false +.sym 5971 $false +.sym 5972 $false +.sym 5981 KEYBOARD.kbd_code_hid[4] .sym 5982 $false -.sym 5983 report_data_radr[5] -.sym 5984 $auto$alumacc.cc:470:replace_alu$12120.C[5] -.sym 5986 $auto$alumacc.cc:470:replace_alu$12120.C[7] -.sym 5987 $false -.sym 5988 $false -.sym 5989 report_data_radr[6] -.sym 5990 $auto$alumacc.cc:470:replace_alu$12120.C[6] -.sym 5993 $false -.sym 5994 $false -.sym 5995 report_data_radr[7] -.sym 5996 $auto$alumacc.cc:470:replace_alu$12120.C[7] -.sym 6074 $10\ring_rd[3:0][0] -.sym 6075 $10\ring_rd[3:0][2] +.sym 5983 $false +.sym 5984 $false +.sym 5997 $abc$27697$n618 +.sym 5998 CLK$2$2 +.sym 5999 $abc$27697$n25$2 +.sym 6036 $true +.sym 6073 KEYBOARD.kbd_code_hid[0]$2 +.sym 6074 $false +.sym 6075 KEYBOARD.kbd_code_hid[0] .sym 6076 $false .sym 6077 $false -.sym 6080 $abc$56607$n763 -.sym 6081 ring_rd[0] -.sym 6082 ring_rd[1] -.sym 6083 $false -.sym 6086 $abc$56607$n1830 -.sym 6087 $abc$56607$n2545 -.sym 6088 $abc$56607$n2543 -.sym 6089 $abc$56607$n21 -.sym 6092 $abc$56607$n1827 -.sym 6093 $10\ring_rd[3:0][0] -.sym 6094 $10\ring_rd[3:0][2] -.sym 6095 $abc$56607$n21 -.sym 6098 $abc$56607$n1832 -.sym 6099 $abc$56607$n1833 -.sym 6100 $abc$56607$n21 -.sym 6101 $false -.sym 6104 $abc$56607$n1835 -.sym 6105 $abc$56607$n1836 -.sym 6106 $abc$56607$n21 -.sym 6107 $false -.sym 6110 $10\ring_rd[3:0][1] -.sym 6111 $abc$56607$n1824 -.sym 6112 $abc$56607$n21 -.sym 6113 $false -.sym 6116 $abc$56607$n1838 -.sym 6117 $abc$56607$n1839 -.sym 6118 $abc$56607$n21 -.sym 6119 $false -.sym 6120 $abc$56607$n1050 -.sym 6121 CLK$2$2 -.sym 6122 $false -.sym 6159 $false -.sym 6196 $auto$maccmap.cc:240:synth$12778.C[5] -.sym 6198 $abc$56607$n2543 -.sym 6199 $abc$56607$n2545 -.sym 6202 $auto$maccmap.cc:240:synth$12778.C[6] -.sym 6203 $false -.sym 6204 $10\ring_rd[3:0][2] -.sym 6205 $abc$56607$n2691 -.sym 6206 $auto$maccmap.cc:240:synth$12778.C[5] -.sym 6208 $auto$maccmap.cc:240:synth$12778.C[7] -.sym 6209 $false -.sym 6210 $10\ring_rd[3:0][3] -.sym 6211 $false -.sym 6212 $auto$maccmap.cc:240:synth$12778.C[6] -.sym 6215 $false -.sym 6216 $false -.sym 6217 $false -.sym 6218 $auto$maccmap.cc:240:synth$12778.C[7] -.sym 6221 $10\ring_rd[3:0][1] -.sym 6222 $10\ring_rd[3:0][3] -.sym 6223 $false -.sym 6224 $false -.sym 6227 ring_rd[0] -.sym 6228 $abc$56607$n1811 -.sym 6229 $abc$56607$n763 -.sym 6230 $false -.sym 6233 $false -.sym 6234 $true$2 -.sym 6235 ring_rd[0] -.sym 6236 $false -.sym 6239 $10\ring_rd[3:0][1] -.sym 6240 $10\ring_rd[3:0][3] -.sym 6241 $false -.sym 6242 $false +.sym 6079 $auto$alumacc.cc:470:replace_alu$5945.C[2] +.sym 6081 KEYBOARD.kbd_code_hid[1] +.sym 6082 $false +.sym 6085 $auto$alumacc.cc:470:replace_alu$5945.C[3] +.sym 6087 KEYBOARD.kbd_code_hid[2] +.sym 6088 $false +.sym 6091 $auto$alumacc.cc:470:replace_alu$5945.C[4] +.sym 6093 KEYBOARD.kbd_code_hid[3] +.sym 6094 $false +.sym 6097 $auto$alumacc.cc:470:replace_alu$5945.C[5] +.sym 6099 KEYBOARD.kbd_code_hid[4] +.sym 6100 $false +.sym 6103 $auto$alumacc.cc:470:replace_alu$5945.C[6] +.sym 6105 KEYBOARD.kbd_code_hid[5] +.sym 6106 $true$2 +.sym 6109 $auto$alumacc.cc:470:replace_alu$5945.C[7] +.sym 6111 KEYBOARD.kbd_code_hid[6] +.sym 6112 $false +.sym 6115 $abc$27697$n1947$2 +.sym 6117 KEYBOARD.kbd_code_hid[7] +.sym 6118 $false +.sym 6200 $abc$27697$n1947$2 .sym 6418 KBD_COLUMNS[0]$2 .sym 6420 KBD_COLUMNS[1]$2 -.sym 6422 $abc$56607$n2246 -.sym 6423 KEYBOARD.kbd_code[2] -.sym 6425 KEYBOARD.row_counter[1] -.sym 6426 KEYBOARD.kbd_code[3] -.sym 6427 KEYBOARD.kbd_code[7] -.sym 6428 KEYBOARD.kbd_code[5] -.sym 6429 KEYBOARD.kbd_code[6] -.sym 6438 $false -.sym 6439 $false -.sym 6441 $false -.sym 6442 $false -.sym 6443 $false -.sym 6444 $false -.sym 6445 $false -.sym 6446 $false -.sym 6449 $false -.sym 6450 $false$2 -.sym 6451 $false -.sym 6452 $false -.sym 6453 $false -.sym 6454 $false -.sym 6455 $false -.sym 6456 $false -.sym 6457 $false -.sym 6458 $false -.sym 6459 $false -.sym 6564 $abc$56607$n1249 -.sym 6565 $abc$56607$n2616 -.sym 6566 $abc$56607$n2049 -.sym 6567 I2C.i2c_bit_counter[2] -.sym 6576 KEYBOARD.kbd_code[0] -.sym 6577 KEYBOARD.kbd_code[1] -.sym 6579 KEYBOARD.kbd_code[2] -.sym 6580 KEYBOARD.kbd_code[3] -.sym 6581 KEYBOARD.kbd_code[4] -.sym 6582 KEYBOARD.kbd_code[5] -.sym 6583 KEYBOARD.kbd_code[6] -.sym 6584 KEYBOARD.kbd_code[7] -.sym 6587 CLK$2$2 -.sym 6588 $true -.sym 6589 $true$2 -.sym 6662 $abc$56607$n878 -.sym 6663 $abc$56607$n1268_1 -.sym 6664 $abc$56607$n1285 -.sym 6665 $abc$56607$n1291 -.sym 6666 $abc$56607$n1293 -.sym 6667 $abc$56607$n1319 -.sym 6668 $abc$56607$n1290_1 -.sym 6669 $abc$56607$n16 -.sym 6670 $undef -.sym 6671 $undef -.sym 6672 $undef -.sym 6673 $undef -.sym 6674 $undef -.sym 6675 $undef -.sym 6676 $undef -.sym 6677 $undef -.sym 6678 KEYBOARD.ram_adr[0] -.sym 6679 KEYBOARD.ram_adr[1] -.sym 6680 $false -.sym 6681 KEYBOARD.ram_adr[2] -.sym 6682 KEYBOARD.ram_adr[3] -.sym 6683 KEYBOARD.ram_adr[4] -.sym 6684 KEYBOARD.ram_adr[5] -.sym 6685 KEYBOARD.ram_adr[6] -.sym 6686 KEYBOARD.ram_adr[7] -.sym 6687 $false -.sym 6688 $false -.sym 6689 CLK$2$2 -.sym 6690 KEYBOARD.ram_wr -.sym 6691 KEYBOARD.temp[0] -.sym 6692 $undef -.sym 6693 KEYBOARD.temp[1] -.sym 6694 $undef -.sym 6695 KEYBOARD.temp[2] -.sym 6696 $undef -.sym 6697 KEYBOARD.temp[3] -.sym 6698 $undef -.sym 6699 $true$2 -.sym 6764 $abc$56607$n1314 -.sym 6765 $abc$56607$n1318 -.sym 6766 $abc$56607$n1322 -.sym 6767 $abc$56607$n1320_1 -.sym 6768 $abc$56607$n1267 -.sym 6769 $abc$56607$n1271 -.sym 6770 $abc$56607$n1317 -.sym 6771 I2C.wr -.sym 6772 $undef -.sym 6773 $undef -.sym 6774 $undef -.sym 6775 $undef -.sym 6776 $undef -.sym 6777 $undef -.sym 6778 $undef -.sym 6779 $undef -.sym 6780 KEYBOARD.ram_adr[0] -.sym 6781 KEYBOARD.ram_adr[1] -.sym 6782 $false -.sym 6783 KEYBOARD.ram_adr[2] -.sym 6784 KEYBOARD.ram_adr[3] -.sym 6785 KEYBOARD.ram_adr[4] -.sym 6786 KEYBOARD.ram_adr[5] -.sym 6787 KEYBOARD.ram_adr[6] -.sym 6788 KEYBOARD.ram_adr[7] -.sym 6789 $false -.sym 6790 $false -.sym 6791 CLK$2$2 -.sym 6792 $true -.sym 6793 $true$2 -.sym 6794 KEYBOARD.temp[5] -.sym 6795 $undef -.sym 6796 KEYBOARD.temp[6] -.sym 6797 $undef -.sym 6798 KEYBOARD.temp[7] -.sym 6799 $undef -.sym 6800 KEYBOARD.temp[4] -.sym 6801 $undef -.sym 6868 $abc$56607$n2495 -.sym 6869 $abc$56607$n872 -.sym 6870 KEYBOARD.ram_adr[1] -.sym 6871 KEYBOARD.ram_adr[0] -.sym 6872 KEYBOARD.ram_adr[2] -.sym 6873 KEYBOARD.ram_adr[3] -.sym 6970 $abc$56607$n2603 -.sym 6971 $abc$56607$n2605 -.sym 6972 $abc$56607$n1268 -.sym 6973 $abc$56607$n2604 -.sym 6974 $abc$56607$n887 -.sym 6975 I2C.received_byte[2] -.sym 7070 $abc$56607$n1264 -.sym 7071 $abc$56607$n1258 -.sym 7072 $abc$56607$n1261 -.sym 7073 $abc$56607$n1266 -.sym 7074 $abc$56607$n1265 -.sym 7075 $abc$56607$n1263 -.sym 7076 $abc$56607$n1262 -.sym 7077 I2C.received_byte[1] -.sym 7172 $abc$56607$n2509 -.sym 7174 $abc$56607$n1054 -.sym 7175 $abc$56607$n1459 -.sym 7176 I2C_OUT_DESC_MASK[3] -.sym 7177 I2C_OUT_DESC_MASK[6] +.sym 6429 $abc$27697$n1960 +.sym 6560 $abc$27697$n1927 +.sym 6561 $abc$27697$n1922 +.sym 6562 $abc$27697$n1923 +.sym 6563 $abc$27697$n1486 +.sym 6566 I2C.is_ack +.sym 6567 $abc$27697$n16 +.sym 6662 $abc$27697$n913 +.sym 6663 $abc$27697$n651_1 +.sym 6664 $abc$27697$n917_1 +.sym 6665 $abc$27697$n634 +.sym 6666 $abc$27697$n1928 +.sym 6667 $abc$27697$n1116 +.sym 6668 $abc$27697$n916 +.sym 6669 I2C_INPUT_LEN[7] +.sym 6764 $abc$27697$n1131_1 +.sym 6765 $abc$27697$n914 +.sym 6766 $abc$27697$n971 +.sym 6767 $abc$27697$n936 +.sym 6768 $abc$27697$n927 +.sym 6769 $abc$27697$n973 +.sym 6770 $abc$27697$n1107 +.sym 6771 I2C.i2c_state_machine +.sym 6866 $abc$27697$n1492 +.sym 6867 $abc$27697$n941 +.sym 6868 $abc$27697$n1913 +.sym 6869 $abc$27697$n972 +.sym 6870 $abc$27697$n650 +.sym 6871 $abc$27697$n937 +.sym 6872 I2C.wr +.sym 6873 I2C.i2c_bit_counter[2] +.sym 6968 $abc$27697$n921 +.sym 6969 $abc$27697$n579 +.sym 6971 $abc$27697$n1283 +.sym 6972 I2C_HID_DESC.last_rd_request +.sym 7070 $abc$27697$n850 +.sym 7071 $abc$27697$n848 +.sym 7072 $abc$27697$n815 +.sym 7073 $abc$27697$n851 +.sym 7074 $abc$27697$n811 +.sym 7075 $abc$27697$n813 +.sym 7076 I2C_OUT_DESC_MASK[5] +.sym 7077 I2C_OUT_DESC_MASK[6] +.sym 7086 $false +.sym 7087 $false +.sym 7089 $false +.sym 7090 $false +.sym 7091 $false +.sym 7092 $false +.sym 7093 $false +.sym 7094 $false +.sym 7097 $false +.sym 7098 $false$2 +.sym 7099 $false +.sym 7100 $false +.sym 7101 $false +.sym 7102 $false +.sym 7103 $false +.sym 7104 $false +.sym 7105 $false +.sym 7106 $false +.sym 7107 $false +.sym 7172 $abc$27697$n805 +.sym 7173 $abc$27697$n807 +.sym 7174 $abc$27697$n803 +.sym 7175 $abc$27697$n853 +.sym 7176 $abc$27697$n857 +.sym 7177 I2C_OUT_DESC_MASK[2] +.sym 7178 I2C_OUT_DESC_MASK[0] .sym 7179 I2C_OUT_DESC_MASK[1] -.sym 7274 COM_TX$2 -.sym 7275 $abc$56607$n663 -.sym 7276 $abc$56607$n2471 -.sym 7277 $abc$56607$n1046 -.sym 7278 $abc$56607$n1044 -.sym 7279 $abc$56607$n658 -.sym 7280 $abc$56607$n1048 -.sym 7281 $abc$56607$n10 -.sym 7290 $false -.sym 7291 $false -.sym 7293 $false -.sym 7294 $false -.sym 7295 $false -.sym 7296 $false -.sym 7297 $false -.sym 7298 $false -.sym 7301 $false -.sym 7302 $false$2 -.sym 7303 $false -.sym 7304 $false -.sym 7305 $false -.sym 7306 $false -.sym 7307 $false -.sym 7308 $false -.sym 7309 $false -.sym 7310 $false -.sym 7311 $false -.sym 7376 $abc$56607$n1050_1 -.sym 7377 $abc$56607$n662 -.sym 7378 $abc$56607$n659 -.sym 7379 $abc$56607$n1042 -.sym 7380 UART_TX_DATA[0] -.sym 7381 UART_TX_DATA[2] -.sym 7382 UART_TX_DATA[6] -.sym 7383 UART_TX_DATA[4] -.sym 7392 I2C_HID_DESC.real_adress[0] -.sym 7393 I2C_HID_DESC.real_adress[1] -.sym 7395 I2C_HID_DESC.real_adress[2] -.sym 7396 I2C_HID_DESC.real_adress[3] -.sym 7397 I2C_HID_DESC.real_adress[4] -.sym 7398 I2C_HID_DESC.real_adress[5] -.sym 7399 I2C_HID_DESC.real_adress[6] -.sym 7400 I2C_HID_DESC.real_adress[7] -.sym 7403 CLK$2$2 -.sym 7404 $true -.sym 7405 $true$2 -.sym 7478 $abc$56607$n665 -.sym 7479 $abc$56607$n861 -.sym 7480 $abc$56607$n2608 -.sym 7481 $abc$56607$n857 -.sym 7482 $abc$56607$n664 -.sym 7483 $abc$56607$n858 -.sym 7484 UART_TX_DATA[1] -.sym 7485 UART_TX_DATA[3] -.sym 7486 $undef -.sym 7487 $undef -.sym 7488 $undef -.sym 7489 $undef -.sym 7490 $undef -.sym 7491 $undef -.sym 7492 $undef -.sym 7493 $undef -.sym 7494 report_data_wadr[0] -.sym 7495 report_data_wadr[1] -.sym 7496 $false -.sym 7497 report_data_wadr[2] -.sym 7498 report_data_wadr[3] -.sym 7499 report_data_wadr[4] -.sym 7500 report_data_wadr[5] -.sym 7501 report_data_wadr[6] -.sym 7502 report_data_wadr[7] -.sym 7503 $false -.sym 7504 $false -.sym 7505 CLK$2$2 -.sym 7506 report_wr_en -.sym 7507 report_data_wr[0] -.sym 7508 $undef -.sym 7509 report_data_wr[1] -.sym 7510 $undef -.sym 7511 report_data_wr[2] -.sym 7512 $undef -.sym 7513 report_data_wr[3] -.sym 7514 $undef -.sym 7515 $true$2 -.sym 7580 $abc$56607$n280 -.sym 7581 $abc$56607$n999 -.sym 7582 $abc$56607$n1039 -.sym 7583 $abc$56607$n1465 -.sym 7584 $abc$56607$n273 -.sym 7585 $abc$56607$n277 -.sym 7586 $abc$56607$n282 -.sym 7587 report_data_radr[0] -.sym 7588 $undef -.sym 7589 $undef -.sym 7590 $undef -.sym 7591 $undef -.sym 7592 $undef -.sym 7593 $undef -.sym 7594 $undef -.sym 7595 $undef -.sym 7596 report_data_radr[0] -.sym 7597 report_data_radr[1] -.sym 7598 $false -.sym 7599 report_data_radr[2] -.sym 7600 report_data_radr[3] -.sym 7601 report_data_radr[4] -.sym 7602 report_data_radr[5] -.sym 7603 report_data_radr[6] -.sym 7604 report_data_radr[7] -.sym 7605 $false -.sym 7606 $false -.sym 7607 CLK$2$2 -.sym 7608 $true -.sym 7609 $true$2 -.sym 7610 report_data_wr[5] -.sym 7611 $undef -.sym 7612 report_data_wr[6] -.sym 7613 $undef -.sym 7614 report_data_wr[7] -.sym 7615 $undef -.sym 7616 report_data_wr[4] -.sym 7617 $undef -.sym 7683 $abc$56607$n279 -.sym 7688 COM_DSR$2 -.sym 7689 report_data_radr[1] -.sym 7785 $10\ring_rd[3:0][2] -.sym 7787 $10\ring_rd[3:0][3] -.sym 7789 I2C.FLT_SCL.out -.sym 7888 $abc$56607$n1639 -.sym 7889 $abc$56607$n1009 -.sym 7890 $abc$56607$n1511 -.sym 7892 $abc$56607$n2484 -.sym 7893 I2C.FLT_SCL.counter[2] -.sym 8118 $abc$56607$n1343 -.sym 8119 $abc$56607$n1344 -.sym 8120 $abc$56607$n691 -.sym 8122 $abc$56607$n696 -.sym 8123 $abc$56607$n1492_1 -.sym 8124 KEYBOARD.temp[6] -.sym 8125 KEYBOARD.temp[5] +.sym 7188 I2C_HID_DESC.real_adress[0] +.sym 7189 I2C_HID_DESC.real_adress[1] +.sym 7191 I2C_HID_DESC.real_adress[2] +.sym 7192 I2C_HID_DESC.real_adress[3] +.sym 7193 I2C_HID_DESC.real_adress[4] +.sym 7194 I2C_HID_DESC.real_adress[5] +.sym 7195 I2C_HID_DESC.real_adress[6] +.sym 7196 I2C_HID_DESC.real_adress[7] +.sym 7199 CLK$2$2 +.sym 7200 $true +.sym 7201 $true$2 +.sym 7274 $abc$27697$n809 +.sym 7275 $abc$27697$n741 +.sym 7276 I2C_OUT_DESC_MASK[3] +.sym 7277 I2C_OUTPUT_TYPE[1] +.sym 7278 I2C_OUT_DESC_MASK[7] +.sym 7280 I2C_OUTPUT_TYPE[0] +.sym 7281 I2C_OUTPUT_TYPE[2] +.sym 7376 I2C_TX_REPORT[6] +.sym 7379 I2C_TX_REPORT[7] +.sym 7381 I2C_TX_REPORT[4] +.sym 7383 I2C_TX_REPORT[5] +.sym 7478 $abc$27697$n694_1 +.sym 7479 $abc$27697$n1017 +.sym 7480 $abc$27697$n895 +.sym 7481 $abc$27697$n1019 +.sym 7482 $abc$27697$n1025 +.sym 7483 $abc$27697$n696 +.sym 7484 KEYBOARD.report[4][0] +.sym 7485 KEYBOARD.report[4][7] +.sym 7580 $abc$27697$n875 +.sym 7581 $abc$27697$n681 +.sym 7582 $abc$27697$n727 +.sym 7583 $abc$27697$n732_1 +.sym 7584 $abc$27697$n697 +.sym 7585 $abc$27697$n1135_1 +.sym 7586 COM_DSR$2 +.sym 7587 $abc$27697$n10 +.sym 7682 $abc$27697$n1137_1 +.sym 7683 $abc$27697$n1136 +.sym 7684 $abc$27697$n885_1 +.sym 7685 $abc$27697$n618 +.sym 7686 $abc$27697$n698_1 +.sym 7687 $abc$27697$n730 +.sym 7688 $abc$27697$n714 +.sym 7689 $abc$27697$n1138_1 +.sym 7784 $abc$27697$n716 +.sym 7785 $abc$27697$n1119 +.sym 7786 $abc$27697$n556 +.sym 7787 KEYBOARD.report[6][1] +.sym 7788 KEYBOARD.report[6][7] +.sym 7789 KEYBOARD.report[6][2] +.sym 7790 KEYBOARD.report[6][0] +.sym 7791 KEYBOARD.report[6][3] +.sym 7891 $abc$27697$n1946 +.sym 7892 $abc$27697$n693 +.sym 8120 $abc$27697$n1408 +.sym 8123 rststate[0] .sym 8242 KBD_COLUMNS[2]$2 .sym 8244 KBD_COLUMNS[3]$2 -.sym 8246 $abc$56607$n1490_1 -.sym 8247 $abc$56607$n1347 -.sym 8248 $abc$56607$n1341 -.sym 8249 $abc$56607$n1349 -.sym 8250 $abc$56607$n2273 -.sym 8251 $abc$56607$n2287 -.sym 8252 KEYBOARD.kbd_code[1] -.sym 8253 KEYBOARD.kbd_code[0] -.sym 8356 $abc$56607$n33$2 -.sym 8357 KEYBOARD.row_counter[0] -.sym 8358 KEYBOARD.row_counter[1] +.sym 8253 KEYBOARD.COLS_SHADOW[3] +.sym 8318 $true +.sym 8355 $abc$27697$n1922$2 +.sym 8356 $false +.sym 8357 $abc$27697$n1922 +.sym 8358 $false .sym 8359 $false -.sym 8362 $abc$56607$n2273 +.sym 8361 $auto$alumacc.cc:470:replace_alu$6001.C[3] .sym 8363 $false -.sym 8364 $false -.sym 8365 $false -.sym 8374 $abc$56607$n2246 +.sym 8364 $abc$27697$n1923 +.sym 8367 $auto$alumacc.cc:470:replace_alu$6001.C[4] +.sym 8369 $false +.sym 8370 $abc$27697$n1924 +.sym 8373 $auto$alumacc.cc:470:replace_alu$6001.C[5] .sym 8375 $false -.sym 8376 $false -.sym 8377 $false -.sym 8380 $abc$56607$n2287 -.sym 8381 $abc$56607$n2244 -.sym 8382 $false -.sym 8383 $false -.sym 8386 $abc$56607$n2287 +.sym 8376 $abc$27697$n1925 +.sym 8379 $auto$alumacc.cc:470:replace_alu$6001.C[6] +.sym 8381 $false +.sym 8382 $abc$27697$n1926 +.sym 8385 $auto$alumacc.cc:470:replace_alu$6001.C[7] .sym 8387 $false -.sym 8388 $false -.sym 8389 $false -.sym 8392 $abc$56607$n2287 -.sym 8393 $abc$56607$n2248 -.sym 8394 $false -.sym 8395 $false -.sym 8398 $abc$56607$n2287 -.sym 8399 $abc$56607$n2250 -.sym 8400 $false -.sym 8401 $false -.sym 8402 $abc$56607$n1490$2 -.sym 8403 CLK$2$2 -.sym 8404 $false -.sym 8405 $abc$56607$n702 -.sym 8406 $abc$56607$n726 -.sym 8407 $abc$56607$n703 -.sym 8408 $abc$56607$n692 -.sym 8409 $abc$56607$n685 -.sym 8410 $abc$56607$n708 -.sym 8411 KEYBOARD.temp[2] -.sym 8412 KEYBOARD.temp[4] -.sym 8441 $true -.sym 8478 $abc$56607$n2615$2 -.sym 8479 $false -.sym 8480 $abc$56607$n2615 +.sym 8388 $abc$27697$n1927 +.sym 8391 $abc$27697$n1960$2 +.sym 8393 $false +.sym 8394 $abc$27697$n1928 +.sym 8401 $abc$27697$n1960$2 +.sym 8405 $abc$27697$n676 +.sym 8407 $abc$27697$n1093 +.sym 8408 $abc$27697$n675 +.sym 8411 UART.tx_clk_counter[0] +.sym 8412 UART.tx_clk_counter[1] +.sym 8479 I2C_INPUT_LEN[6] +.sym 8480 $false .sym 8481 $false .sym 8482 $false -.sym 8484 $auto$alumacc.cc:470:replace_alu$12064.C[2] +.sym 8485 I2C_INPUT_LEN[1] .sym 8486 $false -.sym 8487 $abc$56607$n2530 -.sym 8490 $auto$alumacc.cc:470:replace_alu$12064.C[3] +.sym 8487 $false +.sym 8488 $false +.sym 8491 I2C_INPUT_LEN[2] .sym 8492 $false -.sym 8493 $abc$56607$n2616 -.sym 8496 $abc$56607$n1249$2 -.sym 8498 $false -.sym 8499 $abc$56607$n2617 -.sym 8506 $abc$56607$n1249$2 -.sym 8509 $abc$56607$n2049 -.sym 8510 $false -.sym 8511 $false -.sym 8512 $false -.sym 8515 $abc$56607$n2043 -.sym 8516 I2C.i2c_bit_counter[2] -.sym 8517 $false +.sym 8493 $false +.sym 8494 $false +.sym 8497 I2C.FLT_SDA.out +.sym 8498 $abc$27697$n16 +.sym 8499 I2C.FLT_SCL.out +.sym 8500 $false +.sym 8515 $abc$27697$n967 +.sym 8516 I2C.is_ack +.sym 8517 $abc$27697$n1116 .sym 8518 $false -.sym 8521 $abc$56607$n1290_1 -.sym 8522 $abc$56607$n2049 -.sym 8523 $abc$56607$n1293 -.sym 8524 $abc$56607$n360 +.sym 8521 I2C.FLT_SDA.out +.sym 8522 $false +.sym 8523 $false +.sym 8524 $false .sym 8525 $true .sym 8526 CLK$2$2 .sym 8527 $false -.sym 8528 $abc$56607$n722 -.sym 8529 $abc$56607$n1282 -.sym 8530 $abc$56607$n719 -.sym 8531 $abc$56607$n1470 -.sym 8532 $abc$56607$n717 -.sym 8533 $abc$56607$n1292 -.sym 8534 KEYBOARD.ram_wr -.sym 8602 $abc$56607$n1249 -.sym 8603 $abc$56607$n16 -.sym 8604 I2C.FLT_SCL.out +.sym 8528 $abc$27697$n924 +.sym 8529 $abc$27697$n912 +.sym 8530 $abc$27697$n918_1 +.sym 8531 $abc$27697$n928 +.sym 8532 $abc$27697$n968 +.sym 8533 $abc$27697$n967 +.sym 8534 $abc$27697$n969 +.sym 8535 $abc$27697$n948 +.sym 8602 $abc$27697$n1486 +.sym 8603 I2C.is_ack +.sym 8604 $false .sym 8605 $false -.sym 8608 I2C.FLT_SCL.out -.sym 8609 $abc$56607$n16 +.sym 8608 $abc$27697$n652 +.sym 8609 $abc$27697$n1116 .sym 8610 $false .sym 8611 $false -.sym 8614 $abc$56607$n1271 -.sym 8615 I2C.FLT_SCL.out -.sym 8616 $abc$56607$n16 -.sym 8617 $abc$56607$n1249 -.sym 8620 $abc$56607$n1292 -.sym 8621 $abc$56607$n878 -.sym 8622 $abc$56607$n875 -.sym 8623 $abc$56607$n877 -.sym 8626 $abc$56607$n1267 -.sym 8627 $abc$56607$n878 -.sym 8628 $abc$56607$n875 -.sym 8629 $abc$56607$n877 -.sym 8632 $abc$56607$n1249 -.sym 8633 I2C.FLT_SCL.out -.sym 8634 $abc$56607$n16 -.sym 8635 $abc$56607$n1271 -.sym 8638 $abc$56607$n1267 -.sym 8639 $abc$56607$n1285 -.sym 8640 $abc$56607$n875 -.sym 8641 $abc$56607$n1291 -.sym 8644 I2C.FLT_SCL.out -.sym 8645 $false +.sym 8614 $abc$27697$n924 +.sym 8615 $abc$27697$n914 +.sym 8616 $abc$27697$n918_1 +.sym 8617 $abc$27697$n651_1 +.sym 8620 I2C_INPUT_LEN[4] +.sym 8621 I2C_INPUT_LEN[5] +.sym 8622 I2C_INPUT_LEN[6] +.sym 8623 I2C_INPUT_LEN[7] +.sym 8626 I2C_INPUT_LEN[7] +.sym 8627 $false +.sym 8628 $false +.sym 8629 $false +.sym 8632 I2C.FLT_SCL.out +.sym 8633 I2C.FLT_SDA.out +.sym 8634 $abc$27697$n16 +.sym 8635 I2C.i2c_state_machine +.sym 8638 $abc$27697$n914 +.sym 8639 I2C.i2c_state_machine +.sym 8640 $abc$27697$n917_1 +.sym 8641 $false +.sym 8644 $abc$27697$n574 +.sym 8645 $abc$27697$n1406 .sym 8646 $false .sym 8647 $false -.sym 8648 $true +.sym 8648 $abc$27697$n422 .sym 8649 CLK$2$2 -.sym 8650 $false -.sym 8651 $abc$56607$n1281 -.sym 8652 $abc$56607$n1280 -.sym 8653 $abc$56607$n1270 -.sym 8654 $abc$56607$n1272 -.sym 8655 $abc$56607$n1260 -.sym 8656 I2C.is_ack -.sym 8657 $abc$56607$n14 -.sym 8658 I2C.SDA_DIR -.sym 8725 $abc$56607$n1249 -.sym 8726 $abc$56607$n875 -.sym 8727 $abc$56607$n1315_1 -.sym 8728 $abc$56607$n1271 -.sym 8731 $abc$56607$n875 -.sym 8732 $abc$56607$n1319 -.sym 8733 $abc$56607$n1320_1 -.sym 8734 $abc$56607$n1321 -.sym 8737 $abc$56607$n1286 -.sym 8738 $abc$56607$n2583 -.sym 8739 $abc$56607$n875 -.sym 8740 $abc$56607$n1285 -.sym 8743 $abc$56607$n1271 -.sym 8744 $abc$56607$n875 -.sym 8745 $abc$56607$n1268_1 -.sym 8746 $abc$56607$n1249 -.sym 8749 $abc$56607$n1249 -.sym 8750 $abc$56607$n1268_1 -.sym 8751 $false +.sym 8650 $abc$27697$n23$2 +.sym 8651 $abc$27697$n910 +.sym 8652 $abc$27697$n939 +.sym 8653 $abc$27697$n656 +.sym 8654 $abc$27697$n944 +.sym 8655 $abc$27697$n911 +.sym 8656 $abc$27697$n938 +.sym 8657 $abc$27697$n933 +.sym 8658 last_wr +.sym 8725 $abc$27697$n913 +.sym 8726 $abc$27697$n924 +.sym 8727 $abc$27697$n919 +.sym 8728 $abc$27697$n1116 +.sym 8731 I2C.FLT_SCL.out +.sym 8732 I2C.FLT_SDA.out +.sym 8733 $abc$27697$n16 +.sym 8734 I2C.SDA_DIR +.sym 8737 $abc$27697$n1962 +.sym 8738 $abc$27697$n651_1 +.sym 8739 $abc$27697$n929_1 +.sym 8740 $abc$27697$n928 +.sym 8743 $abc$27697$n910 +.sym 8744 $abc$27697$n927 +.sym 8745 $false +.sym 8746 $false +.sym 8749 $abc$27697$n928 +.sym 8750 $abc$27697$n1116 +.sym 8751 $abc$27697$n652 .sym 8752 $false -.sym 8755 $abc$56607$n2043 -.sym 8756 I2C.is_ack -.sym 8757 $false -.sym 8758 $false -.sym 8761 $abc$56607$n1318 -.sym 8762 $abc$56607$n1322 -.sym 8763 $abc$56607$n877 +.sym 8755 I2C.FLT_SCL.out +.sym 8756 I2C.FLT_SDA.out +.sym 8757 $abc$27697$n16 +.sym 8758 I2C.wr +.sym 8761 I2C.SDA_DIR +.sym 8762 I2C.FLT_SDA.out +.sym 8763 $false .sym 8764 $false -.sym 8767 I2C.i2c_state_machine -.sym 8768 $abc$56607$n1321 -.sym 8769 $abc$56607$n1317 -.sym 8770 $false +.sym 8767 $abc$27697$n1131_1 +.sym 8768 $abc$27697$n652 +.sym 8769 $abc$27697$n927 +.sym 8770 $abc$27697$n929_1 .sym 8771 $true .sym 8772 CLK$2$2 -.sym 8773 $false -.sym 8774 $abc$56607$n1288 -.sym 8775 $abc$56607$n1269 -.sym 8776 $abc$56607$n1284 -.sym 8777 $abc$56607$n1274 -.sym 8778 $abc$56607$n1462 -.sym 8779 $abc$56607$n1273 -.sym 8780 $abc$56607$n1286 -.sym 8781 I2C.i2c_state_machine -.sym 8810 $true -.sym 8847 $abc$56607$n2621$3 -.sym 8848 $false -.sym 8849 $abc$56607$n2621 +.sym 8773 $abc$27697$n23$2 +.sym 8778 $abc$27697$n511 +.sym 8779 I2C.is_read +.sym 8780 I2C.i2c_bit_counter[1] +.sym 8781 $abc$27697$n14 +.sym 8848 $abc$27697$n1486 +.sym 8849 I2C.i2c_bit_counter[2] .sym 8850 $false .sym 8851 $false -.sym 8853 $auto$alumacc.cc:470:replace_alu$12111.C[2] -.sym 8855 $false -.sym 8856 $abc$56607$n2518 -.sym 8860 $false +.sym 8854 $abc$27697$n936 +.sym 8855 $abc$27697$n937 +.sym 8856 $abc$27697$n1116 +.sym 8857 $false +.sym 8860 $abc$27697$n1492 .sym 8861 $false -.sym 8862 $abc$56607$n2604 -.sym 8863 $auto$alumacc.cc:470:replace_alu$12111.C[2] -.sym 8866 $abc$56607$n360 -.sym 8867 $abc$56607$n873_1 -.sym 8868 $false +.sym 8862 $false +.sym 8863 $false +.sym 8866 $abc$27697$n651_1 +.sym 8867 $abc$27697$n938 +.sym 8868 I2C.i2c_state_machine .sym 8869 $false -.sym 8872 KEYBOARD.init_ram_cnt[1] -.sym 8873 KEYBOARD.row_counter[0] -.sym 8874 KEYBOARD.row_counter[1] -.sym 8875 KEYBOARD.init_ram_cnt[8] -.sym 8878 $abc$56607$n2231 -.sym 8879 KEYBOARD.init_ram_cnt[0] -.sym 8880 KEYBOARD.init_ram_cnt[8] +.sym 8872 $abc$27697$n929 +.sym 8873 $abc$27697$n656 +.sym 8874 $abc$27697$n651_1 +.sym 8875 $abc$27697$n1891 +.sym 8878 $abc$27697$n938 +.sym 8879 $abc$27697$n656 +.sym 8880 $abc$27697$n651_1 .sym 8881 $false -.sym 8884 $abc$56607$n2237 -.sym 8885 KEYBOARD.init_ram_cnt[2] -.sym 8886 KEYBOARD.init_ram_cnt[8] -.sym 8887 $false -.sym 8890 $abc$56607$n28 -.sym 8891 KEYBOARD.init_ram_cnt[3] -.sym 8892 KEYBOARD.init_ram_cnt[8] -.sym 8893 $false -.sym 8894 $abc$56607$n1462 +.sym 8884 $abc$27697$n936 +.sym 8885 $abc$27697$n972 +.sym 8886 $abc$27697$n973 +.sym 8887 $abc$27697$n971 +.sym 8890 $abc$27697$n941 +.sym 8891 $abc$27697$n1492 +.sym 8892 $abc$27697$n939 +.sym 8893 $abc$27697$n917 +.sym 8894 $true .sym 8895 CLK$2$2 .sym 8896 $false -.sym 8897 $abc$56607$n898 -.sym 8898 $abc$56607$n899 -.sym 8899 $abc$56607$n25 -.sym 8900 $abc$56607$n2519 -.sym 8901 UART.tx_bit_counter[2] -.sym 8902 UART.tx_bit_counter[3] -.sym 8903 UART.tx_bit_counter[0] -.sym 8904 UART.tx_bit_counter[1] -.sym 8933 $true -.sym 8970 UART.tx_bit_counter[0]$2 -.sym 8971 $false -.sym 8972 UART.tx_bit_counter[0] -.sym 8973 $false -.sym 8974 $false -.sym 8976 $auto$alumacc.cc:470:replace_alu$12200.C[2] -.sym 8978 UART.tx_bit_counter[1] -.sym 8979 $true$2 -.sym 8982 $auto$alumacc.cc:470:replace_alu$12200.C[3] -.sym 8983 $false -.sym 8984 UART.tx_bit_counter[2] -.sym 8985 $true$2 -.sym 8986 $auto$alumacc.cc:470:replace_alu$12200.C[2] +.sym 8897 $abc$27697$n649 +.sym 8898 $abc$27697$n493 +.sym 8899 $abc$27697$n666 +.sym 8900 $abc$27697$n919 +.sym 8901 $abc$27697$n920 +.sym 8902 $abc$27697$n664 +.sym 8903 $abc$27697$n923 +.sym 8904 I2C.received_byte[3] +.sym 8971 I2C.byte_counter[0] +.sym 8972 I2C.byte_counter[2] +.sym 8973 I2C.byte_counter[3] +.sym 8974 I2C.byte_counter[1] +.sym 8977 $abc$27697$n1960 +.sym 8978 I2C_OUTPUT_TYPE[0] +.sym 8979 I2C_OUTPUT_TYPE[1] +.sym 8980 I2C.is_read .sym 8989 $false -.sym 8990 UART.tx_bit_counter[3] -.sym 8991 $true$2 -.sym 8992 $auto$alumacc.cc:470:replace_alu$12200.C[3] -.sym 8995 $abc$56607$n880 -.sym 8996 $abc$56607$n358 -.sym 8997 $abc$56607$n872 +.sym 8990 $false +.sym 8991 I2C.byte_counter[0] +.sym 8992 $false +.sym 8995 I2C.wr +.sym 8996 $false +.sym 8997 $false .sym 8998 $false -.sym 9001 $abc$56607$n2603 -.sym 9002 $false -.sym 9003 $false -.sym 9004 $false -.sym 9007 $abc$56607$n873_1 -.sym 9008 $abc$56607$n360 -.sym 9009 $false -.sym 9010 $false -.sym 9013 I2C.FLT_SDA.out -.sym 9014 $false -.sym 9015 $false -.sym 9016 $false -.sym 9017 $abc$56607$n1268 +.sym 9017 $true .sym 9018 CLK$2$2 -.sym 9019 $false -.sym 9020 $abc$56607$n1302 -.sym 9021 $abc$56607$n885 -.sym 9022 $abc$56607$n1278 -.sym 9024 $abc$56607$n1290 -.sym 9025 $abc$56607$n882 -.sym 9026 $abc$56607$n1297 -.sym 9027 I2C.received_byte[6] -.sym 9094 $abc$56607$n1265 -.sym 9095 $abc$56607$n1266 -.sym 9096 $abc$56607$n360 +.sym 9019 $abc$27697$n23$2 +.sym 9020 $abc$27697$n1130 +.sym 9021 $abc$27697$n915_1 +.sym 9022 $abc$27697$n1128_1 +.sym 9023 $abc$27697$n1129_1 +.sym 9024 $abc$27697$n909 +.sym 9025 $abc$27697$n908 +.sym 9026 $abc$27697$n524 +.sym 9027 I2C.received_byte[7] +.sym 9094 I2C.byte_counter[5] +.sym 9095 $false +.sym 9096 $false .sym 9097 $false -.sym 9100 $abc$56607$n872 -.sym 9101 $abc$56607$n882 +.sym 9100 I2C.byte_counter[6] +.sym 9101 $false .sym 9102 $false .sym 9103 $false -.sym 9106 $abc$56607$n1262 -.sym 9107 $abc$56607$n1263 -.sym 9108 $abc$56607$n1264 -.sym 9109 $abc$56607$n1267 -.sym 9112 $abc$56607$n1050_1 -.sym 9113 $abc$56607$n1054 -.sym 9114 $abc$56607$n358 -.sym 9115 $abc$56607$n880 -.sym 9118 $abc$56607$n1042 -.sym 9119 $abc$56607$n1046 -.sym 9120 $abc$56607$n358 -.sym 9121 $abc$56607$n880 -.sym 9124 $abc$56607$n1048 -.sym 9125 $abc$56607$n1056 -.sym 9126 $abc$56607$n360 -.sym 9127 $abc$56607$n885 -.sym 9130 $abc$56607$n1044 -.sym 9131 $abc$56607$n1052 -.sym 9132 $abc$56607$n360 -.sym 9133 $abc$56607$n882 -.sym 9136 I2C.FLT_SDA.out +.sym 9106 I2C_HID_DESC.VAL[6] +.sym 9107 I2C_TX_REPORT[6] +.sym 9108 I2C_OUT_DESC_MASK[6] +.sym 9109 $false +.sym 9112 I2C.byte_counter[4] +.sym 9113 $false +.sym 9114 $false +.sym 9115 $false +.sym 9118 I2C_HID_DESC.VAL[4] +.sym 9119 I2C_TX_REPORT[4] +.sym 9120 I2C_OUT_DESC_MASK[4] +.sym 9121 $false +.sym 9124 I2C_HID_DESC.VAL[5] +.sym 9125 I2C_TX_REPORT[5] +.sym 9126 I2C_OUT_DESC_MASK[5] +.sym 9127 $false +.sym 9130 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9131 $false +.sym 9132 $false +.sym 9133 $false +.sym 9136 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 9137 $false .sym 9138 $false .sym 9139 $false -.sym 9140 $abc$56607$n1258 +.sym 9140 $abc$27697$n434$2 .sym 9141 CLK$2$2 -.sym 9142 $false -.sym 9143 report_data_wr[1] -.sym 9144 report_data_wr[6] -.sym 9145 report_data_wr[0] -.sym 9146 report_data_wr[4] -.sym 9147 report_data_wr[3] -.sym 9148 report_data_wr[5] -.sym 9149 report_data_wr[7] -.sym 9150 report_data_wr[2] -.sym 9217 $false -.sym 9218 $false -.sym 9219 $abc$56607$n2621 -.sym 9220 $true$2 -.sym 9229 I2C_HID_DESC.VAL[6] -.sym 9230 REPORT_DATA.r_data[6] -.sym 9231 I2C_OUT_DESC_MASK[6] +.sym 9142 $abc$27697$n23$2 +.sym 9143 $abc$27697$n922 +.sym 9145 UART_TX_DATA[6] +.sym 9146 UART_TX_DATA[0] +.sym 9147 UART_TX_DATA[4] +.sym 9148 UART_TX_DATA[2] +.sym 9149 UART_TX_DATA[1] +.sym 9150 UART_TX_DATA[5] +.sym 9217 I2C_HID_DESC.VAL[1] +.sym 9218 I2C_TX_REPORT[1] +.sym 9219 I2C_OUT_DESC_MASK[1] +.sym 9220 $false +.sym 9223 I2C_HID_DESC.VAL[2] +.sym 9224 I2C_TX_REPORT[2] +.sym 9225 I2C_OUT_DESC_MASK[2] +.sym 9226 $false +.sym 9229 I2C_HID_DESC.VAL[0] +.sym 9230 I2C_TX_REPORT[0] +.sym 9231 I2C_OUT_DESC_MASK[0] .sym 9232 $false -.sym 9235 $abc$56607$n1490$2 +.sym 9235 I2C.byte_counter[3] .sym 9236 $false .sym 9237 $false .sym 9238 $false -.sym 9241 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9241 I2C.byte_counter[0] .sym 9242 $false .sym 9243 $false .sym 9244 $false @@ -6117,3807 +5291,3388 @@ .sym 9248 $false .sym 9249 $false .sym 9250 $false +.sym 9253 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9254 $false +.sym 9255 $false +.sym 9256 $false .sym 9259 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 9260 $false .sym 9261 $false .sym 9262 $false -.sym 9263 $abc$56607$n1191 +.sym 9263 $abc$27697$n434$2 .sym 9264 CLK$2$2 -.sym 9265 $abc$56607$n35$2 -.sym 9266 $abc$56607$n1479 -.sym 9267 $abc$56607$n1093 -.sym 9268 $abc$56607$n751 -.sym 9269 $abc$56607$n1537 -.sym 9270 $abc$56607$n1097 -.sym 9271 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9272 I2C_OUTPUT_TYPE[2] -.sym 9273 I2C_OUTPUT_TYPE[1] -.sym 9340 $abc$56607$n10 -.sym 9341 $false -.sym 9342 $false +.sym 9265 $abc$27697$n23$2 +.sym 9266 $abc$27697$n797 +.sym 9267 $abc$27697$n506 +.sym 9268 $abc$27697$n796 +.sym 9269 $abc$27697$n504 +.sym 9270 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9271 $abc$27697$n792 +.sym 9272 UART_TX_DATA[3] +.sym 9273 UART_TX_DATA[7] +.sym 9340 I2C_HID_DESC.VAL[3] +.sym 9341 I2C_TX_REPORT[3] +.sym 9342 I2C_OUT_DESC_MASK[3] .sym 9343 $false -.sym 9346 $abc$56607$n664 -.sym 9347 $abc$56607$n665 -.sym 9348 $abc$56607$n2495 -.sym 9349 $abc$56607$n2509 -.sym 9352 $false +.sym 9346 I2C_OUTPUT_TYPE[2] +.sym 9347 I2C_OUTPUT_TYPE[1] +.sym 9348 I2C_OUTPUT_TYPE[0] +.sym 9349 $false +.sym 9352 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 9353 $false -.sym 9354 I2C.byte_counter[0] +.sym 9354 $false .sym 9355 $false -.sym 9358 I2C_HID_DESC.VAL[2] -.sym 9359 REPORT_DATA.r_data[2] -.sym 9360 I2C_OUT_DESC_MASK[2] +.sym 9358 $abc$27697$n796 +.sym 9359 $abc$27697$n496 +.sym 9360 $false .sym 9361 $false -.sym 9364 I2C_HID_DESC.VAL[1] -.sym 9365 REPORT_DATA.r_data[1] -.sym 9366 I2C_OUT_DESC_MASK[1] +.sym 9364 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9365 $false +.sym 9366 $false .sym 9367 $false -.sym 9370 $abc$56607$n659 -.sym 9371 $abc$56607$n662 -.sym 9372 $abc$56607$n2509 -.sym 9373 $abc$56607$n2495 -.sym 9376 I2C_HID_DESC.VAL[3] -.sym 9377 REPORT_DATA.r_data[3] -.sym 9378 I2C_OUT_DESC_MASK[3] +.sym 9376 $abc$27697$n792 +.sym 9377 $abc$27697$n496 +.sym 9378 $false .sym 9379 $false -.sym 9382 $abc$56607$n658 -.sym 9383 $abc$56607$n2229 -.sym 9384 $abc$56607$n663 -.sym 9385 UART.tx_activity -.sym 9386 $abc$56607$n1320 +.sym 9382 $abc$27697$n797 +.sym 9383 $abc$27697$n496 +.sym 9384 $false +.sym 9385 $false +.sym 9386 $abc$27697$n434$2 .sym 9387 CLK$2$2 -.sym 9388 $false -.sym 9389 $abc$56607$n828 -.sym 9390 $abc$56607$n825 -.sym 9391 $abc$56607$n856 -.sym 9392 $abc$56607$n860 -.sym 9393 $abc$56607$n1512_1 -.sym 9394 $abc$56607$n1513 -.sym 9395 I2C_INPUT_LEN[0] -.sym 9396 I2C_INPUT_LEN[1] -.sym 9463 I2C_HID_DESC.VAL[4] -.sym 9464 REPORT_DATA.r_data[4] -.sym 9465 I2C_OUT_DESC_MASK[4] -.sym 9466 $false -.sym 9469 UART_TX_DATA[0] -.sym 9470 UART_TX_DATA[2] -.sym 9471 $abc$56607$n660 -.sym 9472 $false -.sym 9475 UART_TX_DATA[4] -.sym 9476 UART_TX_DATA[6] -.sym 9477 $abc$56607$n660 -.sym 9478 $false -.sym 9481 I2C_HID_DESC.VAL[0] -.sym 9482 REPORT_DATA.r_data[0] -.sym 9483 I2C_OUT_DESC_MASK[0] -.sym 9484 $false -.sym 9487 $abc$56607$n1042 -.sym 9488 I2C.received_byte[0] -.sym 9489 I2C.is_read -.sym 9490 $false -.sym 9493 $abc$56607$n1046 -.sym 9494 I2C.received_byte[2] -.sym 9495 I2C.is_read -.sym 9496 $false -.sym 9499 $abc$56607$n1054 -.sym 9500 I2C.received_byte[6] -.sym 9501 I2C.is_read -.sym 9502 $false -.sym 9505 $abc$56607$n1050_1 -.sym 9506 I2C.received_byte[4] -.sym 9507 I2C.is_read -.sym 9508 $false -.sym 9509 $abc$56607$n888 +.sym 9388 $abc$27697$n23$2 +.sym 9390 $abc$27697$n505 +.sym 9391 $abc$27697$n507 +.sym 9392 $abc$27697$n1328 +.sym 9393 $abc$27697$n500 +.sym 9394 $abc$27697$n501 +.sym 9396 KEYBOARD.report[0][7] +.sym 9463 $abc$27697$n849 +.sym 9464 KEYBOARD.report[0][6] +.sym 9465 $abc$27697$n890 +.sym 9466 $abc$27697$n847_1 +.sym 9481 $abc$27697$n849 +.sym 9482 KEYBOARD.report[0][7] +.sym 9483 $abc$27697$n895 +.sym 9484 $abc$27697$n847_1 +.sym 9493 $abc$27697$n849 +.sym 9494 KEYBOARD.report[0][4] +.sym 9495 $abc$27697$n880 +.sym 9496 $abc$27697$n847_1 +.sym 9505 $abc$27697$n849 +.sym 9506 KEYBOARD.report[0][5] +.sym 9507 $abc$27697$n885_1 +.sym 9508 $abc$27697$n847_1 +.sym 9509 $abc$27697$n285 .sym 9510 CLK$2$2 -.sym 9511 $abc$56607$n19 -.sym 9512 $abc$56607$n829 -.sym 9513 $abc$56607$n827 -.sym 9514 $abc$56607$n1511_1 -.sym 9515 $abc$56607$n826 -.sym 9516 $abc$56607$n830 -.sym 9517 $abc$56607$n841 -.sym 9518 $abc$56607$n853 -.sym 9519 $abc$56607$n854 -.sym 9586 UART_TX_DATA[1] -.sym 9587 UART_TX_DATA[3] -.sym 9588 $abc$56607$n660 -.sym 9589 $false -.sym 9592 I2C_INPUT_LEN[2] -.sym 9593 I2C_INPUT_LEN[1] -.sym 9594 I2C_INPUT_LEN[0] -.sym 9595 I2C_INPUT_LEN[3] -.sym 9598 I2C_INPUT_LEN[2] -.sym 9599 $false -.sym 9600 $false -.sym 9601 $false -.sym 9604 I2C_INPUT_LEN[3] -.sym 9605 $abc$56607$n858 -.sym 9606 $abc$56607$n855 +.sym 9511 $abc$27697$n741$2 +.sym 9512 $abc$27697$n44 +.sym 9513 $abc$27697$n692_1 +.sym 9514 $abc$27697$n566 +.sym 9515 $abc$27697$n1915 +.sym 9516 $abc$27697$n502 +.sym 9517 $abc$27697$n567 +.sym 9518 $abc$27697$n659 +.sym 9519 $abc$27697$n12 +.sym 9586 $abc$27697$n695_1 +.sym 9587 $abc$27697$n696 +.sym 9588 KEYBOARD.kbd_code_hid[6] +.sym 9589 KEYBOARD.kbd_code_hid[7] +.sym 9592 KEYBOARD.kbd_code_hid[0] +.sym 9593 KEYBOARD.kbd_code_hid[2] +.sym 9594 KEYBOARD.kbd_code_hid[1] +.sym 9595 $false +.sym 9598 $abc$27697$n855 +.sym 9599 KEYBOARD.report[4][7] +.sym 9600 $abc$27697$n849 +.sym 9601 $abc$27697$n896 +.sym 9604 KEYBOARD.kbd_code_hid[2] +.sym 9605 KEYBOARD.kbd_code_hid[1] +.sym 9606 KEYBOARD.kbd_code_hid[0] .sym 9607 $false -.sym 9610 UART_TX_DATA[5] -.sym 9611 UART_TX_DATA[7] -.sym 9612 $abc$56607$n660 +.sym 9610 KEYBOARD.kbd_code_hid[0] +.sym 9611 KEYBOARD.kbd_code_hid[1] +.sym 9612 KEYBOARD.kbd_code_hid[2] .sym 9613 $false -.sym 9616 I2C_INPUT_LEN[2] -.sym 9617 I2C_INPUT_LEN[0] -.sym 9618 I2C_INPUT_LEN[1] +.sym 9616 KEYBOARD.kbd_code_hid[5] +.sym 9617 KEYBOARD.kbd_code_hid[4] +.sym 9618 KEYBOARD.kbd_code_hid[3] .sym 9619 $false -.sym 9622 $abc$56607$n1044 -.sym 9623 I2C.received_byte[1] -.sym 9624 I2C.is_read +.sym 9622 KEYBOARD.kbd_code_hid[0] +.sym 9623 $false +.sym 9624 $false .sym 9625 $false -.sym 9628 $abc$56607$n1048 -.sym 9629 I2C.received_byte[3] -.sym 9630 I2C.is_read +.sym 9628 KEYBOARD.kbd_code_hid[7] +.sym 9629 $false +.sym 9630 $false .sym 9631 $false -.sym 9632 $abc$56607$n888 +.sym 9632 $abc$27697$n618 .sym 9633 CLK$2$2 -.sym 9634 $abc$56607$n19 -.sym 9635 $abc$56607$n1180 -.sym 9636 $abc$56607$n1500 -.sym 9637 $abc$56607$n1499 -.sym 9638 $abc$56607$n745 -.sym 9639 $abc$56607$n988 -.sym 9640 $abc$56607$n788 -.sym 9641 $abc$56607$n19 -.sym 9642 last_wr -.sym 9709 I2C.received_byte[2] -.sym 9710 $false -.sym 9711 $false -.sym 9712 $false -.sym 9715 $abc$56607$n769 -.sym 9716 $abc$56607$n787 -.sym 9717 $false -.sym 9718 $false -.sym 9721 $abc$56607$n769 -.sym 9722 $abc$56607$n795 -.sym 9723 $abc$56607$n1465 -.sym 9724 $false -.sym 9727 $abc$56607$n21 -.sym 9728 report_data_radr[0] -.sym 9729 $abc$56607$n742 +.sym 9634 $abc$27697$n25$2 +.sym 9635 $abc$27697$n878 +.sym 9636 $abc$27697$n890 +.sym 9637 $abc$27697$n691_1 +.sym 9638 $abc$27697$n865 +.sym 9639 $abc$27697$n853_1 +.sym 9640 KEYBOARD.report[3][1] +.sym 9641 KEYBOARD.report[3][3] +.sym 9642 KEYBOARD.report[3][2] +.sym 9709 $abc$27697$n855 +.sym 9710 KEYBOARD.report[4][3] +.sym 9711 $abc$27697$n849 +.sym 9712 $abc$27697$n876 +.sym 9715 $abc$27697$n697 +.sym 9716 KEYBOARD.report[4][1] +.sym 9717 $abc$27697$n682 +.sym 9718 $abc$27697$n692_1 +.sym 9721 KEYBOARD.kbd_code_hid[0] +.sym 9722 KEYBOARD.report[4][0] +.sym 9723 KEYBOARD.kbd_code_hid[2] +.sym 9724 KEYBOARD.report[4][2] +.sym 9727 $abc$27697$n25$2 +.sym 9728 $abc$27697$n682 +.sym 9729 $abc$27697$n692_1 .sym 9730 $false -.sym 9733 I2C.received_byte[7] -.sym 9734 $false -.sym 9735 $false -.sym 9736 $false -.sym 9739 I2C.received_byte[4] -.sym 9740 $false -.sym 9741 $false -.sym 9742 $false -.sym 9745 I2C.received_byte[1] +.sym 9733 KEYBOARD.report[4][0] +.sym 9734 KEYBOARD.report[4][2] +.sym 9735 KEYBOARD.report[4][3] +.sym 9736 $abc$27697$n698_1 +.sym 9739 KEYBOARD.kbd_code_hid[1] +.sym 9740 KEYBOARD.report[4][1] +.sym 9741 KEYBOARD.kbd_code_hid[3] +.sym 9742 KEYBOARD.report[4][3] +.sym 9745 $abc$27697$n10 .sym 9746 $false .sym 9747 $false .sym 9748 $false -.sym 9751 $false -.sym 9752 $true$2 -.sym 9753 report_data_radr[0] +.sym 9751 $true$2 +.sym 9752 $false +.sym 9753 $false .sym 9754 $false -.sym 9755 $abc$56607$n1050 +.sym 9755 $abc$27697$n295 .sym 9756 CLK$2$2 -.sym 9757 $abc$56607$n21 -.sym 9760 $abc$56607$n1908 -.sym 9761 $abc$56607$n1911 -.sym 9762 $abc$56607$n49 -.sym 9763 $2\ring_wr[3:0][2] -.sym 9764 $abc$56607$n8 -.sym 9838 I2C.received_byte[3] -.sym 9839 $false -.sym 9840 $false -.sym 9841 $false -.sym 9868 $abc$56607$n8 -.sym 9869 $false +.sym 9757 $false +.sym 9758 $abc$27697$n880 +.sym 9759 $abc$27697$n888 +.sym 9760 $abc$27697$n872 +.sym 9761 $abc$27697$n892 +.sym 9762 $abc$27697$n703 +.sym 9763 $abc$27697$n891 +.sym 9764 $abc$27697$n881 +.sym 9765 $abc$27697$n882 +.sym 9832 $abc$27697$n1135_1 +.sym 9833 $abc$27697$n1136 +.sym 9834 $abc$27697$n727 +.sym 9835 $abc$27697$n730 +.sym 9838 KEYBOARD.kbd_code_hid[4] +.sym 9839 KEYBOARD.report[4][4] +.sym 9840 KEYBOARD.kbd_code_hid[7] +.sym 9841 KEYBOARD.report[4][7] +.sym 9844 $abc$27697$n855 +.sym 9845 KEYBOARD.report[4][5] +.sym 9846 $abc$27697$n849 +.sym 9847 $abc$27697$n886 +.sym 9850 $abc$27697$n716 +.sym 9851 $abc$27697$n1138_1 +.sym 9852 $abc$27697$n732_1 +.sym 9853 $abc$27697$n692_1 +.sym 9856 KEYBOARD.report[4][4] +.sym 9857 KEYBOARD.report[4][5] +.sym 9858 KEYBOARD.report[4][6] +.sym 9859 KEYBOARD.report[4][7] +.sym 9862 KEYBOARD.kbd_code_hid[5] +.sym 9863 KEYBOARD.report[4][5] +.sym 9864 KEYBOARD.kbd_code_hid[6] +.sym 9865 KEYBOARD.report[4][6] +.sym 9868 $abc$27697$n25$2 +.sym 9869 $abc$27697$n692_1 .sym 9870 $false .sym 9871 $false -.sym 9874 $10\ring_rd[3:0][0] -.sym 9875 report_data_radr[1] -.sym 9876 $abc$56607$n21 +.sym 9874 $abc$27697$n1137_1 +.sym 9875 $abc$27697$n25$2 +.sym 9876 $false .sym 9877 $false -.sym 9878 $abc$56607$n1039 -.sym 9879 CLK$2$2 -.sym 9880 $false -.sym 9881 $abc$56607$n1086 -.sym 9882 $abc$56607$n1089 -.sym 9883 $abc$56607$n765 -.sym 9884 $abc$56607$n1087 -.sym 9885 $abc$56607$n763 -.sym 9886 $abc$56607$n1902 -.sym 9887 $2\ring_wr[3:0][0] -.sym 9888 $abc$56607$n764 -.sym 9961 ring_rd[2] -.sym 9962 $abc$56607$n1817 -.sym 9963 $abc$56607$n763 +.sym 9881 $abc$27697$n710 +.sym 9882 $abc$27697$n1117_1 +.sym 9883 $abc$27697$n704 +.sym 9884 $abc$27697$n699 +.sym 9885 $abc$27697$n713_1 +.sym 9886 $abc$27697$n1118_1 +.sym 9887 $abc$27697$n712 +.sym 9888 KEYBOARD.report[2][4] +.sym 9955 $abc$27697$n25$2 +.sym 9956 $abc$27697$n681 +.sym 9957 $false +.sym 9958 $false +.sym 9961 KEYBOARD.kbd_code_hid[0] +.sym 9962 KEYBOARD.report[6][0] +.sym 9963 $abc$27697$n1118_1 .sym 9964 $false -.sym 9973 ring_rd[3] -.sym 9974 $abc$56607$n1820 -.sym 9975 $abc$56607$n763 +.sym 9967 $abc$27697$n699 +.sym 9968 $abc$27697$n681 +.sym 9969 $abc$27697$n1119 +.sym 9970 $abc$27697$n714 +.sym 9973 KEYBOARD.kbd_code_hid[1] +.sym 9974 $false +.sym 9975 $false .sym 9976 $false -.sym 9985 I2C.SCLF +.sym 9979 KEYBOARD.kbd_code_hid[7] +.sym 9980 $false +.sym 9981 $false +.sym 9982 $false +.sym 9985 KEYBOARD.kbd_code_hid[2] .sym 9986 $false .sym 9987 $false .sym 9988 $false -.sym 10001 $abc$56607$n1511 +.sym 9991 KEYBOARD.kbd_code_hid[0] +.sym 9992 $false +.sym 9993 $false +.sym 9994 $false +.sym 9997 KEYBOARD.kbd_code_hid[3] +.sym 9998 $false +.sym 9999 $false +.sym 10000 $false +.sym 10001 $abc$27697$n556 .sym 10002 CLK$2$2 -.sym 10003 $abc$56607$n35$2 -.sym 10004 $abc$56607$n1010 -.sym 10007 $abc$56607$n1636 -.sym 10008 $abc$56607$n1512 -.sym 10009 $abc$56607$n2483 -.sym 10010 I2C.FLT_SCL.counter[0] -.sym 10011 I2C.FLT_SCL.counter[1] +.sym 10003 $abc$27697$n25$2 +.sym 10006 $abc$27697$n1314 +.sym 10007 KEYBOARD.report[6][4] +.sym 10010 KEYBOARD.report[6][5] +.sym 10011 KEYBOARD.report[6][6] .sym 10040 $true -.sym 10077 I2C.FLT_SCL.counter[0]$2 +.sym 10077 KEYBOARD.kbd_code_hid[3]$2 .sym 10078 $false -.sym 10079 I2C.FLT_SCL.counter[0] +.sym 10079 KEYBOARD.kbd_code_hid[3] .sym 10080 $false .sym 10081 $false -.sym 10083 $auto$alumacc.cc:470:replace_alu$12158.C[2] -.sym 10085 I2C.FLT_SCL.counter[1] +.sym 10083 $auto$alumacc.cc:470:replace_alu$5940.C[5] +.sym 10085 KEYBOARD.kbd_code_hid[4] .sym 10086 $true$2 -.sym 10090 $false -.sym 10091 I2C.FLT_SCL.counter[2] -.sym 10092 $true$2 -.sym 10093 $auto$alumacc.cc:470:replace_alu$12158.C[2] -.sym 10096 I2C.FLT_SCL.counter[0] -.sym 10097 I2C.FLT_SCL.counter[1] -.sym 10098 I2C.FLT_SCL.counter[2] -.sym 10099 $false -.sym 10102 $abc$56607$n2484 -.sym 10103 $abc$56607$n1010 +.sym 10089 $auto$alumacc.cc:470:replace_alu$5940.C[6] +.sym 10091 KEYBOARD.kbd_code_hid[5] +.sym 10092 $false +.sym 10095 $auto$alumacc.cc:470:replace_alu$5940.C[7] +.sym 10097 KEYBOARD.kbd_code_hid[6] +.sym 10098 $false +.sym 10101 $abc$27697$n1946$2 +.sym 10103 KEYBOARD.kbd_code_hid[7] .sym 10104 $false -.sym 10105 $false -.sym 10114 $abc$56607$n1009 -.sym 10115 $abc$56607$n1639 -.sym 10116 $false +.sym 10111 $abc$27697$n1946$2 +.sym 10114 $abc$27697$n694_1 +.sym 10115 $abc$27697$n1946 +.sym 10116 $abc$27697$n1947 .sym 10117 $false -.sym 10120 $abc$56607$n2484 -.sym 10121 $false -.sym 10122 $false -.sym 10123 $false -.sym 10124 $abc$56607$n1512 -.sym 10125 CLK$2$2 -.sym 10126 $abc$56607$n35$2 -.sym 10226 $abc$56607$n704 -.sym 10227 $abc$56607$n1471 -.sym 10228 $abc$56607$n677 -.sym 10229 $abc$56607$n701 -.sym 10230 $abc$56607$n676 -.sym 10232 $abc$56607$n705 -.sym 10301 $abc$56607$n1344 -.sym 10302 $abc$56607$n697 -.sym 10303 $abc$56607$n706 -.sym 10304 $abc$56607$n1492_1 -.sym 10307 $abc$56607$n702 -.sym 10308 KEYBOARD.COLS_SHADOW[5] -.sym 10309 $false -.sym 10310 $false -.sym 10313 $abc$56607$n692 -.sym 10314 KEYBOARD.COLS_SHADOW[6] -.sym 10315 $abc$56607$n693 +.sym 10227 $abc$27697$n1410 +.sym 10228 $abc$27697$n1412 +.sym 10229 $abc$27697$n1414 +.sym 10230 I2C.FLT_SCL.RESET +.sym 10231 rststate[3] +.sym 10232 rststate[1] +.sym 10233 rststate[2] +.sym 10313 $false +.sym 10314 $abc$27697$n23$2 +.sym 10315 rststate[0] .sym 10316 $false -.sym 10325 KEYBOARD.COLS_SHADOW[6] -.sym 10326 $abc$56607$n692 -.sym 10327 $abc$56607$n693 -.sym 10328 $abc$56607$n697 -.sym 10331 $abc$56607$n708 -.sym 10332 KEYBOARD.COLS_SHADOW[4] -.sym 10333 $abc$56607$n706 +.sym 10331 $abc$27697$n1097_1 +.sym 10332 $abc$27697$n1408 +.sym 10333 $false .sym 10334 $false -.sym 10337 $abc$56607$n692 -.sym 10338 KEYBOARD.COLS_SHADOW[6] -.sym 10339 $abc$56607$n693 -.sym 10340 $false -.sym 10343 $abc$56607$n702 -.sym 10344 KEYBOARD.COLS_SHADOW[5] -.sym 10345 $abc$56607$n697 -.sym 10346 $false -.sym 10347 $abc$56607$n1484 +.sym 10347 $true .sym 10348 CLK$2$2 -.sym 10349 $abc$56607$n31$2 -.sym 10354 $abc$56607$n718 -.sym 10355 $abc$56607$n715 -.sym 10356 $abc$56607$n721 -.sym 10357 $abc$56607$n725 -.sym 10358 $abc$56607$n1350 -.sym 10359 $abc$56607$n720 -.sym 10360 $abc$56607$n716 -.sym 10361 $abc$56607$n27 -.sym 10464 $abc$56607$n722 -.sym 10465 KEYBOARD.COLS_SHADOW[2] -.sym 10466 $abc$56607$n723 -.sym 10467 $false -.sym 10470 $abc$56607$n717 -.sym 10471 KEYBOARD.COLS_SHADOW[1] -.sym 10472 $abc$56607$n709 -.sym 10473 $false -.sym 10476 $abc$56607$n691 -.sym 10477 $abc$56607$n708 -.sym 10478 KEYBOARD.COLS_SHADOW[4] -.sym 10479 $abc$56607$n706 -.sym 10482 $abc$56607$n1350 -.sym 10483 $abc$56607$n709 -.sym 10484 $abc$56607$n1347 -.sym 10485 $false -.sym 10488 $abc$56607$n723 -.sym 10489 $abc$56607$n1349 -.sym 10490 $abc$56607$n1490_1 -.sym 10491 $abc$56607$n1535_1 -.sym 10494 $abc$56607$n1343 -.sym 10495 $abc$56607$n678 -.sym 10496 $abc$56607$n691 -.sym 10497 $abc$56607$n2273 -.sym 10500 $abc$56607$n709 -.sym 10501 $abc$56607$n1343 -.sym 10502 $abc$56607$n1347 -.sym 10503 $abc$56607$n1535_1 -.sym 10506 $abc$56607$n723 -.sym 10507 $abc$56607$n1341 -.sym 10508 $abc$56607$n1490_1 -.sym 10509 $abc$56607$n1535_1 -.sym 10510 $abc$56607$n1490$2 +.sym 10349 $false +.sym 10354 $abc$27697$n1079 +.sym 10355 $abc$27697$n964 +.sym 10356 $abc$27697$n958 +.sym 10357 $abc$27697$n1133 +.sym 10358 $abc$27697$n1078 +.sym 10359 $abc$27697$n1081 +.sym 10360 I2C.byte_counter[6] +.sym 10361 I2C.byte_counter[4] +.sym 10506 KBD_COLUMNS[3]$2 +.sym 10507 $false +.sym 10508 $false +.sym 10509 $false +.sym 10510 $true .sym 10511 CLK$2$2 .sym 10512 $false -.sym 10513 $abc$56607$n728 -.sym 10514 $abc$56607$n1535_1 -.sym 10515 $abc$56607$n714 -.sym 10516 $abc$56607$n678 -.sym 10517 KEYBOARD.temp[3] -.sym 10518 KEYBOARD.temp[1] -.sym 10519 KEYBOARD.temp[7] -.sym 10520 KEYBOARD.temp[0] -.sym 10587 KEYBOARD.RAM.r_data[5] -.sym 10588 KEYBOARD.temp[5] -.sym 10589 $abc$56607$n703 +.sym 10515 $abc$27697$n1096 +.sym 10516 $abc$27697$n1097 +.sym 10517 $abc$27697$n951 +.sym 10518 $abc$27697$n949 +.sym 10519 UART.tx_clk_counter[3] +.sym 10520 UART.tx_clk_counter[2] +.sym 10587 $abc$27697$n1093 +.sym 10588 $abc$27697$n1096 +.sym 10589 $abc$27697$n1097 .sym 10590 $false -.sym 10593 KEYBOARD.RAM.r_data[0] -.sym 10594 KEYBOARD.temp[0] -.sym 10595 $abc$56607$n703 -.sym 10596 $false -.sym 10599 $abc$56607$n686 -.sym 10600 $abc$56607$n690 +.sym 10599 $false +.sym 10600 UART.tx_clk_counter[0] .sym 10601 $false -.sym 10602 $false -.sym 10605 KEYBOARD.RAM.r_data[6] -.sym 10606 KEYBOARD.temp[6] -.sym 10607 $abc$56607$n686 -.sym 10608 $abc$56607$n690 -.sym 10611 KEYBOARD.RAM.r_data[7] -.sym 10612 KEYBOARD.temp[7] -.sym 10613 $abc$56607$n686 -.sym 10614 $abc$56607$n690 -.sym 10617 KEYBOARD.RAM.r_data[4] -.sym 10618 KEYBOARD.temp[4] -.sym 10619 $abc$56607$n686 -.sym 10620 $abc$56607$n690 -.sym 10623 $abc$56607$n722 -.sym 10624 KEYBOARD.COLS_SHADOW[2] -.sym 10625 $abc$56607$n723 +.sym 10602 $true$2 +.sym 10605 UART.tx_clk_counter[1] +.sym 10606 UART.tx_clk_counter[0] +.sym 10607 $abc$27697$n676 +.sym 10608 $false +.sym 10623 $abc$27697$n1093 +.sym 10624 $abc$27697$n674 +.sym 10625 $false .sym 10626 $false -.sym 10629 KEYBOARD.COLS_SHADOW[4] -.sym 10630 $abc$56607$n708 -.sym 10631 $abc$56607$n706 +.sym 10629 UART.tx_clk_counter[1] +.sym 10630 UART.tx_clk_counter[0] +.sym 10631 UART.tx_activity .sym 10632 $false -.sym 10633 $abc$56607$n1484 +.sym 10633 $abc$27697$n533 .sym 10634 CLK$2$2 -.sym 10635 $abc$56607$n31$2 -.sym 10636 $abc$56607$n1367 -.sym 10637 $abc$56607$n1484 -.sym 10638 $abc$56607$n1373 -.sym 10641 KEYBOARD.report[0][4] -.sym 10642 KEYBOARD.report[0][7] -.sym 10643 KEYBOARD.report[0][1] -.sym 10710 KEYBOARD.RAM.r_data[2] -.sym 10711 KEYBOARD.temp[2] -.sym 10712 $abc$56607$n703 +.sym 10635 $abc$27697$n23$2 +.sym 10639 $abc$27697$n527 +.sym 10640 $abc$27697$n533 +.sym 10642 I2C.i2c_start_latency +.sym 10710 I2C.FLT_SCL.out +.sym 10711 $abc$27697$n14 +.sym 10712 $abc$27697$n511 .sym 10713 $false -.sym 10716 $abc$56607$n1268_1 -.sym 10717 $abc$56607$n1249 -.sym 10718 $false +.sym 10716 $abc$27697$n913 +.sym 10717 I2C.FLT_SCL.out +.sym 10718 $abc$27697$n14 .sym 10719 $false -.sym 10722 KEYBOARD.RAM.r_data[3] -.sym 10723 KEYBOARD.temp[3] -.sym 10724 $abc$56607$n703 +.sym 10722 $abc$27697$n919 +.sym 10723 $abc$27697$n912 +.sym 10724 $abc$27697$n511 .sym 10725 $false -.sym 10728 $abc$56607$n33$2 -.sym 10729 $abc$56607$n994 -.sym 10730 $abc$56607$n1490$2 -.sym 10731 I2C.FLT_SCL.RESET -.sym 10734 KEYBOARD.RAM.r_data[1] -.sym 10735 KEYBOARD.temp[1] -.sym 10736 $abc$56607$n703 +.sym 10728 $abc$27697$n913 +.sym 10729 I2C.FLT_SCL.out +.sym 10730 $abc$27697$n14 +.sym 10731 $abc$27697$n511 +.sym 10734 $abc$27697$n913 +.sym 10735 $abc$27697$n511 +.sym 10736 $abc$27697$n918_1 .sym 10737 $false -.sym 10740 $abc$56607$n1271 -.sym 10741 $abc$56607$n1282 -.sym 10742 $false -.sym 10743 $false -.sym 10746 $abc$56607$n923 -.sym 10747 $abc$56607$n994 -.sym 10748 $false +.sym 10740 $abc$27697$n968 +.sym 10741 $abc$27697$n924 +.sym 10742 $abc$27697$n969 +.sym 10743 $abc$27697$n652 +.sym 10746 I2C.FLT_SCL.out +.sym 10747 $abc$27697$n14 +.sym 10748 $abc$27697$n913 .sym 10749 $false -.sym 10756 $abc$56607$n1470 -.sym 10757 CLK$2$2 -.sym 10758 $abc$56607$n31$2 -.sym 10759 $abc$56607$n959 -.sym 10760 $abc$56607$n927 -.sym 10761 $abc$56607$n915 -.sym 10762 $abc$56607$n1369 -.sym 10763 $abc$56607$n1371 -.sym 10764 KEYBOARD.report[0][0] -.sym 10765 KEYBOARD.report[0][2] -.sym 10766 KEYBOARD.report[0][3] -.sym 10833 $abc$56607$n1282 -.sym 10834 $abc$56607$n874 -.sym 10835 I2C.i2c_state_machine -.sym 10836 $abc$56607$n1272 -.sym 10839 $abc$56607$n1271 -.sym 10840 $abc$56607$n1268_1 -.sym 10841 $abc$56607$n1249 -.sym 10842 $false -.sym 10845 $abc$56607$n1249 -.sym 10846 $abc$56607$n1271 -.sym 10847 $abc$56607$n1268_1 +.sym 10752 $abc$27697$n511 +.sym 10753 $abc$27697$n912 +.sym 10754 $abc$27697$n928 +.sym 10755 $abc$27697$n652 +.sym 10760 $abc$27697$n574 +.sym 10762 $abc$27697$n1914 +.sym 10763 $abc$27697$n1488 +.sym 10764 $abc$27697$n915 +.sym 10765 I2C.i2c_bit_counter[3] +.sym 10766 I2C.i2c_bit_counter[0] +.sym 10833 I2C.FLT_SCL.out +.sym 10834 $abc$27697$n14 +.sym 10835 $abc$27697$n511 +.sym 10836 $false +.sym 10839 $abc$27697$n910 +.sym 10840 $abc$27697$n656 +.sym 10841 $abc$27697$n1116 +.sym 10842 $abc$27697$n652 +.sym 10845 $abc$27697$n511 +.sym 10846 $abc$27697$n14 +.sym 10847 I2C.FLT_SCL.out .sym 10848 $false -.sym 10851 I2C.FLT_SCL.out -.sym 10852 I2C.FLT_SDA.out -.sym 10853 $abc$56607$n14 -.sym 10854 I2C.SDA_DIR -.sym 10857 $abc$56607$n1272 -.sym 10858 $abc$56607$n1270 -.sym 10859 $abc$56607$n1261 -.sym 10860 $abc$56607$n1269 -.sym 10863 $abc$56607$n1269 -.sym 10864 $abc$56607$n1282 -.sym 10865 $abc$56607$n1314 -.sym 10866 $abc$56607$n1273 -.sym 10869 I2C.FLT_SDA.out -.sym 10870 $false -.sym 10871 $false -.sym 10872 $false -.sym 10875 $abc$56607$n1260 -.sym 10876 $abc$56607$n1273 -.sym 10877 $abc$56607$n1281 +.sym 10851 $abc$27697$n928 +.sym 10852 $abc$27697$n938 +.sym 10853 $abc$27697$n1116 +.sym 10854 $abc$27697$n652 +.sym 10857 $abc$27697$n912 +.sym 10858 $abc$27697$n910 +.sym 10859 $abc$27697$n914 +.sym 10860 $false +.sym 10863 $abc$27697$n913 +.sym 10864 $abc$27697$n924 +.sym 10865 $false +.sym 10866 $false +.sym 10869 $abc$27697$n1116 +.sym 10870 $abc$27697$n913 +.sym 10871 $abc$27697$n924 +.sym 10872 I2C.received_byte[0] +.sym 10875 I2C.wr +.sym 10876 $false +.sym 10877 $false .sym 10878 $false -.sym 10879 $true +.sym 10879 I2C.FLT_SCL.RESET$2 .sym 10880 CLK$2$2 .sym 10881 $false -.sym 10882 $abc$56607$n997 -.sym 10883 $abc$56607$n1457 -.sym 10884 $abc$56607$n943 -.sym 10885 $abc$56607$n922 -.sym 10886 $abc$56607$n920 -.sym 10887 $abc$56607$n921 -.sym 10888 $abc$56607$n1490 -.sym 10889 KEYBOARD.report[6][2] -.sym 10956 $abc$56607$n1280 -.sym 10957 $abc$56607$n1487 -.sym 10958 $abc$56607$n877 -.sym 10959 I2C.received_byte[0] -.sym 10962 $abc$56607$n875 -.sym 10963 $abc$56607$n877 -.sym 10964 $false -.sym 10965 $false -.sym 10968 $abc$56607$n1285 -.sym 10969 $abc$56607$n1286 -.sym 10970 $abc$56607$n1269 -.sym 10971 $false -.sym 10974 $abc$56607$n1278_1 -.sym 10975 $abc$56607$n1487 -.sym 10976 $false -.sym 10977 $false -.sym 10980 $abc$56607$n33$2 -.sym 10981 $abc$56607$n1490$2 -.sym 10982 $abc$56607$n1484 -.sym 10983 $false -.sym 10986 $abc$56607$n1274 -.sym 10987 $abc$56607$n874 -.sym 10988 $abc$56607$n1280 +.sym 10882 $abc$27697$n658 +.sym 10883 I2C_TRANS +.sym 10884 $abc$27697$n1892 +.sym 10885 $abc$27697$n652 +.sym 10887 $abc$27697$n1490 +.sym 10888 $abc$27697$n1912 +.sym 10889 I2C.received_byte[0] +.sym 10918 $true +.sym 10955 $abc$27697$n1912$2 +.sym 10956 $false +.sym 10957 $abc$27697$n1912 +.sym 10958 $false +.sym 10959 $false +.sym 10961 $auto$alumacc.cc:470:replace_alu$5956.C[2] +.sym 10963 $false +.sym 10964 $abc$27697$n1892 +.sym 10967 $auto$alumacc.cc:470:replace_alu$5956.C[3] +.sym 10969 $false +.sym 10970 $abc$27697$n1913 +.sym 10973 $abc$27697$n511$2 +.sym 10975 $false +.sym 10976 $abc$27697$n1914 +.sym 10983 $abc$27697$n511$2 +.sym 10986 $abc$27697$n920 +.sym 10987 $abc$27697$n933 +.sym 10988 $abc$27697$n652 .sym 10989 $false -.sym 10992 $abc$56607$n14 -.sym 10993 I2C.FLT_SCL.out -.sym 10994 I2C.SDA_DIR -.sym 10995 I2C.FLT_SDA.out -.sym 10998 $abc$56607$n1280 -.sym 10999 $abc$56607$n1274 -.sym 11000 $abc$56607$n874 -.sym 11001 $abc$56607$n1284 +.sym 10992 $abc$27697$n941 +.sym 10993 $abc$27697$n1490 +.sym 10994 $abc$27697$n939 +.sym 10995 $abc$27697$n658 +.sym 10998 I2C.FLT_SCL.out +.sym 10999 $false +.sym 11000 $false +.sym 11001 $false .sym 11002 $true .sym 11003 CLK$2$2 -.sym 11004 $abc$56607$n35$2 -.sym 11005 $abc$56607$n1483_1 -.sym 11007 $abc$56607$n1482 -.sym 11008 $abc$56607$n1148 -.sym 11009 $abc$56607$n1177 -.sym 11011 $abc$56607$n1484_1 -.sym 11012 I2C.is_read -.sym 11079 UART.tx_bit_counter[3] -.sym 11080 $abc$56607$n899 -.sym 11081 $false +.sym 11004 $false +.sym 11005 $abc$27697$n498 +.sym 11006 $abc$27697$n478 +.sym 11007 $abc$27697$n660 +.sym 11008 $abc$27697$n483 +.sym 11009 $abc$27697$n503 +.sym 11010 $abc$27697$n657 +.sym 11011 $abc$27697$n595 +.sym 11012 I2C.received_byte[4] +.sym 11079 $abc$27697$n917 +.sym 11080 $abc$27697$n918 +.sym 11081 $abc$27697$n650 .sym 11082 $false -.sym 11085 UART.tx_bit_counter[0] -.sym 11086 UART.tx_bit_counter[1] -.sym 11087 UART.tx_bit_counter[2] -.sym 11088 UART.tx_activity -.sym 11091 UART.tx_activity -.sym 11092 $false -.sym 11093 $false +.sym 11085 $abc$27697$n649 +.sym 11086 $abc$27697$n664 +.sym 11087 $false +.sym 11088 $false +.sym 11091 $abc$27697$n918 +.sym 11092 $abc$27697$n917 +.sym 11093 $abc$27697$n650 .sym 11094 $false -.sym 11097 $false -.sym 11098 UART.tx_bit_counter[0] -.sym 11099 $false -.sym 11100 $true$2 -.sym 11103 $abc$56607$n2603 -.sym 11104 $false -.sym 11105 $false +.sym 11097 $abc$27697$n922 +.sym 11098 $abc$27697$n923 +.sym 11099 $abc$27697$n920 +.sym 11100 $false +.sym 11103 $abc$27697$n595 +.sym 11104 $abc$27697$n921 +.sym 11105 $abc$27697$n1486 .sym 11106 $false -.sym 11109 $abc$56607$n2605 -.sym 11110 $false +.sym 11109 $abc$27697$n658 +.sym 11110 $abc$27697$n915 .sym 11111 $false .sym 11112 $false -.sym 11115 $abc$56607$n2519 -.sym 11116 $false -.sym 11117 $false -.sym 11118 $false -.sym 11121 $abc$56607$n2518 +.sym 11115 I2C.received_byte[4] +.sym 11116 I2C.received_byte[1] +.sym 11117 I2C.received_byte[5] +.sym 11118 I2C.received_byte[3] +.sym 11121 I2C.FLT_SDA.out .sym 11122 $false .sym 11123 $false .sym 11124 $false -.sym 11125 $abc$56607$n1320 +.sym 11125 $abc$27697$n493 .sym 11126 CLK$2$2 -.sym 11127 $abc$56607$n25 -.sym 11128 $abc$56607$n1533 -.sym 11129 $abc$56607$n1525 -.sym 11130 $abc$56607$n1519 -.sym 11131 $abc$56607$n1546 -.sym 11132 $abc$56607$n1520_1 -.sym 11133 $abc$56607$n1526_1 -.sym 11135 I2C.received_byte[5] -.sym 11202 $abc$56607$n887 -.sym 11203 $abc$56607$n885 -.sym 11204 $false -.sym 11205 $false -.sym 11208 $abc$56607$n880 -.sym 11209 $abc$56607$n358 -.sym 11210 $false -.sym 11211 $false -.sym 11214 $abc$56607$n872 -.sym 11215 $abc$56607$n885 -.sym 11216 $false -.sym 11217 $false -.sym 11226 $abc$56607$n887 -.sym 11227 $abc$56607$n882 -.sym 11228 $false -.sym 11229 $false -.sym 11232 $abc$56607$n880 -.sym 11233 $abc$56607$n358 -.sym 11234 $false -.sym 11235 $false -.sym 11238 $abc$56607$n880 -.sym 11239 $abc$56607$n358 -.sym 11240 $abc$56607$n887 +.sym 11127 $false +.sym 11128 $abc$27697$n19 +.sym 11129 $abc$27697$n510 +.sym 11130 $abc$27697$n578 +.sym 11131 $abc$27697$n488 +.sym 11132 $abc$27697$n575 +.sym 11133 $abc$27697$n613 +.sym 11134 $abc$27697$n662 +.sym 11135 I2C.received_byte[2] +.sym 11202 $abc$27697$n908 +.sym 11203 $abc$27697$n909 +.sym 11204 $abc$27697$n1129_1 +.sym 11205 $abc$27697$n911 +.sym 11208 $abc$27697$n811 +.sym 11209 $abc$27697$n813 +.sym 11210 $abc$27697$n915 +.sym 11211 $abc$27697$n658 +.sym 11214 $abc$27697$n803 +.sym 11215 $abc$27697$n805 +.sym 11216 $abc$27697$n915 +.sym 11217 $abc$27697$n658 +.sym 11220 $abc$27697$n915_1 +.sym 11221 $abc$27697$n1128_1 +.sym 11222 $abc$27697$n917 +.sym 11223 $abc$27697$n910 +.sym 11226 $abc$27697$n807 +.sym 11227 $abc$27697$n815 +.sym 11228 $abc$27697$n917 +.sym 11229 $abc$27697$n662 +.sym 11232 $abc$27697$n809 +.sym 11233 $abc$27697$n817 +.sym 11234 $abc$27697$n917 +.sym 11235 $abc$27697$n664 +.sym 11238 $abc$27697$n666 +.sym 11239 $abc$27697$n664 +.sym 11240 $false .sym 11241 $false .sym 11244 I2C.FLT_SDA.out .sym 11245 $false .sym 11246 $false .sym 11247 $false -.sym 11248 $abc$56607$n1297 +.sym 11248 $abc$27697$n524 .sym 11249 CLK$2$2 .sym 11250 $false -.sym 11251 $abc$56607$n1315 -.sym 11253 $abc$56607$n1278_1 -.sym 11254 $abc$56607$n1279 -.sym 11255 $abc$56607$n1320 -.sym 11256 $abc$56607$n1129 -.sym 11257 $abc$56607$n23 -.sym 11258 I2C.received_byte[7] -.sym 11325 $abc$56607$n1132 -.sym 11326 $abc$56607$n670 -.sym 11327 $false +.sym 11251 $abc$27697$n496 +.sym 11252 $abc$27697$n571 +.sym 11253 $abc$27697$n583 +.sym 11254 $abc$27697$n291 +.sym 11255 $abc$27697$n422 +.sym 11256 $abc$27697$n467 +.sym 11257 $abc$27697$n1 +.sym 11258 uart_double_ff +.sym 11325 I2C.received_byte[2] +.sym 11326 I2C.received_byte[7] +.sym 11327 I2C.received_byte[6] .sym 11328 $false -.sym 11331 $abc$56607$n1526_1 -.sym 11332 $abc$56607$n1525 -.sym 11333 $abc$56607$n1116 -.sym 11334 $false -.sym 11337 $abc$56607$n1520_1 -.sym 11338 $abc$56607$n1519 -.sym 11339 $abc$56607$n1116 +.sym 11337 $abc$27697$n815 +.sym 11338 I2C.received_byte[6] +.sym 11339 I2C.is_read .sym 11340 $false -.sym 11343 $abc$56607$n1484_1 -.sym 11344 $abc$56607$n1177 -.sym 11345 $abc$56607$n1129 +.sym 11343 $abc$27697$n803 +.sym 11344 I2C.received_byte[0] +.sym 11345 I2C.is_read .sym 11346 $false -.sym 11349 $abc$56607$n1524_1 -.sym 11350 $abc$56607$n1130 -.sym 11351 $abc$56607$n1483_1 -.sym 11352 $abc$56607$n670 -.sym 11355 $abc$56607$n1485 -.sym 11356 $abc$56607$n1188 -.sym 11357 $abc$56607$n1129 +.sym 11349 $abc$27697$n811 +.sym 11350 I2C.received_byte[4] +.sym 11351 I2C.is_read +.sym 11352 $false +.sym 11355 $abc$27697$n807 +.sym 11356 I2C.received_byte[2] +.sym 11357 I2C.is_read .sym 11358 $false -.sym 11361 $abc$56607$n1129 -.sym 11362 $abc$56607$n1546 -.sym 11363 $abc$56607$n1533 -.sym 11364 $abc$56607$n1116 -.sym 11367 $abc$56607$n1148 -.sym 11368 $abc$56607$n1482 -.sym 11369 $abc$56607$n1116 -.sym 11370 $abc$56607$n1129 -.sym 11371 $abc$56607$n1018$2 +.sym 11361 $abc$27697$n805 +.sym 11362 I2C.received_byte[1] +.sym 11363 I2C.is_read +.sym 11364 $false +.sym 11367 $abc$27697$n813 +.sym 11368 I2C.received_byte[5] +.sym 11369 I2C.is_read +.sym 11370 $false +.sym 11371 $abc$27697$n291 .sym 11372 CLK$2$2 -.sym 11373 $abc$56607$n23 -.sym 11374 $abc$56607$n1536_1 -.sym 11375 $abc$56607$n1544_1 -.sym 11376 $abc$56607$n1542 -.sym 11377 $abc$56607$n812 -.sym 11378 $abc$56607$n833 -.sym 11379 $abc$56607$n1543 -.sym 11380 $abc$56607$n816_1 -.sym 11381 I2C.received_byte[3] -.sym 11448 $abc$56607$n1478 -.sym 11449 $abc$56607$n1095 +.sym 11373 $abc$27697$n19 +.sym 11374 $abc$27697$n295 +.sym 11375 $abc$27697$n1087_1 +.sym 11376 $abc$27697$n570 +.sym 11377 $abc$27697$n576 +.sym 11378 $abc$27697$n572 +.sym 11379 $abc$27697$n288 +.sym 11380 $abc$27697$n278 +.sym 11381 UART_WR +.sym 11448 $abc$27697$n793 +.sym 11449 $abc$27697$n1096_1 .sym 11450 I2C_OUTPUT_TYPE[2] .sym 11451 $false -.sym 11454 I2C_OUTPUT_TYPE[0] -.sym 11455 $abc$56607$n1478 -.sym 11456 $abc$56607$n1096 -.sym 11457 $abc$56607$n1095 -.sym 11460 I2C_OUTPUT_TYPE[2] -.sym 11461 I2C_OUTPUT_TYPE[1] -.sym 11462 I2C_OUTPUT_TYPE[0] -.sym 11463 $false -.sym 11466 $abc$56607$n841 -.sym 11467 $abc$56607$n809 -.sym 11468 I2C.FLT_SCL.RESET -.sym 11469 $abc$56607$n815_1 -.sym 11472 I2C_OUTPUT_TYPE[1] -.sym 11473 $abc$56607$n1478 -.sym 11474 $abc$56607$n1095 -.sym 11475 $abc$56607$n1096 -.sym 11478 $abc$56607$n1479 -.sym 11479 $abc$56607$n1093 -.sym 11480 $abc$56607$n1097 -.sym 11481 $abc$56607$n1099_1 -.sym 11484 $abc$56607$n1479 -.sym 11485 $abc$56607$n1099_1 -.sym 11486 $false +.sym 11454 UART_TX_DATA[5] +.sym 11455 UART_TX_DATA[7] +.sym 11456 $abc$27697$n502 +.sym 11457 $false +.sym 11460 I2C_OUTPUT_TYPE[1] +.sym 11461 $abc$27697$n1096_1 +.sym 11462 $abc$27697$n645 +.sym 11463 $abc$27697$n793 +.sym 11466 UART_TX_DATA[4] +.sym 11467 UART_TX_DATA[6] +.sym 11468 $abc$27697$n502 +.sym 11469 $false +.sym 11472 $abc$27697$n797 +.sym 11473 $abc$27697$n792 +.sym 11474 $abc$27697$n796 +.sym 11475 $abc$27697$n496 +.sym 11478 I2C_OUTPUT_TYPE[0] +.sym 11479 $abc$27697$n1096_1 +.sym 11480 $abc$27697$n629 +.sym 11481 $abc$27697$n793 +.sym 11484 $abc$27697$n809 +.sym 11485 I2C.received_byte[3] +.sym 11486 I2C.is_read .sym 11487 $false -.sym 11490 $abc$56607$n1097 -.sym 11491 $abc$56607$n1099_1 -.sym 11492 $false +.sym 11490 $abc$27697$n817 +.sym 11491 I2C.received_byte[7] +.sym 11492 I2C.is_read .sym 11493 $false -.sym 11494 $abc$56607$n1191 +.sym 11494 $abc$27697$n291 .sym 11495 CLK$2$2 -.sym 11496 $abc$56607$n35$2 -.sym 11497 $abc$56607$n1081 -.sym 11498 $abc$56607$n1541_1 -.sym 11499 $abc$56607$n1082 -.sym 11500 $abc$56607$n1078 -.sym 11501 $abc$56607$n835 -.sym 11502 $abc$56607$n834 -.sym 11503 $abc$56607$n1074 -.sym 11504 $abc$56607$n1079 -.sym 11571 I2C.received_byte[7] -.sym 11572 I2C.received_byte[6] -.sym 11573 $false -.sym 11574 $false -.sym 11577 $abc$56607$n826 -.sym 11578 $abc$56607$n822 -.sym 11579 $abc$56607$n829 -.sym 11580 $abc$56607$n809 -.sym 11583 I2C_INPUT_LEN[1] -.sym 11584 $abc$56607$n854 -.sym 11585 I2C_INPUT_LEN[0] -.sym 11586 $abc$56607$n815_1 -.sym 11589 I2C_INPUT_LEN[1] -.sym 11590 I2C_INPUT_LEN[0] -.sym 11591 $abc$56607$n854 -.sym 11592 $abc$56607$n822 -.sym 11595 $abc$56607$n815_1 -.sym 11596 $abc$56607$n857 -.sym 11597 $abc$56607$n2577 -.sym 11598 $abc$56607$n860 -.sym 11601 $abc$56607$n809 -.sym 11602 $abc$56607$n1511_1 -.sym 11603 $abc$56607$n856 -.sym 11604 $abc$56607$n1512_1 -.sym 11607 $false -.sym 11608 I2C.byte_counter[0] -.sym 11609 $false -.sym 11610 $true$2 -.sym 11613 I2C.byte_counter[0] -.sym 11614 I2C.byte_counter[1] -.sym 11615 $false +.sym 11496 $abc$27697$n19 +.sym 11497 $abc$27697$n672 +.sym 11498 $abc$27697$n434 +.sym 11499 $abc$27697$n577 +.sym 11500 KEYBOARD.isr +.sym 11502 last_isr +.sym 11503 last_uart_active +.sym 11504 UART.TX_sig_last +.sym 11577 $abc$27697$n506 +.sym 11578 $abc$27697$n507 +.sym 11579 $abc$27697$n1314 +.sym 11580 $abc$27697$n1328 +.sym 11583 UART_TX_DATA[1] +.sym 11584 UART_TX_DATA[3] +.sym 11585 $abc$27697$n502 +.sym 11586 $false +.sym 11589 $false +.sym 11590 $false +.sym 11591 $abc$27697$n1915 +.sym 11592 $true$2 +.sym 11595 $abc$27697$n501 +.sym 11596 $abc$27697$n504 +.sym 11597 $abc$27697$n1328 +.sym 11598 $abc$27697$n1314 +.sym 11601 UART_TX_DATA[0] +.sym 11602 UART_TX_DATA[2] +.sym 11603 $abc$27697$n502 +.sym 11604 $false +.sym 11613 $abc$27697$n25$2 +.sym 11614 KEYBOARD.report[0][7] +.sym 11615 $abc$27697$n695_1 .sym 11616 $false -.sym 11617 $abc$56607$n1180 +.sym 11617 $abc$27697$n659 .sym 11618 CLK$2$2 -.sym 11619 $abc$56607$n35$2 -.sym 11620 $abc$56607$n1072 -.sym 11621 $abc$56607$n836 -.sym 11622 $abc$56607$n741 -.sym 11623 $abc$56607$n1084 -.sym 11624 $abc$56607$n776 -.sym 11625 $abc$56607$n888 -.sym 11626 $abc$56607$n1155 -.sym 11627 report_wr_en -.sym 11694 $abc$56607$n830 -.sym 11695 I2C.received_byte[2] -.sym 11696 $abc$56607$n827 +.sym 11619 $false +.sym 11620 $abc$27697$n849 +.sym 11622 $abc$27697$n855 +.sym 11623 $abc$27697$n850_1 +.sym 11624 $abc$27697$n690_1 +.sym 11625 $abc$27697$n866 +.sym 11626 KEYBOARD.report[3][4] +.sym 11627 KEYBOARD.report[3][5] +.sym 11694 KEYBOARD.kbd_code_hid[6] +.sym 11695 $abc$27697$n567 +.sym 11696 $abc$27697$n566 .sym 11697 $false -.sym 11700 I2C.received_byte[3] -.sym 11701 I2C.received_byte[4] -.sym 11702 I2C.received_byte[5] -.sym 11703 $abc$56607$n828 -.sym 11706 $abc$56607$n855 -.sym 11707 $abc$56607$n861 -.sym 11708 $abc$56607$n853 -.sym 11709 i2c_input_data_type[0] -.sym 11712 I2C.received_byte[1] -.sym 11713 I2C.received_byte[2] -.sym 11714 $abc$56607$n827 -.sym 11715 I2C.received_byte[0] -.sym 11718 I2C.received_byte[0] -.sym 11719 I2C.received_byte[1] -.sym 11720 i2c_input_data_type[0] +.sym 11700 $abc$27697$n693 +.sym 11701 $abc$27697$n44 +.sym 11702 I2C.FLT_SCL.RESET$2 +.sym 11703 $false +.sym 11706 KEYBOARD.kbd_code_hid[0] +.sym 11707 KEYBOARD.kbd_code_hid[1] +.sym 11708 KEYBOARD.kbd_code_hid[2] +.sym 11709 $false +.sym 11712 $abc$27697$n1903 +.sym 11713 $false +.sym 11714 $false +.sym 11715 $false +.sym 11718 $abc$27697$n1902 +.sym 11719 $abc$27697$n1903 +.sym 11720 $false .sym 11721 $false -.sym 11724 I2C.received_byte[0] -.sym 11725 $abc$56607$n827 -.sym 11726 I2C.received_byte[1] -.sym 11727 I2C.received_byte[2] -.sym 11730 I2C_INPUT_LEN[0] -.sym 11731 $abc$56607$n854 -.sym 11732 I2C_INPUT_LEN[1] +.sym 11724 KEYBOARD.kbd_code_hid[3] +.sym 11725 KEYBOARD.kbd_code_hid[4] +.sym 11726 KEYBOARD.kbd_code_hid[5] +.sym 11727 KEYBOARD.kbd_code_hid[7] +.sym 11730 $abc$27697$n693 +.sym 11731 $abc$27697$n44 +.sym 11732 I2C.FLT_SCL.RESET$2 .sym 11733 $false -.sym 11736 I2C_INPUT_LEN[3] -.sym 11737 I2C_INPUT_LEN[2] -.sym 11738 $abc$56607$n855 -.sym 11739 $false -.sym 11743 $abc$56607$n870 -.sym 11744 $2\uart_double_ff[0:0] -.sym 11745 $abc$56607$n747 -.sym 11746 $abc$56607$n819 -.sym 11747 $abc$56607$n1218 -.sym 11748 $abc$56607$n1221 -.sym 11749 $abc$56607$n1236 -.sym 11750 last_trans -.sym 11817 $abc$56607$n742 -.sym 11818 $abc$56607$n769 -.sym 11819 $false -.sym 11820 $false -.sym 11823 $abc$56607$n19 -.sym 11824 $abc$56607$n1499 -.sym 11825 I2C.is_read -.sym 11826 $abc$56607$n742 -.sym 11829 $abc$56607$n751 -.sym 11830 $abc$56607$n2569 -.sym 11831 $abc$56607$n747 -.sym 11832 $false -.sym 11835 last_wr -.sym 11836 I2C.wr -.sym 11837 $false -.sym 11838 $false -.sym 11841 $abc$56607$n742 -.sym 11842 $abc$56607$n667 -.sym 11843 $abc$56607$n19 -.sym 11844 $false -.sym 11847 $abc$56607$n751 -.sym 11848 I2C.is_read +.sym 11736 $abc$27697$n500 +.sym 11737 $abc$27697$n1671 +.sym 11738 $abc$27697$n505 +.sym 11739 UART.tx_activity +.sym 11740 $abc$27697$n542 +.sym 11741 CLK$2$2 +.sym 11742 $false +.sym 11743 $abc$27697$n1145_1 +.sym 11744 $abc$27697$n626 +.sym 11745 $abc$27697$n852 +.sym 11746 $abc$27697$n1144 +.sym 11747 $abc$27697$n1124_1 +.sym 11748 $abc$27697$n864 +.sym 11749 $abc$27697$n741_1 +.sym 11750 KEYBOARD.report[3][0] +.sym 11817 $abc$27697$n858 +.sym 11818 KEYBOARD.report[3][3] +.sym 11819 KEYBOARD.report[6][3] +.sym 11820 $abc$27697$n854_1 +.sym 11823 $abc$27697$n856 +.sym 11824 KEYBOARD.report[2][6] +.sym 11825 $abc$27697$n891 +.sym 11826 $abc$27697$n893 +.sym 11829 KEYBOARD.report[3][0] +.sym 11830 KEYBOARD.report[3][1] +.sym 11831 KEYBOARD.report[3][2] +.sym 11832 KEYBOARD.report[3][3] +.sym 11835 $abc$27697$n854_1 +.sym 11836 KEYBOARD.report[6][1] +.sym 11837 $abc$27697$n855 +.sym 11838 KEYBOARD.report[4][1] +.sym 11841 $abc$27697$n854_1 +.sym 11842 KEYBOARD.report[6][0] +.sym 11843 $abc$27697$n855 +.sym 11844 KEYBOARD.report[4][0] +.sym 11847 KEYBOARD.kbd_code_hid[1] +.sym 11848 $false .sym 11849 $false .sym 11850 $false -.sym 11853 I2C.wr -.sym 11854 last_wr +.sym 11853 KEYBOARD.kbd_code_hid[3] +.sym 11854 $false .sym 11855 $false .sym 11856 $false -.sym 11859 I2C.wr +.sym 11859 KEYBOARD.kbd_code_hid[2] .sym 11860 $false .sym 11861 $false .sym 11862 $false -.sym 11863 $abc$56607$n1218 +.sym 11863 $abc$27697$n626 .sym 11864 CLK$2$2 -.sym 11865 $false -.sym 11866 $3\report_wr_en[0:0] -.sym 11867 $abc$56607$n992 -.sym 11869 $2\ring_wr[3:0][3] -.sym 11870 ring_wr[1] -.sym 11871 ring_wr[2] -.sym 11872 ring_wr[0] -.sym 11873 ring_wr[3] -.sym 11902 $true -.sym 11939 ring_wr[0]$2 -.sym 11940 $false -.sym 11941 ring_wr[0] -.sym 11942 $false -.sym 11943 $false -.sym 11945 $auto$alumacc.cc:470:replace_alu$12132.C[2] -.sym 11947 $false -.sym 11948 ring_wr[1] -.sym 11951 $auto$alumacc.cc:470:replace_alu$12132.C[3] -.sym 11952 $false -.sym 11953 $false -.sym 11954 ring_wr[2] -.sym 11955 $auto$alumacc.cc:470:replace_alu$12132.C[2] -.sym 11957 $auto$alumacc.cc:470:replace_alu$12132.C[4] -.sym 11958 $false -.sym 11959 $false -.sym 11960 ring_wr[3] -.sym 11961 $auto$alumacc.cc:470:replace_alu$12132.C[3] -.sym 11964 $false -.sym 11965 $false -.sym 11966 $false -.sym 11967 $auto$alumacc.cc:470:replace_alu$12132.C[4] -.sym 11970 ring_wr[2] -.sym 11971 $abc$56607$n1908 -.sym 11972 $abc$56607$n1089 -.sym 11973 $false -.sym 11976 $true$2 -.sym 11977 $false -.sym 11978 $false -.sym 11979 $false -.sym 11986 $abc$56607$n988 -.sym 11987 CLK$2$2 -.sym 11988 $false -.sym 11996 $2\ring_wr[3:0][1] -.sym 12063 $abc$56607$n49 -.sym 12064 ring_rd[3] -.sym 12065 $abc$56607$n1911 -.sym 12066 $abc$56607$n1087 -.sym 12069 $abc$56607$n764 -.sym 12070 ring_wr[0] -.sym 12071 $abc$56607$n1086 -.sym 12072 $false -.sym 12075 ring_rd[0] -.sym 12076 ring_wr[0] -.sym 12077 ring_rd[3] -.sym 12078 ring_wr[3] -.sym 12081 ring_rd[0] -.sym 12082 $abc$56607$n1902 -.sym 12083 ring_rd[2] -.sym 12084 $abc$56607$n1908 -.sym 12087 ring_rd[2] -.sym 12088 ring_wr[2] -.sym 12089 $abc$56607$n764 -.sym 12090 $abc$56607$n765 -.sym 12093 $false -.sym 12094 $true$2 -.sym 12095 ring_wr[0] -.sym 12096 $false -.sym 12099 $abc$56607$n764 -.sym 12100 $abc$56607$n1086 -.sym 12101 ring_wr[0] -.sym 12102 $abc$56607$n1902 -.sym 12105 ring_rd[1] -.sym 12106 ring_wr[1] +.sym 11865 $abc$27697$n25$2 +.sym 11866 $abc$27697$n747 +.sym 11867 $abc$27697$n886 +.sym 11868 $abc$27697$n744 +.sym 11869 $abc$27697$n745 +.sym 11870 $abc$27697$n883 +.sym 11871 $abc$27697$n641 +.sym 11872 $abc$27697$n683 +.sym 11873 KEYBOARD.report[2][1] +.sym 11940 $abc$27697$n856 +.sym 11941 KEYBOARD.report[2][4] +.sym 11942 $abc$27697$n881 +.sym 11943 $abc$27697$n883 +.sym 11946 $abc$27697$n859 +.sym 11947 KEYBOARD.report[1][5] +.sym 11948 KEYBOARD.report[6][5] +.sym 11949 $abc$27697$n854_1 +.sym 11952 $abc$27697$n859 +.sym 11953 KEYBOARD.report[1][2] +.sym 11954 KEYBOARD.report[6][2] +.sym 11955 $abc$27697$n854_1 +.sym 11958 $abc$27697$n859 +.sym 11959 KEYBOARD.report[1][6] +.sym 11960 KEYBOARD.report[4][6] +.sym 11961 $abc$27697$n855 +.sym 11964 KEYBOARD.report[6][4] +.sym 11965 KEYBOARD.report[6][5] +.sym 11966 KEYBOARD.report[6][6] +.sym 11967 KEYBOARD.report[6][7] +.sym 11970 $abc$27697$n854_1 +.sym 11971 KEYBOARD.report[6][6] +.sym 11972 $abc$27697$n849 +.sym 11973 $abc$27697$n892 +.sym 11976 $abc$27697$n854_1 +.sym 11977 KEYBOARD.report[6][4] +.sym 11978 $abc$27697$n849 +.sym 11979 $abc$27697$n882 +.sym 11982 $abc$27697$n859 +.sym 11983 KEYBOARD.report[1][4] +.sym 11984 KEYBOARD.report[4][4] +.sym 11985 $abc$27697$n855 +.sym 11989 $abc$27697$n687 +.sym 11990 $abc$27697$n871 +.sym 11991 $abc$27697$n749 +.sym 11992 $abc$27697$n689_1 +.sym 11993 $abc$27697$n610 +.sym 11994 $abc$27697$n887 +.sym 11995 $abc$27697$n688 +.sym 11996 KEYBOARD.report[2][0] +.sym 12063 KEYBOARD.kbd_code_hid[7] +.sym 12064 KEYBOARD.report[6][7] +.sym 12065 $false +.sym 12066 $false +.sym 12069 KEYBOARD.kbd_code_hid[1] +.sym 12070 KEYBOARD.report[6][1] +.sym 12071 KEYBOARD.kbd_code_hid[2] +.sym 12072 KEYBOARD.report[6][2] +.sym 12075 KEYBOARD.report[6][0] +.sym 12076 KEYBOARD.report[6][1] +.sym 12077 KEYBOARD.report[6][2] +.sym 12078 KEYBOARD.report[6][3] +.sym 12081 $abc$27697$n700 +.sym 12082 $abc$27697$n703 +.sym 12083 $abc$27697$n704 +.sym 12084 $false +.sym 12087 KEYBOARD.kbd_code_hid[3] +.sym 12088 KEYBOARD.report[6][3] +.sym 12089 KEYBOARD.kbd_code_hid[4] +.sym 12090 KEYBOARD.report[6][4] +.sym 12093 $abc$27697$n1117_1 +.sym 12094 $abc$27697$n710 +.sym 12095 $abc$27697$n712 +.sym 12096 $abc$27697$n713_1 +.sym 12099 KEYBOARD.kbd_code_hid[5] +.sym 12100 KEYBOARD.report[6][5] +.sym 12101 KEYBOARD.kbd_code_hid[6] +.sym 12102 KEYBOARD.report[6][6] +.sym 12105 KEYBOARD.kbd_code_hid[4] +.sym 12106 $false .sym 12107 $false .sym 12108 $false -.sym 12114 $abc$56607$n1544 -.sym 12115 $abc$56607$n1545 -.sym 12116 $abc$56607$n31 -.sym 12117 $abc$56607$n897 -.sym 12118 UART.tx_clk_counter[2] -.sym 12119 UART.tx_clk_counter[3] -.sym 12186 $abc$56607$n2483 -.sym 12187 $abc$56607$n1636 -.sym 12188 I2C.FLT_SCL.out -.sym 12189 I2C.SCLF -.sym 12204 $false -.sym 12205 I2C.FLT_SCL.counter[0] +.sym 12109 $abc$27697$n641 +.sym 12110 CLK$2$2 +.sym 12111 $abc$27697$n25$2 +.sym 12112 $abc$27697$n23 +.sym 12113 $abc$27697$n748 +.sym 12114 KEYBOARD.report[2][2] +.sym 12116 KEYBOARD.report[2][5] +.sym 12117 KEYBOARD.report[2][3] +.sym 12118 KEYBOARD.report[2][7] +.sym 12119 KEYBOARD.report[2][6] +.sym 12148 $true +.sym 12185 $abc$27697$n1915$3 +.sym 12186 $false +.sym 12187 $abc$27697$n1915 +.sym 12188 $false +.sym 12189 $false +.sym 12191 $auto$alumacc.cc:470:replace_alu$6073.C[2] +.sym 12193 $false +.sym 12194 $abc$27697$n1902 +.sym 12198 $false +.sym 12199 $false +.sym 12200 $abc$27697$n1917 +.sym 12201 $auto$alumacc.cc:470:replace_alu$6073.C[2] +.sym 12204 KEYBOARD.kbd_code_hid[4] +.sym 12205 $false .sym 12206 $false -.sym 12207 $true$2 -.sym 12210 I2C.FLT_SCL.out -.sym 12211 I2C.SCLF -.sym 12212 $abc$56607$n1009 -.sym 12213 $false -.sym 12216 I2C.FLT_SCL.counter[0] -.sym 12217 I2C.FLT_SCL.counter[1] -.sym 12218 $false -.sym 12219 $false -.sym 12222 $abc$56607$n1009 -.sym 12223 $abc$56607$n1636 +.sym 12207 $false +.sym 12222 KEYBOARD.kbd_code_hid[5] +.sym 12223 $false .sym 12224 $false .sym 12225 $false -.sym 12228 $abc$56607$n2483 +.sym 12228 KEYBOARD.kbd_code_hid[6] .sym 12229 $false .sym 12230 $false .sym 12231 $false -.sym 12232 $abc$56607$n1512 +.sym 12232 $abc$27697$n556 .sym 12233 CLK$2$2 -.sym 12234 $abc$56607$n35$2 -.sym 12309 $abc$56607$n1471 -.sym 12335 KEYBOARD.COLS_SHADOW[4] -.sym 12336 KEYBOARD.COLS_SHADOW[3] -.sym 12337 KEYBOARD.COLS_SHADOW[0] -.sym 12339 KEYBOARD.COLS_SHADOW[7] -.sym 12340 KEYBOARD.COLS_SHADOW[2] -.sym 12341 KEYBOARD.COLS_SHADOW[1] -.sym 12342 KEYBOARD.COLS_SHADOW[5] -.sym 12410 $abc$56607$n705 -.sym 12411 $abc$56607$n709 -.sym 12412 $abc$56607$n711 -.sym 12413 $false -.sym 12416 $abc$56607$n33$2 -.sym 12417 $abc$56607$n1490$2 -.sym 12418 $false -.sym 12419 $false -.sym 12422 $abc$56607$n678 -.sym 12423 $abc$56607$n691 -.sym 12424 KEYBOARD.is_pressed -.sym 12425 $abc$56607$n696 -.sym 12428 $abc$56607$n702 -.sym 12429 KEYBOARD.is_pressed -.sym 12430 KEYBOARD.COLS_SHADOW[5] -.sym 12431 $abc$56607$n697 -.sym 12434 $abc$56607$n677 -.sym 12435 $abc$56607$n701 -.sym 12436 $abc$56607$n714 -.sym 12437 $abc$56607$n704 -.sym 12446 $abc$56607$n708 -.sym 12447 KEYBOARD.is_pressed -.sym 12448 KEYBOARD.COLS_SHADOW[4] -.sym 12449 $abc$56607$n706 +.sym 12234 $abc$27697$n25$2 +.sym 12309 I2C.FLT_SCL.RESET +.sym 12337 $abc$27697$n1655 +.sym 12338 $abc$27697$n1656 +.sym 12339 $abc$27697$n1657 +.sym 12340 $abc$27697$n1658 +.sym 12341 $abc$27697$n1659 +.sym 12342 $abc$27697$n1660 +.sym 12372 $false +.sym 12409 $auto$alumacc.cc:470:replace_alu$6011.C[1] +.sym 12411 $abc$27697$n23$2 +.sym 12412 rststate[0] +.sym 12415 $auto$alumacc.cc:470:replace_alu$6011.C[2] +.sym 12416 $false +.sym 12417 $false +.sym 12418 rststate[1] +.sym 12419 $auto$alumacc.cc:470:replace_alu$6011.C[1] +.sym 12421 $auto$alumacc.cc:470:replace_alu$6011.C[3] +.sym 12422 $false +.sym 12423 $false +.sym 12424 rststate[2] +.sym 12425 $auto$alumacc.cc:470:replace_alu$6011.C[2] +.sym 12428 $false +.sym 12429 $false +.sym 12430 rststate[3] +.sym 12431 $auto$alumacc.cc:470:replace_alu$6011.C[3] +.sym 12434 rststate[3] +.sym 12435 rststate[2] +.sym 12436 rststate[1] +.sym 12437 rststate[0] +.sym 12440 $abc$27697$n1097_1 +.sym 12441 $abc$27697$n1414 +.sym 12442 $false +.sym 12443 $false +.sym 12446 $abc$27697$n1097_1 +.sym 12447 $abc$27697$n1410 +.sym 12448 $false +.sym 12449 $false +.sym 12452 $abc$27697$n1097_1 +.sym 12453 $abc$27697$n1412 +.sym 12454 $false +.sym 12455 $false +.sym 12456 $true +.sym 12457 CLK$2$2 +.sym 12458 $false .sym 12461 KBD_COLUMNS[4]$2 -.sym 12465 $abc$56607$n1635 -.sym 12466 $abc$56607$n2244 -.sym 12467 $abc$56607$n1003 -.sym 12468 $abc$56607$n2481 -.sym 12469 KEYBOARD.is_pressed -.sym 12573 $abc$56607$n719 -.sym 12574 KEYBOARD.COLS_SHADOW[3] -.sym 12575 KEYBOARD.is_pressed -.sym 12576 $abc$56607$n711 -.sym 12579 $abc$56607$n716 -.sym 12580 $abc$56607$n718 -.sym 12581 $false -.sym 12582 $false -.sym 12585 $abc$56607$n722 -.sym 12586 KEYBOARD.COLS_SHADOW[2] -.sym 12587 KEYBOARD.is_pressed -.sym 12588 $abc$56607$n723 -.sym 12591 $abc$56607$n726 -.sym 12592 KEYBOARD.COLS_SHADOW[0] -.sym 12593 KEYBOARD.is_pressed -.sym 12594 $abc$56607$n727 -.sym 12597 $abc$56607$n719 -.sym 12598 KEYBOARD.COLS_SHADOW[3] -.sym 12599 $abc$56607$n711 +.sym 12463 $abc$27697$n1911 +.sym 12464 $abc$27697$n1910 +.sym 12465 $abc$27697$n1082 +.sym 12466 $abc$27697$n1907 +.sym 12467 $abc$27697$n1909 +.sym 12468 I2C.byte_counter[3] +.sym 12469 I2C.byte_counter[5] +.sym 12470 I2C.byte_counter[7] +.sym 12573 $abc$27697$n1486 +.sym 12574 I2C.byte_counter[5] +.sym 12575 $false +.sym 12576 $false +.sym 12579 $abc$27697$n949 +.sym 12580 $abc$27697$n1081 +.sym 12581 $abc$27697$n1659 +.sym 12582 $abc$27697$n948 +.sym 12585 $abc$27697$n949 +.sym 12586 $abc$27697$n1116 +.sym 12587 $abc$27697$n948 +.sym 12588 $false +.sym 12591 $abc$27697$n1657 +.sym 12592 $abc$27697$n949 +.sym 12593 $abc$27697$n1078 +.sym 12594 $abc$27697$n948 +.sym 12597 $abc$27697$n1486 +.sym 12598 I2C.byte_counter[4] +.sym 12599 $false .sym 12600 $false -.sym 12603 $abc$56607$n721 -.sym 12604 $abc$56607$n725 +.sym 12603 $abc$27697$n1486 +.sym 12604 I2C.byte_counter[6] .sym 12605 $false .sym 12606 $false -.sym 12609 $abc$56607$n717 -.sym 12610 KEYBOARD.COLS_SHADOW[1] -.sym 12611 KEYBOARD.is_pressed -.sym 12612 $abc$56607$n709 -.sym 12615 $abc$56607$n676 -.sym 12616 $abc$56607$n715 -.sym 12617 $abc$56607$n728 -.sym 12618 $abc$56607$n720 -.sym 12622 $abc$56607$n709 -.sym 12623 $abc$56607$n679 -.sym 12624 $abc$56607$n710 -.sym 12625 $abc$56607$n693 -.sym 12626 $abc$56607$n723 -.sym 12627 $abc$56607$n727 -.sym 12628 $abc$56607$n724 -.sym 12629 KEYBOARD.row_counter[3] -.sym 12696 $abc$56607$n723 -.sym 12697 $abc$56607$n727 +.sym 12609 $abc$27697$n964 +.sym 12610 I2C.byte_counter[6] +.sym 12611 $abc$27697$n1116 +.sym 12612 $false +.sym 12615 $abc$27697$n1133 +.sym 12616 I2C.byte_counter[4] +.sym 12617 $abc$27697$n1116 +.sym 12618 $false +.sym 12619 $true +.sym 12620 CLK$2$2 +.sym 12621 $false +.sym 12622 $abc$27697$n1653 +.sym 12623 $abc$27697$n956 +.sym 12624 $abc$27697$n950 +.sym 12625 $abc$27697$n1072 +.sym 12626 $abc$27697$n946 +.sym 12627 $abc$27697$n947 +.sym 12628 I2C.byte_counter[2] +.sym 12629 I2C.byte_counter[0] +.sym 12658 $true +.sym 12695 UART.tx_clk_counter[0]$2 +.sym 12696 $false +.sym 12697 UART.tx_clk_counter[0] .sym 12698 $false .sym 12699 $false -.sym 12702 KEYBOARD.COLS_SHADOW[0] -.sym 12703 $abc$56607$n726 -.sym 12704 $abc$56607$n727 -.sym 12705 $false -.sym 12708 KEYBOARD.COLS_SHADOW[7] -.sym 12709 $abc$56607$n685 -.sym 12710 $abc$56607$n679 -.sym 12711 $abc$56607$n706 -.sym 12714 $abc$56607$n685 -.sym 12715 KEYBOARD.COLS_SHADOW[7] -.sym 12716 $abc$56607$n679 -.sym 12717 $false -.sym 12720 $abc$56607$n719 -.sym 12721 KEYBOARD.COLS_SHADOW[3] -.sym 12722 $abc$56607$n711 -.sym 12723 $false -.sym 12726 $abc$56607$n717 -.sym 12727 KEYBOARD.COLS_SHADOW[1] -.sym 12728 $abc$56607$n709 +.sym 12701 $auto$alumacc.cc:470:replace_alu$6067.C[2] +.sym 12703 UART.tx_clk_counter[1] +.sym 12704 $true$2 +.sym 12707 $auto$alumacc.cc:470:replace_alu$6067.C[3] +.sym 12708 $false +.sym 12709 UART.tx_clk_counter[2] +.sym 12710 $true$2 +.sym 12711 $auto$alumacc.cc:470:replace_alu$6067.C[2] +.sym 12714 $false +.sym 12715 UART.tx_clk_counter[3] +.sym 12716 $true$2 +.sym 12717 $auto$alumacc.cc:470:replace_alu$6067.C[3] +.sym 12720 I2C.byte_counter[3] +.sym 12721 I2C.byte_counter[4] +.sym 12722 I2C.byte_counter[5] +.sym 12723 I2C.byte_counter[7] +.sym 12726 $abc$27697$n950 +.sym 12727 $abc$27697$n1081 +.sym 12728 $abc$27697$n951 .sym 12729 $false -.sym 12732 KEYBOARD.COLS_SHADOW[7] -.sym 12733 $abc$56607$n685 -.sym 12734 $abc$56607$n679 +.sym 12732 $abc$27697$n1097 +.sym 12733 $abc$27697$n674 +.sym 12734 $false .sym 12735 $false -.sym 12738 $abc$56607$n726 -.sym 12739 KEYBOARD.COLS_SHADOW[0] -.sym 12740 $abc$56607$n727 +.sym 12738 $abc$27697$n1096 +.sym 12739 $abc$27697$n674 +.sym 12740 $false .sym 12741 $false -.sym 12742 $abc$56607$n1484 +.sym 12742 $abc$27697$n533 .sym 12743 CLK$2$2 -.sym 12744 $abc$56607$n31$2 -.sym 12747 $abc$56607$n2237 -.sym 12748 $abc$56607$n28 -.sym 12749 $abc$56607$n2250 -.sym 12750 $abc$56607$n2463 -.sym 12751 KEYBOARD.report[6][0] -.sym 12752 KEYBOARD.report[6][3] -.sym 12819 KEYBOARD.kbd_code_hid[2] -.sym 12820 KEYBOARD.kbd_code_hid[1] -.sym 12821 KEYBOARD.kbd_code_hid[0] -.sym 12822 $false -.sym 12825 $abc$56607$n923 -.sym 12826 I2C.FLT_SCL.RESET -.sym 12827 $false -.sym 12828 $false -.sym 12831 KEYBOARD.kbd_code_hid[1] -.sym 12832 KEYBOARD.kbd_code_hid[0] -.sym 12833 KEYBOARD.kbd_code_hid[2] -.sym 12834 $false -.sym 12849 $abc$56607$n27 -.sym 12850 KEYBOARD.report[0][4] -.sym 12851 $abc$56607$n1373 -.sym 12852 $false -.sym 12855 $abc$56607$n27 -.sym 12856 KEYBOARD.report[0][7] -.sym 12857 $abc$56607$n918 +.sym 12744 $abc$27697$n23$2 +.sym 12745 $abc$27697$n1101 +.sym 12746 $abc$27697$n954_1 +.sym 12747 $abc$27697$n633 +.sym 12748 $abc$27697$n640 +.sym 12749 $abc$27697$n639 +.sym 12750 $abc$27697$n637 +.sym 12751 $abc$27697$n635 +.sym 12752 I2C.byte_counter[1] +.sym 12837 I2C.i2c_start_latency +.sym 12838 I2C.i2c_state_machine +.sym 12839 $abc$27697$n1486 +.sym 12840 $false +.sym 12843 I2C.FLT_SCL.RESET$2 +.sym 12844 $abc$27697$n672 +.sym 12845 $false +.sym 12846 $false +.sym 12855 $abc$27697$n1486 +.sym 12856 $false +.sym 12857 $false .sym 12858 $false -.sym 12861 $abc$56607$n27 -.sym 12862 KEYBOARD.report[0][1] -.sym 12863 $abc$56607$n1367 -.sym 12864 $false -.sym 12865 $abc$56607$n1457 +.sym 12865 $abc$27697$n527 .sym 12866 CLK$2$2 -.sym 12867 $abc$56607$n35$2 -.sym 12868 $abc$56607$n989 -.sym 12869 $abc$56607$n926 -.sym 12870 $abc$56607$n1450 -.sym 12871 $abc$56607$n904 -.sym 12872 $abc$56607$n1483 -.sym 12873 $abc$56607$n975 -.sym 12874 $abc$56607$n905 -.sym 12875 KEYBOARD.isr -.sym 12942 $abc$56607$n915 -.sym 12943 KEYBOARD.init_ram_cnt[8] -.sym 12944 I2C.FLT_SCL.RESET -.sym 12945 $false -.sym 12948 $abc$56607$n915 -.sym 12949 I2C.FLT_SCL.RESET -.sym 12950 KEYBOARD.init_ram_cnt[8] +.sym 12867 $false +.sym 12873 KEYBOARD.is_pressed +.sym 12948 last_wr +.sym 12949 I2C.wr +.sym 12950 $false .sym 12951 $false -.sym 12954 $abc$56607$n916 -.sym 12955 $abc$56607$n920 -.sym 12956 $abc$56607$n923 -.sym 12957 $false -.sym 12960 KEYBOARD.kbd_code_hid[2] -.sym 12961 KEYBOARD.kbd_code_hid[0] -.sym 12962 KEYBOARD.kbd_code_hid[1] +.sym 12960 I2C.i2c_bit_counter[3] +.sym 12961 $abc$27697$n1486 +.sym 12962 $false .sym 12963 $false -.sym 12966 KEYBOARD.kbd_code_hid[2] -.sym 12967 KEYBOARD.kbd_code_hid[1] -.sym 12968 KEYBOARD.kbd_code_hid[0] +.sym 12966 $abc$27697$n1486 +.sym 12967 I2C.i2c_bit_counter[0] +.sym 12968 $false .sym 12969 $false -.sym 12972 $abc$56607$n27 -.sym 12973 KEYBOARD.report[0][0] -.sym 12974 $abc$56607$n921 -.sym 12975 $false -.sym 12978 $abc$56607$n27 -.sym 12979 KEYBOARD.report[0][2] -.sym 12980 $abc$56607$n1369 -.sym 12981 $false -.sym 12984 $abc$56607$n27 -.sym 12985 KEYBOARD.report[0][3] -.sym 12986 $abc$56607$n1371 -.sym 12987 $false -.sym 12988 $abc$56607$n1457 +.sym 12972 $false +.sym 12973 $abc$27697$n1488 +.sym 12974 $false +.sym 12975 $true$2 +.sym 12978 $abc$27697$n1914 +.sym 12979 $abc$27697$n918 +.sym 12980 $abc$27697$n939 +.sym 12981 $abc$27697$n944 +.sym 12984 $abc$27697$n944 +.sym 12985 $abc$27697$n1488 +.sym 12986 $abc$27697$n915 +.sym 12987 $abc$27697$n939 +.sym 12988 $true .sym 12989 CLK$2$2 -.sym 12990 $abc$56607$n35$2 -.sym 12991 $abc$56607$n909 -.sym 12992 $abc$56607$n910 -.sym 12993 $abc$56607$n1522 -.sym 12994 $abc$56607$n1515_1 -.sym 12995 KEYBOARD.report[1][2] -.sym 12996 KEYBOARD.report[1][0] -.sym 12997 KEYBOARD.report[1][5] -.sym 12998 KEYBOARD.report[1][3] -.sym 13065 $abc$56607$n920 -.sym 13066 $abc$56607$n916 -.sym 13067 $abc$56607$n943 -.sym 13068 I2C.FLT_SCL.RESET -.sym 13071 $abc$56607$n920 -.sym 13072 $abc$56607$n916 -.sym 13073 $abc$56607$n943 -.sym 13074 I2C.FLT_SCL.RESET -.sym 13077 $abc$56607$n923 -.sym 13078 KEYBOARD.init_ram_cnt[8] +.sym 12990 $false +.sym 12993 $abc$27697$n917 +.sym 12994 $abc$27697$n918 +.sym 12995 $abc$27697$n1891 +.sym 12996 $abc$27697$n1494 +.sym 12997 KEYBOARD.ROWS_EN[15] +.sym 12998 KEYBOARD.ROWS_EN[14] +.sym 13065 I2C.i2c_bit_counter[0] +.sym 13066 I2C.i2c_bit_counter[1] +.sym 13067 $abc$27697$n1486 +.sym 13068 $false +.sym 13071 I2C.i2c_state_machine +.sym 13072 I2C.i2c_start_latency +.sym 13073 $false +.sym 13074 $false +.sym 13077 $abc$27697$n1490 +.sym 13078 $false .sym 13079 $false .sym 13080 $false -.sym 13083 KEYBOARD.kbd_code_hid[7] -.sym 13084 KEYBOARD.kbd_code_hid[6] -.sym 13085 KEYBOARD.kbd_code_hid[4] -.sym 13086 KEYBOARD.kbd_code_hid[5] -.sym 13089 KEYBOARD.kbd_code_hid[3] -.sym 13090 $abc$56607$n922 -.sym 13091 $abc$56607$n921 -.sym 13092 $false -.sym 13095 KEYBOARD.kbd_code_hid[2] -.sym 13096 KEYBOARD.kbd_code_hid[1] -.sym 13097 KEYBOARD.kbd_code_hid[0] +.sym 13083 I2C.i2c_start_latency +.sym 13084 $abc$27697$n1486 +.sym 13085 I2C.i2c_state_machine +.sym 13086 I2C.is_read +.sym 13095 $abc$27697$n1486 +.sym 13096 I2C.i2c_bit_counter[1] +.sym 13097 $false .sym 13098 $false -.sym 13101 $abc$56607$n943 -.sym 13102 I2C.FLT_SCL.RESET +.sym 13101 $abc$27697$n1488 +.sym 13102 $false .sym 13103 $false .sym 13104 $false -.sym 13107 KEYBOARD.kbd_code_hid[2] +.sym 13107 I2C.FLT_SDA.out .sym 13108 $false .sym 13109 $false .sym 13110 $false -.sym 13111 $abc$56607$n1328 +.sym 13111 $abc$27697$n478 .sym 13112 CLK$2$2 -.sym 13113 $abc$56607$n27 -.sym 13114 $abc$56607$n911 -.sym 13115 $abc$56607$n1375 -.sym 13116 $abc$56607$n1163 -.sym 13117 $abc$56607$n1524_1 -.sym 13118 $abc$56607$n1188 -.sym 13119 $abc$56607$n1485 -.sym 13120 KEYBOARD.report[0][6] -.sym 13121 KEYBOARD.report[0][5] -.sym 13188 KEYBOARD.report[0][3] -.sym 13189 KEYBOARD.report[1][3] -.sym 13190 $abc$56607$n1124 -.sym 13191 $abc$56607$n1116 -.sym 13200 KEYBOARD.report[0][2] -.sym 13201 KEYBOARD.report[1][2] -.sym 13202 $abc$56607$n1124 +.sym 13113 $false +.sym 13114 $abc$27697$n630 +.sym 13115 $abc$27697$n631 +.sym 13116 $abc$27697$n636 +.sym 13117 $abc$27697$n632 +.sym 13118 $abc$27697$n641_1 +.sym 13119 $abc$27697$n603 +.sym 13120 $abc$27697$n642 +.sym 13121 I2C.received_byte[1] +.sym 13188 $abc$27697$n666 +.sym 13189 $abc$27697$n657 +.sym 13190 $false +.sym 13191 $false +.sym 13194 $abc$27697$n649 +.sym 13195 $abc$27697$n657 +.sym 13196 $false +.sym 13197 $false +.sym 13200 $abc$27697$n658 +.sym 13201 $abc$27697$n915 +.sym 13202 $false .sym 13203 $false -.sym 13206 $abc$56607$n1149 -.sym 13207 $abc$56607$n1152 -.sym 13208 KEYBOARD.report[0][2] -.sym 13209 $abc$56607$n1120 -.sym 13212 KEYBOARD.report[0][4] -.sym 13213 $abc$56607$n1120 -.sym 13214 $abc$56607$n1178 -.sym 13215 $abc$56607$n1116 -.sym 13224 KEYBOARD.report[0][4] -.sym 13225 KEYBOARD.report[1][4] -.sym 13226 $abc$56607$n1124 -.sym 13227 $abc$56607$n1116 -.sym 13230 $abc$56607$n1288 -.sym 13231 $abc$56607$n875 +.sym 13206 $abc$27697$n649 +.sym 13207 $abc$27697$n660 +.sym 13208 $false +.sym 13209 $false +.sym 13212 $abc$27697$n666 +.sym 13213 $abc$27697$n660 +.sym 13214 $false +.sym 13215 $false +.sym 13218 $abc$27697$n915 +.sym 13219 $abc$27697$n658 +.sym 13220 $false +.sym 13221 $false +.sym 13224 I2C.byte_counter[4] +.sym 13225 I2C.byte_counter[5] +.sym 13226 I2C.byte_counter[6] +.sym 13227 I2C.byte_counter[7] +.sym 13230 I2C.FLT_SDA.out +.sym 13231 $false .sym 13232 $false .sym 13233 $false -.sym 13234 $true +.sym 13234 $abc$27697$n498 .sym 13235 CLK$2$2 .sym 13236 $false -.sym 13238 $abc$56607$n1201 -.sym 13239 $abc$56607$n1497 -.sym 13240 $abc$56607$n1528 -.sym 13241 $abc$56607$n1116 -.sym 13242 $abc$56607$n1124 -.sym 13243 $abc$56607$n2458 -.sym 13244 $abc$56607$n1531 -.sym 13311 $abc$56607$n1120 -.sym 13312 KEYBOARD.report[0][7] -.sym 13313 $abc$56607$n1209 -.sym 13314 $abc$56607$n1129 -.sym 13317 $abc$56607$n1124 -.sym 13318 KEYBOARD.report[0][6] -.sym 13319 $abc$56607$n1201 -.sym 13320 $abc$56607$n1129 -.sym 13323 KEYBOARD.report[0][0] -.sym 13324 $abc$56607$n1120 -.sym 13325 $abc$56607$n1518 -.sym 13326 $abc$56607$n1129 -.sym 13329 KEYBOARD.report[1][7] -.sym 13330 $abc$56607$n1126 -.sym 13331 KEYBOARD.report[0][7] -.sym 13332 $abc$56607$n1124 -.sym 13335 $abc$56607$n1124 -.sym 13336 KEYBOARD.report[0][0] -.sym 13337 $abc$56607$n1497 -.sym 13338 $abc$56607$n1129 -.sym 13341 $abc$56607$n1120 -.sym 13342 KEYBOARD.report[0][6] -.sym 13343 $abc$56607$n1531 -.sym 13344 $abc$56607$n1129 +.sym 13237 $abc$27697$n638 +.sym 13238 $abc$27697$n1097_1 +.sym 13239 $abc$27697$n823 +.sym 13240 $abc$27697$n602 +.sym 13241 $0\uart_double_ff[0:0] +.sym 13242 $abc$27697$n591 +.sym 13243 UART.tx_activity +.sym 13244 last_trans +.sym 13311 I2C.wr +.sym 13312 last_wr +.sym 13313 $false +.sym 13314 $false +.sym 13317 $abc$27697$n666 +.sym 13318 $abc$27697$n662 +.sym 13319 $false +.sym 13320 $false +.sym 13323 $abc$27697$n1 +.sym 13324 I2C.wr +.sym 13325 last_wr +.sym 13326 $false +.sym 13329 $abc$27697$n649 +.sym 13330 $abc$27697$n662 +.sym 13331 $false +.sym 13332 $false +.sym 13335 $0\uart_double_ff[0:0] +.sym 13336 I2C.wr +.sym 13337 last_wr +.sym 13338 I2C.FLT_SCL.RESET$2 +.sym 13341 I2C.byte_counter[2] +.sym 13342 I2C.byte_counter[3] +.sym 13343 $abc$27697$n595 +.sym 13344 I2C.byte_counter[1] +.sym 13347 $abc$27697$n658 +.sym 13348 $abc$27697$n915 +.sym 13349 $false +.sym 13350 $false .sym 13353 I2C.FLT_SDA.out .sym 13354 $false .sym 13355 $false .sym 13356 $false -.sym 13357 $abc$56607$n1290 +.sym 13357 $abc$27697$n488 .sym 13358 CLK$2$2 .sym 13359 $false -.sym 13360 $abc$56607$n893 -.sym 13361 $abc$56607$n1126 -.sym 13362 $abc$56607$n1139 -.sym 13363 $abc$56607$n1132 -.sym 13364 $abc$56607$n1130 -.sym 13365 $abc$56607$n1133 -.sym 13366 UART.TX_sig_last -.sym 13367 UART.tx_activity -.sym 13434 I2C.FLT_SCL.RESET -.sym 13435 $abc$56607$n893 +.sym 13360 $abc$27697$n819 +.sym 13361 $abc$27697$n827_1 +.sym 13362 $abc$27697$n828 +.sym 13363 $abc$27697$n830_1 +.sym 13364 $abc$27697$n831 +.sym 13365 $abc$27697$n822 +.sym 13366 $abc$27697$n824 +.sym 13367 I2C.received_byte[6] +.sym 13434 I2C_TRANS +.sym 13435 last_trans .sym 13436 $false .sym 13437 $false -.sym 13446 I2C.received_byte[7] -.sym 13447 I2C.received_byte[3] -.sym 13448 $abc$56607$n1279 -.sym 13449 I2C.received_byte[6] -.sym 13452 I2C.received_byte[4] -.sym 13453 I2C.received_byte[1] -.sym 13454 I2C.received_byte[2] -.sym 13455 I2C.received_byte[5] -.sym 13458 $abc$56607$n895 -.sym 13459 $abc$56607$n898 -.sym 13460 $abc$56607$n893 -.sym 13461 I2C.FLT_SCL.RESET -.sym 13464 $abc$56607$n670 -.sym 13465 $abc$56607$n1130 +.sym 13440 $abc$27697$n496 +.sym 13441 $abc$27697$n574 +.sym 13442 I2C.FLT_SCL.RESET$2 +.sym 13443 $abc$27697$n572 +.sym 13446 $abc$27697$n571 +.sym 13447 $abc$27697$n576 +.sym 13448 $abc$27697$n578 +.sym 13449 $false +.sym 13452 $abc$27697$n574 +.sym 13453 I2C.FLT_SCL.RESET$2 +.sym 13454 $abc$27697$n583 +.sym 13455 $false +.sym 13458 $abc$27697$n574 +.sym 13459 $abc$27697$n572 +.sym 13460 I2C.FLT_SCL.RESET$2 +.sym 13461 $abc$27697$n583 +.sym 13464 $abc$27697$n291 +.sym 13465 $abc$27697$n19 .sym 13466 $false .sym 13467 $false -.sym 13470 $abc$56607$n22 -.sym 13471 $false +.sym 13470 $abc$27697$n496 +.sym 13471 I2C.FLT_SCL.RESET$2 .sym 13472 $false .sym 13473 $false -.sym 13476 I2C.FLT_SDA.out +.sym 13476 $0\uart_double_ff[0:0] .sym 13477 $false .sym 13478 $false .sym 13479 $false -.sym 13480 $abc$56607$n1302 +.sym 13480 $abc$27697$n467 .sym 13481 CLK$2$2 .sym 13482 $false -.sym 13483 $abc$56607$n832 -.sym 13484 $abc$56607$n1540 -.sym 13485 $abc$56607$n1113 -.sym 13486 $abc$56607$n1504 -.sym 13487 $abc$56607$n808 -.sym 13488 $abc$56607$n1502_1 -.sym 13489 $abc$56607$n804 -.sym 13490 $abc$56607$n1503 -.sym 13557 $abc$56607$n22 -.sym 13558 I2C.byte_counter[1] -.sym 13559 $abc$56607$n810 +.sym 13483 $abc$27697$n793 +.sym 13484 $abc$27697$n629 +.sym 13485 $abc$27697$n794 +.sym 13486 $abc$27697$n825 +.sym 13487 i2c_input_data_type[2] +.sym 13488 i2c_input_data_type[3] +.sym 13489 i2c_input_data_type[1] +.sym 13490 i2c_input_data_type[0] +.sym 13557 $abc$27697$n574 +.sym 13558 I2C.FLT_SCL.RESET$2 +.sym 13559 $abc$27697$n1087_1 .sym 13560 $false -.sym 13563 $abc$56607$n1536_1 -.sym 13564 $abc$56607$n1543 -.sym 13565 $abc$56607$n816_1 -.sym 13566 $abc$56607$n1542 -.sym 13569 I2C.byte_counter[1] -.sym 13570 $abc$56607$n1541_1 -.sym 13571 $abc$56607$n833 -.sym 13572 $abc$56607$n754 -.sym 13575 I2C.byte_counter[0] -.sym 13576 I2C.byte_counter[1] -.sym 13577 $abc$56607$n807 +.sym 13563 $abc$27697$n571 +.sym 13564 $abc$27697$n19 +.sym 13565 $false +.sym 13566 $false +.sym 13569 $abc$27697$n576 +.sym 13570 $abc$27697$n577 +.sym 13571 $abc$27697$n571 +.sym 13572 $abc$27697$n575 +.sym 13575 UART.tx_activity +.sym 13576 last_uart_active +.sym 13577 uart_double_ff .sym 13578 $false -.sym 13581 I2C.byte_counter[0] -.sym 13582 $abc$56607$n673 -.sym 13583 $abc$56607$n834 -.sym 13584 I2C.is_read -.sym 13587 $abc$56607$n834 -.sym 13588 $abc$56607$n809 -.sym 13589 $abc$56607$n1537 -.sym 13590 I2C.byte_counter[0] -.sym 13593 $abc$56607$n673 -.sym 13594 $abc$56607$n807 -.sym 13595 I2C.is_read -.sym 13596 $abc$56607$n754 -.sym 13599 I2C.FLT_SDA.out -.sym 13600 $false +.sym 13581 $0\uart_double_ff[0:0] +.sym 13582 $abc$27697$n19 +.sym 13583 $false +.sym 13584 $false +.sym 13587 I2C.FLT_SCL.RESET$2 +.sym 13588 $abc$27697$n574 +.sym 13589 $abc$27697$n578 +.sym 13590 $false +.sym 13593 I2C_OUTPUT_TYPE[2] +.sym 13594 $abc$27697$n579 +.sym 13595 $abc$27697$n578 +.sym 13596 $abc$27697$n570 +.sym 13599 $abc$27697$n576 +.sym 13600 $abc$27697$n572 .sym 13601 $false .sym 13602 $false -.sym 13603 $abc$56607$n1278 +.sym 13603 $abc$27697$n288 .sym 13604 CLK$2$2 -.sym 13605 $false -.sym 13606 $abc$56607$n1095 -.sym 13607 $abc$56607$n809 -.sym 13608 $abc$56607$n1077 -.sym 13609 $abc$56607$n1478 -.sym 13610 $abc$56607$n1251 -.sym 13611 $abc$56607$n1096 -.sym 13612 i2c_input_data_type[2] -.sym 13613 i2c_input_data_type[1] -.sym 13680 $abc$56607$n1079 -.sym 13681 $abc$56607$n1082 -.sym 13682 $abc$56607$n745 +.sym 13605 $abc$27697$n23$2 +.sym 13606 $abc$27697$n1096_1 +.sym 13607 $abc$27697$n643 +.sym 13608 $abc$27697$n1092 +.sym 13609 $abc$27697$n542 +.sym 13611 $abc$27697$n645 +.sym 13612 $abc$27697$n644 +.sym 13613 LED1$2 +.sym 13680 UART_WR +.sym 13681 UART.TX_sig_last +.sym 13682 UART.tx_activity .sym 13683 $false -.sym 13686 $abc$56607$n1540 -.sym 13687 $abc$56607$n825 -.sym 13688 $abc$56607$n822 -.sym 13689 I2C.byte_counter[0] -.sym 13692 $abc$56607$n1073 -.sym 13693 I2C.received_byte[2] -.sym 13694 $abc$56607$n834 -.sym 13695 $abc$56607$n812 -.sym 13698 I2C.received_byte[1] -.sym 13699 $abc$56607$n1073 -.sym 13700 $abc$56607$n1079 -.sym 13701 $abc$56607$n745 -.sym 13704 I2C.received_byte[0] -.sym 13705 I2C.received_byte[3] -.sym 13706 I2C.received_byte[1] -.sym 13707 I2C.received_byte[2] -.sym 13710 I2C.received_byte[4] -.sym 13711 I2C.received_byte[5] -.sym 13712 $abc$56607$n828 -.sym 13713 $abc$56607$n835 -.sym 13716 $abc$56607$n812 -.sym 13717 $abc$56607$n828 -.sym 13718 $abc$56607$n835 +.sym 13686 $abc$27697$n578 +.sym 13687 I2C.is_read +.sym 13688 $abc$27697$n570 +.sym 13689 $false +.sym 13692 LED1$2 +.sym 13693 UART_WR +.sym 13694 last_isr +.sym 13695 KEYBOARD.isr +.sym 13698 $abc$27697$n44 +.sym 13699 $false +.sym 13700 $false +.sym 13701 $false +.sym 13710 KEYBOARD.isr +.sym 13711 $false +.sym 13712 $false +.sym 13713 $false +.sym 13716 UART.tx_activity +.sym 13717 $false +.sym 13718 $false .sym 13719 $false -.sym 13722 I2C.received_byte[4] -.sym 13723 I2C.received_byte[5] -.sym 13724 $abc$56607$n1074 +.sym 13722 UART_WR +.sym 13723 $false +.sym 13724 $false .sym 13725 $false -.sym 13729 $abc$56607$n848 -.sym 13730 $abc$56607$n1509 -.sym 13731 $abc$56607$n863 -.sym 13732 $abc$56607$n1075 -.sym 13733 $abc$56607$n1211 -.sym 13734 $abc$56607$n849 -.sym 13735 i2c_input_data_type[0] -.sym 13736 i2c_input_data_type[3] -.sym 13803 $abc$56607$n1073 -.sym 13804 I2C.received_byte[0] -.sym 13805 I2C.received_byte[4] -.sym 13806 $abc$56607$n1074 -.sym 13809 $abc$56607$n988 -.sym 13810 I2C.is_read -.sym 13811 $false -.sym 13812 $false -.sym 13815 $abc$56607$n667 -.sym 13816 $abc$56607$n747 -.sym 13817 $abc$56607$n742 -.sym 13818 $false -.sym 13821 $abc$56607$n1073 -.sym 13822 $abc$56607$n745 -.sym 13823 I2C.received_byte[3] -.sym 13824 $false -.sym 13827 $abc$56607$n769 -.sym 13828 I2C.FLT_SCL.RESET -.sym 13829 $false -.sym 13830 $false -.sym 13833 $abc$56607$n741 -.sym 13834 $abc$56607$n774 -.sym 13835 $abc$56607$n776 -.sym 13836 $abc$56607$n772 -.sym 13839 $abc$56607$n836 -.sym 13840 $abc$56607$n776 -.sym 13841 $abc$56607$n819 -.sym 13842 $abc$56607$n1544_1 -.sym 13845 $3\report_wr_en[0:0] +.sym 13726 I2C.FLT_SCL.RESET$2 +.sym 13727 CLK$2$2 +.sym 13728 $false +.sym 13730 $abc$27697$n859 +.sym 13731 $abc$27697$n858 +.sym 13732 $abc$27697$n860 +.sym 13733 $abc$27697$n854_1 +.sym 13734 $abc$27697$n856 +.sym 13735 KEYBOARD.report[3][6] +.sym 13736 KEYBOARD.report[3][7] +.sym 13803 $abc$27697$n823 +.sym 13804 $abc$27697$n850_1 +.sym 13805 $false +.sym 13806 $false +.sym 13815 $abc$27697$n866 +.sym 13816 I2C.byte_counter[0] +.sym 13817 I2C.byte_counter[1] +.sym 13818 $abc$27697$n873 +.sym 13821 I2C.byte_counter[0] +.sym 13822 I2C.byte_counter[1] +.sym 13823 $abc$27697$n866 +.sym 13824 $abc$27697$n873 +.sym 13827 KEYBOARD.report[3][4] +.sym 13828 KEYBOARD.report[3][5] +.sym 13829 KEYBOARD.report[3][6] +.sym 13830 KEYBOARD.report[3][7] +.sym 13833 $false +.sym 13834 I2C.byte_counter[0] +.sym 13835 $false +.sym 13836 $true$2 +.sym 13839 KEYBOARD.kbd_code_hid[4] +.sym 13840 $false +.sym 13841 $false +.sym 13842 $false +.sym 13845 KEYBOARD.kbd_code_hid[5] .sym 13846 $false .sym 13847 $false .sym 13848 $false -.sym 13849 $abc$56607$n1014 +.sym 13849 $abc$27697$n626 .sym 13850 CLK$2$2 -.sym 13851 $abc$56607$n23 -.sym 13852 $abc$56607$n873 -.sym 13853 $abc$56607$n760 -.sym 13854 $abc$56607$n901 -.sym 13855 $abc$56607$n1191 -.sym 13856 $abc$56607$n766 -.sym 13857 $abc$56607$n778 -.sym 13858 $abc$56607$n779 -.sym 13859 uart_double_ff -.sym 13926 $abc$56607$n741 -.sym 13927 $abc$56607$n774 -.sym 13928 $abc$56607$n754 -.sym 13929 $abc$56607$n988 -.sym 13932 last_trans -.sym 13933 I2C_TRANS -.sym 13934 $false -.sym 13935 $false -.sym 13938 $2\uart_double_ff[0:0] -.sym 13939 $abc$56607$n19 -.sym 13940 $false +.sym 13851 $abc$27697$n25$2 +.sym 13852 $abc$27697$n876 +.sym 13853 $abc$27697$n851_1 +.sym 13854 $abc$27697$n863 +.sym 13855 $abc$27697$n896 +.sym 13856 $abc$27697$n893 +.sym 13857 $abc$27697$n857_1 +.sym 13859 $abc$27697$n877_1 +.sym 13926 $abc$27697$n1144 +.sym 13927 $abc$27697$n741_1 +.sym 13928 $abc$27697$n1124_1 +.sym 13929 $abc$27697$n1142_1 +.sym 13932 $abc$27697$n683 +.sym 13933 $abc$27697$n732_1 +.sym 13934 $abc$27697$n1145_1 +.sym 13935 $abc$27697$n714 +.sym 13938 $abc$27697$n856 +.sym 13939 KEYBOARD.report[2][0] +.sym 13940 $abc$27697$n853_1 .sym 13941 $false -.sym 13944 $abc$56607$n742 -.sym 13945 $abc$56607$n19 -.sym 13946 $abc$56607$n741 -.sym 13947 $false -.sym 13950 $abc$56607$n742 -.sym 13951 $abc$56607$n19 -.sym 13952 $abc$56607$n776 -.sym 13953 $false -.sym 13956 $abc$56607$n754 -.sym 13957 $abc$56607$n819 -.sym 13958 $abc$56607$n769 +.sym 13944 KEYBOARD.kbd_code_hid[4] +.sym 13945 KEYBOARD.report[3][4] +.sym 13946 KEYBOARD.kbd_code_hid[5] +.sym 13947 KEYBOARD.report[3][5] +.sym 13950 KEYBOARD.kbd_code_hid[6] +.sym 13951 KEYBOARD.report[3][6] +.sym 13952 KEYBOARD.kbd_code_hid[7] +.sym 13953 KEYBOARD.report[3][7] +.sym 13956 $abc$27697$n858 +.sym 13957 KEYBOARD.report[3][1] +.sym 13958 $abc$27697$n865 .sym 13959 $false -.sym 13962 $abc$56607$n742 -.sym 13963 $abc$56607$n19 -.sym 13964 $abc$56607$n769 -.sym 13965 $abc$56607$n870 -.sym 13968 I2C_TRANS +.sym 13962 KEYBOARD.kbd_code_hid[0] +.sym 13963 KEYBOARD.report[3][0] +.sym 13964 KEYBOARD.kbd_code_hid[1] +.sym 13965 KEYBOARD.report[3][1] +.sym 13968 KEYBOARD.kbd_code_hid[0] .sym 13969 $false .sym 13970 $false .sym 13971 $false -.sym 13972 $abc$56607$n1221 +.sym 13972 $abc$27697$n626 .sym 13973 CLK$2$2 -.sym 13974 $abc$56607$n35$2 -.sym 13975 $abc$56607$n2551 -.sym 13976 $abc$56607$n2548 -.sym 13977 $abc$56607$n1230 -.sym 13978 $abc$56607$n1233 -.sym 13979 $abc$56607$n1232_1 -.sym 13980 $abc$56607$n1231 -.sym 13981 report_data_wadr[3] -.sym 14049 $abc$56607$n733 -.sym 14050 $abc$56607$n670 -.sym 14051 $false -.sym 14052 $false -.sym 14055 $abc$56607$n22 -.sym 14056 $3\report_wr_en[0:0] -.sym 14057 I2C.FLT_SCL.RESET -.sym 14058 $false -.sym 14067 ring_wr[3] -.sym 14068 $abc$56607$n1911 -.sym 14069 $abc$56607$n1089 -.sym 14070 $false -.sym 14073 $2\ring_wr[3:0][1] -.sym 14074 $false -.sym 14075 $false -.sym 14076 $false -.sym 14079 $2\ring_wr[3:0][2] -.sym 14080 $false -.sym 14081 $false -.sym 14082 $false -.sym 14085 $2\ring_wr[3:0][0] -.sym 14086 $false +.sym 13974 $abc$27697$n25$2 +.sym 13975 $abc$27697$n651 +.sym 13976 $abc$27697$n898 +.sym 13977 $abc$27697$n746_1 +.sym 13978 $abc$27697$n686 +.sym 13979 $abc$27697$n685 +.sym 13980 $abc$27697$n866_1 +.sym 13981 $abc$27697$n684 +.sym 13982 KEYBOARD.report[1][4] +.sym 14049 KEYBOARD.kbd_code_hid[0] +.sym 14050 KEYBOARD.report[2][0] +.sym 14051 KEYBOARD.kbd_code_hid[4] +.sym 14052 KEYBOARD.report[2][4] +.sym 14055 $abc$27697$n858 +.sym 14056 KEYBOARD.report[3][5] +.sym 14057 $abc$27697$n887 +.sym 14058 $abc$27697$n888 +.sym 14061 $abc$27697$n684 +.sym 14062 $abc$27697$n687 +.sym 14063 $false +.sym 14064 $false +.sym 14067 $abc$27697$n746_1 +.sym 14068 $abc$27697$n747 +.sym 14069 $abc$27697$n748 +.sym 14070 $abc$27697$n749 +.sym 14073 $abc$27697$n858 +.sym 14074 KEYBOARD.report[3][4] +.sym 14075 KEYBOARD.report[5][4] +.sym 14076 $abc$27697$n860 +.sym 14079 $abc$27697$n745 +.sym 14080 $abc$27697$n744 +.sym 14081 $abc$27697$n25$2 +.sym 14082 $abc$27697$n692_1 +.sym 14085 $abc$27697$n684 +.sym 14086 $abc$27697$n687 .sym 14087 $false .sym 14088 $false -.sym 14091 $2\ring_wr[3:0][3] +.sym 14091 KEYBOARD.kbd_code_hid[1] .sym 14092 $false .sym 14093 $false .sym 14094 $false -.sym 14095 $abc$56607$n992 +.sym 14095 $abc$27697$n641 .sym 14096 CLK$2$2 -.sym 14097 $abc$56607$n35$2 -.sym 14098 $abc$56607$n1223 -.sym 14099 $abc$56607$n1228 -.sym 14100 $abc$56607$n1226 -.sym 14101 $abc$56607$n895 -.sym 14102 $abc$56607$n1227_1 -.sym 14103 $abc$56607$n1224 -.sym 14104 report_data_wadr[2] -.sym 14105 report_data_wadr[1] -.sym 14214 $abc$56607$n1089 -.sym 14215 ring_wr[0] -.sym 14216 ring_wr[1] +.sym 14097 $abc$27697$n25$2 +.sym 14102 $abc$27697$n1671 +.sym 14103 $abc$27697$n897 +.sym 14104 $abc$27697$n702_1 +.sym 14105 $abc$27697$n700 +.sym 14172 $abc$27697$n688 +.sym 14173 $abc$27697$n689_1 +.sym 14174 $false +.sym 14175 $false +.sym 14178 $abc$27697$n856 +.sym 14179 KEYBOARD.report[2][2] +.sym 14180 KEYBOARD.report[5][2] +.sym 14181 $abc$27697$n860 +.sym 14184 KEYBOARD.kbd_code_hid[2] +.sym 14185 KEYBOARD.report[2][2] +.sym 14186 KEYBOARD.kbd_code_hid[7] +.sym 14187 KEYBOARD.report[2][7] +.sym 14190 KEYBOARD.report[2][0] +.sym 14191 KEYBOARD.report[2][1] +.sym 14192 KEYBOARD.report[2][2] +.sym 14193 KEYBOARD.report[2][3] +.sym 14196 $abc$27697$n700 +.sym 14197 $abc$27697$n716 +.sym 14198 $abc$27697$n1141 +.sym 14199 $abc$27697$n714 +.sym 14202 $abc$27697$n856 +.sym 14203 KEYBOARD.report[2][5] +.sym 14204 KEYBOARD.report[5][5] +.sym 14205 $abc$27697$n860 +.sym 14208 KEYBOARD.report[2][4] +.sym 14209 KEYBOARD.report[2][5] +.sym 14210 KEYBOARD.report[2][6] +.sym 14211 KEYBOARD.report[2][7] +.sym 14214 KEYBOARD.kbd_code_hid[0] +.sym 14215 $false +.sym 14216 $false .sym 14217 $false -.sym 14221 $abc$56607$n1541 -.sym 14222 $abc$56607$n896 -.sym 14224 UART.tx_clk_counter[0] -.sym 14226 UART.tx_clk_counter[1] -.sym 14257 $true -.sym 14294 UART.tx_clk_counter[0]$2 -.sym 14295 $false -.sym 14296 UART.tx_clk_counter[0] +.sym 14218 $abc$27697$n641 +.sym 14219 CLK$2$2 +.sym 14220 $abc$27697$n25$2 +.sym 14221 $abc$27697$n1121_1 +.sym 14222 $abc$27697$n1139 +.sym 14223 $abc$27697$n1141 +.sym 14224 $abc$27697$n701 +.sym 14225 KEYBOARD.report[5][2] +.sym 14226 KEYBOARD.report[5][7] +.sym 14227 KEYBOARD.report[5][3] +.sym 14228 KEYBOARD.report[5][6] +.sym 14295 I2C.FLT_SCL.RESET$2 +.sym 14296 $false .sym 14297 $false .sym 14298 $false -.sym 14300 $auto$alumacc.cc:470:replace_alu$12197.C[2] -.sym 14302 UART.tx_clk_counter[1] -.sym 14303 $true$2 -.sym 14306 $auto$alumacc.cc:470:replace_alu$12197.C[3] -.sym 14307 $false -.sym 14308 UART.tx_clk_counter[2] -.sym 14309 $true$2 -.sym 14310 $auto$alumacc.cc:470:replace_alu$12197.C[2] -.sym 14313 $false -.sym 14314 UART.tx_clk_counter[3] -.sym 14315 $true$2 -.sym 14316 $auto$alumacc.cc:470:replace_alu$12197.C[3] -.sym 14319 KEYBOARD.init_ram_cnt[8] +.sym 14301 KEYBOARD.kbd_code_hid[5] +.sym 14302 KEYBOARD.report[2][5] +.sym 14303 KEYBOARD.kbd_code_hid[6] +.sym 14304 KEYBOARD.report[2][6] +.sym 14307 KEYBOARD.kbd_code_hid[2] +.sym 14308 $false +.sym 14309 $false +.sym 14310 $false +.sym 14319 KEYBOARD.kbd_code_hid[5] .sym 14320 $false .sym 14321 $false .sym 14322 $false -.sym 14325 $abc$56607$n1541 -.sym 14326 $abc$56607$n1544 -.sym 14327 $abc$56607$n1545 +.sym 14325 KEYBOARD.kbd_code_hid[3] +.sym 14326 $false +.sym 14327 $false .sym 14328 $false -.sym 14331 $abc$56607$n1544 -.sym 14332 $abc$56607$n895 +.sym 14331 KEYBOARD.kbd_code_hid[7] +.sym 14332 $false .sym 14333 $false .sym 14334 $false -.sym 14337 $abc$56607$n1545 -.sym 14338 $abc$56607$n895 +.sym 14337 KEYBOARD.kbd_code_hid[6] +.sym 14338 $false .sym 14339 $false .sym 14340 $false -.sym 14341 $abc$56607$n1315 +.sym 14341 $abc$27697$n641 .sym 14342 CLK$2$2 -.sym 14343 $abc$56607$n35$2 -.sym 14388 $abc$56607$n31 -.sym 14418 $abc$56607$n33 -.sym 14444 $abc$56607$n33 -.sym 14445 $abc$56607$n697 -.sym 14446 $abc$56607$n706 -.sym 14447 $abc$56607$n699 -.sym 14448 $abc$56607$n700 -.sym 14450 $abc$56607$n707 -.sym 14451 I2C.FLT_SDA.out -.sym 14519 KBD_COLUMNS[4]$2 -.sym 14520 $false +.sym 14343 $abc$27697$n25$2 +.sym 14388 $abc$27697$n23 +.sym 14418 $abc$27697$n25 +.sym 14444 KEYBOARD.COLS_SHADOW[1] +.sym 14445 KEYBOARD.COLS_SHADOW[0] +.sym 14449 KEYBOARD.COLS_SHADOW[4] +.sym 14450 KEYBOARD.COLS_SHADOW[2] +.sym 14481 $true +.sym 14518 $abc$27697$n1072$2 +.sym 14519 $false +.sym 14520 $abc$27697$n1072 .sym 14521 $false .sym 14522 $false -.sym 14525 KBD_COLUMNS[3]$2 +.sym 14524 $auto$alumacc.cc:470:replace_alu$6025.C[2] .sym 14526 $false -.sym 14527 $false -.sym 14528 $false -.sym 14531 KBD_COLUMNS[0]$2 +.sym 14527 $abc$27697$n1073 +.sym 14530 $auto$alumacc.cc:470:replace_alu$6025.C[3] +.sym 14531 $false .sym 14532 $false -.sym 14533 $false -.sym 14534 $false -.sym 14543 KBD_COLUMNS[7]$2 +.sym 14533 $abc$27697$n1075 +.sym 14534 $auto$alumacc.cc:470:replace_alu$6025.C[2] +.sym 14536 $auto$alumacc.cc:470:replace_alu$6025.C[4] +.sym 14537 $false +.sym 14538 $false +.sym 14539 $abc$27697$n1076 +.sym 14540 $auto$alumacc.cc:470:replace_alu$6025.C[3] +.sym 14542 $auto$alumacc.cc:470:replace_alu$6025.C[5] +.sym 14543 $false .sym 14544 $false -.sym 14545 $false -.sym 14546 $false -.sym 14549 KBD_COLUMNS[2]$2 +.sym 14545 $abc$27697$n1078 +.sym 14546 $auto$alumacc.cc:470:replace_alu$6025.C[4] +.sym 14548 $auto$alumacc.cc:470:replace_alu$6025.C[6] +.sym 14549 $false .sym 14550 $false -.sym 14551 $false -.sym 14552 $false -.sym 14555 KBD_COLUMNS[1]$2 +.sym 14551 $abc$27697$n1079 +.sym 14552 $auto$alumacc.cc:470:replace_alu$6025.C[5] +.sym 14554 $auto$alumacc.cc:470:replace_alu$6025.C[7] +.sym 14555 $false .sym 14556 $false -.sym 14557 $false -.sym 14558 $false -.sym 14561 KBD_COLUMNS[5]$2 +.sym 14557 $abc$27697$n1081 +.sym 14558 $auto$alumacc.cc:470:replace_alu$6025.C[6] +.sym 14561 $false .sym 14562 $false -.sym 14563 $false -.sym 14564 $false -.sym 14565 $true -.sym 14566 CLK$2$2 -.sym 14567 $false +.sym 14563 $abc$27697$n1082 +.sym 14564 $auto$alumacc.cc:470:replace_alu$6025.C[7] .sym 14570 KBD_COLUMNS[5]$2 -.sym 14572 $abc$56607$n2480 -.sym 14573 $abc$56607$n1501 -.sym 14574 $abc$56607$n1004 -.sym 14575 $abc$56607$n1632 -.sym 14576 $abc$56607$n1502 -.sym 14577 I2C.FLT_SDA.counter[1] -.sym 14578 I2C.FLT_SDA.counter[2] -.sym 14579 I2C.FLT_SDA.counter[0] -.sym 14644 $true -.sym 14681 I2C.FLT_SDA.counter[0]$2 -.sym 14682 $false -.sym 14683 I2C.FLT_SDA.counter[0] +.sym 14579 $abc$27697$n1962 +.sym 14682 $abc$27697$n1082 +.sym 14683 $false .sym 14684 $false .sym 14685 $false -.sym 14687 $auto$alumacc.cc:470:replace_alu$12161.C[2] -.sym 14689 I2C.FLT_SDA.counter[1] -.sym 14690 $true$2 -.sym 14694 $false -.sym 14695 I2C.FLT_SDA.counter[2] -.sym 14696 $true$2 -.sym 14697 $auto$alumacc.cc:470:replace_alu$12161.C[2] -.sym 14700 $abc$56607$n2231 -.sym 14701 KEYBOARD.row_counter[0] -.sym 14702 $abc$56607$n33$2 +.sym 14688 $abc$27697$n1081 +.sym 14689 $false +.sym 14690 $false +.sym 14691 $false +.sym 14694 $abc$27697$n1486 +.sym 14695 I2C.byte_counter[7] +.sym 14696 $false +.sym 14697 $false +.sym 14700 $abc$27697$n1079 +.sym 14701 $false +.sym 14702 $false .sym 14703 $false -.sym 14706 I2C.FLT_SDA.counter[0] -.sym 14707 I2C.FLT_SDA.counter[1] -.sym 14708 I2C.FLT_SDA.counter[2] +.sym 14706 $abc$27697$n1078 +.sym 14707 $false +.sym 14708 $false .sym 14709 $false -.sym 14712 $abc$56607$n1003 -.sym 14713 $abc$56607$n1635 -.sym 14714 $false +.sym 14712 $abc$27697$n1656 +.sym 14713 $abc$27697$n1076 +.sym 14714 $abc$27697$n958 .sym 14715 $false -.sym 14718 $abc$56607$n27 -.sym 14719 $false -.sym 14720 $false +.sym 14718 $abc$27697$n1658 +.sym 14719 $abc$27697$n1079 +.sym 14720 $abc$27697$n958 .sym 14721 $false -.sym 14728 $abc$56607$n1490$2 +.sym 14724 $abc$27697$n1660 +.sym 14725 $abc$27697$n1082 +.sym 14726 $abc$27697$n958 +.sym 14727 $false +.sym 14728 $true .sym 14729 CLK$2$2 .sym 14730 $false -.sym 14731 $abc$56607$n994 -.sym 14732 $abc$56607$n690 -.sym 14733 $abc$56607$n683 -.sym 14734 $abc$56607$n689 -.sym 14735 $abc$56607$n695 -.sym 14736 $abc$56607$n680 -.sym 14737 $abc$56607$n686 -.sym 14738 $abc$56607$n730 -.sym 14805 $abc$56607$n698 -.sym 14806 $abc$56607$n710 -.sym 14807 $abc$56607$n695 +.sym 14731 $abc$27697$n1906 +.sym 14732 $abc$27697$n1890 +.sym 14733 $abc$27697$n1073 +.sym 14735 $abc$27697$n1908 +.sym 14736 $abc$27697$n1075 +.sym 14737 $abc$27697$n1076 +.sym 14738 KEYBOARD.row_time[0] +.sym 14805 $false +.sym 14806 $true$2 +.sym 14807 $abc$27697$n1072 .sym 14808 $false -.sym 14811 $abc$56607$n680 -.sym 14812 $abc$56607$n683 -.sym 14813 $abc$56607$n684 +.sym 14811 $abc$27697$n949 +.sym 14812 $abc$27697$n1655 +.sym 14813 $false .sym 14814 $false -.sym 14817 KEYBOARD.row_time[2] -.sym 14818 $abc$56607$n684 -.sym 14819 KEYBOARD.row_time[3] +.sym 14817 $abc$27697$n1075 +.sym 14818 I2C.byte_counter[0] +.sym 14819 I2C.byte_counter[1] .sym 14820 $false -.sym 14823 $abc$56607$n680 -.sym 14824 $abc$56607$n694 -.sym 14825 $abc$56607$n695 +.sym 14823 $abc$27697$n1486 +.sym 14824 I2C.byte_counter[0] +.sym 14825 $false .sym 14826 $false -.sym 14829 $abc$56607$n698 -.sym 14830 $abc$56607$n724 -.sym 14831 $abc$56607$n683 +.sym 14829 $abc$27697$n1653 +.sym 14830 $abc$27697$n1072 +.sym 14831 $abc$27697$n947 .sym 14832 $false -.sym 14835 KEYBOARD.row_time[2] -.sym 14836 $abc$56607$n694 -.sym 14837 $abc$56607$n686 -.sym 14838 KEYBOARD.row_time[3] -.sym 14841 $abc$56607$n694 -.sym 14842 KEYBOARD.row_time[2] -.sym 14843 KEYBOARD.row_time[3] -.sym 14844 $false -.sym 14847 $abc$56607$n2250 -.sym 14848 $false -.sym 14849 $false +.sym 14835 $abc$27697$n949 +.sym 14836 $abc$27697$n948 +.sym 14837 $false +.sym 14838 $false +.sym 14841 $abc$27697$n956 +.sym 14842 $abc$27697$n1075 +.sym 14843 $abc$27697$n1116 +.sym 14844 $abc$27697$n948 +.sym 14847 $abc$27697$n946 +.sym 14848 I2C.byte_counter[0] +.sym 14849 $abc$27697$n1116 .sym 14850 $false -.sym 14851 $abc$56607$n1490$2 +.sym 14851 $true .sym 14852 CLK$2$2 .sym 14853 $false -.sym 14854 $abc$56607$n953 -.sym 14855 $abc$56607$n954 -.sym 14856 $abc$56607$n936 -.sym 14857 $abc$56607$n957 -.sym 14858 $abc$56607$n955 -.sym 14859 kbd_report[5][3] -.sym 14860 kbd_report[5][7] -.sym 14861 kbd_report[5][0] -.sym 14890 $true -.sym 14927 KEYBOARD.row_counter[0]$2 -.sym 14928 $false -.sym 14929 KEYBOARD.row_counter[0] -.sym 14930 $false +.sym 14854 $abc$27697$n537 +.sym 14855 $abc$27697$n538 +.sym 14856 $abc$27697$n25 +.sym 14857 $abc$27697$n548 +.sym 14858 $abc$27697$n561 +.sym 14859 $abc$27697$n549 +.sym 14860 $abc$27697$n510_1 +.sym 14861 $abc$27697$n534 +.sym 14928 I2C.byte_counter[0] +.sym 14929 I2C.byte_counter[1] +.sym 14930 $abc$27697$n947 .sym 14931 $false -.sym 14933 $auto$alumacc.cc:470:replace_alu$12191.C[2] -.sym 14935 $false -.sym 14936 KEYBOARD.row_counter[1] -.sym 14939 $auto$alumacc.cc:470:replace_alu$12191.C[3] -.sym 14940 $false -.sym 14941 $false -.sym 14942 KEYBOARD.row_counter[2] -.sym 14943 $auto$alumacc.cc:470:replace_alu$12191.C[2] -.sym 14946 $false -.sym 14947 $false -.sym 14948 KEYBOARD.row_counter[3] -.sym 14949 $auto$alumacc.cc:470:replace_alu$12191.C[3] -.sym 14952 $abc$56607$n28 -.sym 14953 KEYBOARD.row_counter[3] -.sym 14954 $abc$56607$n33$2 +.sym 14934 $abc$27697$n948 +.sym 14935 $abc$27697$n1073 +.sym 14936 $false +.sym 14937 $false +.sym 14940 I2C_INPUT_LEN[3] +.sym 14941 $abc$27697$n635 +.sym 14942 $abc$27697$n634 +.sym 14943 $false +.sym 14946 I2C_INPUT_LEN[2] +.sym 14947 I2C_INPUT_LEN[1] +.sym 14948 I2C_INPUT_LEN[0] +.sym 14949 I2C_INPUT_LEN[3] +.sym 14952 $abc$27697$n634 +.sym 14953 $abc$27697$n640 +.sym 14954 $false .sym 14955 $false -.sym 14958 $abc$56607$n27 -.sym 14959 KEYBOARD.kbd_code_hid[5] -.sym 14960 $false +.sym 14958 I2C_INPUT_LEN[3] +.sym 14959 I2C_INPUT_LEN[2] +.sym 14960 $abc$27697$n634 .sym 14961 $false -.sym 14964 KEYBOARD.kbd_code_hid[0] -.sym 14965 $false -.sym 14966 $false +.sym 14964 I2C_INPUT_LEN[2] +.sym 14965 I2C_INPUT_LEN[0] +.sym 14966 I2C_INPUT_LEN[1] .sym 14967 $false -.sym 14970 KEYBOARD.kbd_code_hid[3] -.sym 14971 $false -.sym 14972 $false -.sym 14973 $false -.sym 14974 $abc$56607$n1328 +.sym 14970 $abc$27697$n1101 +.sym 14971 $abc$27697$n954_1 +.sym 14972 I2C.byte_counter[1] +.sym 14973 $abc$27697$n1116 +.sym 14974 $true .sym 14975 CLK$2$2 -.sym 14976 $abc$56607$n27 -.sym 14977 $abc$56607$n952 -.sym 14978 $abc$56607$n1435 -.sym 14979 $abc$56607$n1477 -.sym 14980 $abc$56607$n951 -.sym 14981 $abc$56607$n998 -.sym 14982 $abc$56607$n961 -.sym 14983 KEYBOARD.report[1][7] -.sym 14984 KEYBOARD.report[1][6] -.sym 15051 $abc$56607$n27 -.sym 15052 $abc$56607$n909 -.sym 15053 $abc$56607$n927 -.sym 15054 $false -.sym 15057 $abc$56607$n27 -.sym 15058 $abc$56607$n905 -.sym 15059 $abc$56607$n927 -.sym 15060 $false -.sym 15063 $abc$56607$n1516 -.sym 15064 $abc$56607$n989 -.sym 15065 $abc$56607$n959 -.sym 15066 $false -.sym 15069 $abc$56607$n912 -.sym 15070 $abc$56607$n905 -.sym 15071 $false -.sym 15072 $false -.sym 15075 $abc$56607$n976 -.sym 15076 $abc$56607$n1516 -.sym 15077 $abc$56607$n998 -.sym 15078 $abc$56607$n997 -.sym 15081 $abc$56607$n906 -.sym 15082 $abc$56607$n909 -.sym 15083 $abc$56607$n27 -.sym 15084 $abc$56607$n927 -.sym 15087 $abc$56607$n906 -.sym 15088 $abc$56607$n909 -.sym 15089 $false -.sym 15090 $false -.sym 15093 $abc$56607$n27 -.sym 15094 $abc$56607$n904 -.sym 15095 $abc$56607$n932 -.sym 15096 $abc$56607$n920 -.sym 15097 $abc$56607$n1483 +.sym 14976 $false +.sym 14977 $abc$27697$n557 +.sym 14978 $abc$27697$n996 +.sym 14979 $abc$27697$n551 +.sym 14980 $abc$27697$n553 +.sym 14981 $abc$27697$n992 +.sym 14982 $abc$27697$n993 +.sym 14983 $abc$27697$n554 +.sym 14984 KEYBOARD.temp[3] +.sym 15081 $abc$27697$n25$2 +.sym 15082 $false +.sym 15083 $false +.sym 15084 $false +.sym 15097 I2C.FLT_SCL.RESET$2 .sym 15098 CLK$2$2 -.sym 15099 $abc$56607$n35$2 -.sym 15100 $abc$56607$n985_1 -.sym 15101 $abc$56607$n987 -.sym 15102 $abc$56607$n1212 -.sym 15103 $abc$56607$n1514 -.sym 15104 $abc$56607$n1516 -.sym 15105 kbd_report[5][1] -.sym 15106 kbd_report[5][6] -.sym 15107 kbd_report[5][2] -.sym 15174 $abc$56607$n910 -.sym 15175 $abc$56607$n911 +.sym 15099 $false +.sym 15100 $abc$27697$n986 +.sym 15101 $abc$27697$n558 +.sym 15102 $abc$27697$n552 +.sym 15103 $abc$27697$n535 +.sym 15105 KEYBOARD.temp[2] +.sym 15107 KEYBOARD.temp[5] +.sym 15136 $true +.sym 15173 $abc$27697$n1488$2 +.sym 15174 $false +.sym 15175 $abc$27697$n1488 .sym 15176 $false .sym 15177 $false -.sym 15180 KEYBOARD.report[1][4] -.sym 15181 KEYBOARD.report[1][5] -.sym 15182 KEYBOARD.report[1][6] -.sym 15183 KEYBOARD.report[1][7] -.sym 15186 KEYBOARD.report[1][3] -.sym 15187 kbd_report[5][3] -.sym 15188 $abc$56607$n312 -.sym 15189 $abc$56607$n1113_1 -.sym 15192 KEYBOARD.kbd_code_hid[5] -.sym 15193 KEYBOARD.report[1][5] -.sym 15194 KEYBOARD.kbd_code_hid[3] -.sym 15195 KEYBOARD.report[1][3] -.sym 15198 $abc$56607$n2460 -.sym 15199 $false -.sym 15200 $false -.sym 15201 $false -.sym 15204 $abc$56607$n2458 +.sym 15179 $auto$alumacc.cc:470:replace_alu$6028.C[2] +.sym 15181 $abc$27697$n1490 +.sym 15182 $true$2 +.sym 15185 $auto$alumacc.cc:470:replace_alu$6028.C[3] +.sym 15186 $false +.sym 15187 $abc$27697$n1492 +.sym 15188 $true$2 +.sym 15189 $auto$alumacc.cc:470:replace_alu$6028.C[2] +.sym 15191 $abc$27697$n1891$2 +.sym 15192 $false +.sym 15193 $abc$27697$n1494 +.sym 15194 $true$2 +.sym 15195 $auto$alumacc.cc:470:replace_alu$6028.C[3] +.sym 15201 $abc$27697$n1891$2 +.sym 15204 $abc$27697$n1914 .sym 15205 $false .sym 15206 $false .sym 15207 $false -.sym 15210 $abc$56607$n2463 +.sym 15210 $abc$27697$n2034 .sym 15211 $false .sym 15212 $false .sym 15213 $false -.sym 15216 $abc$56607$n2461 +.sym 15216 $abc$27697$n2033 .sym 15217 $false .sym 15218 $false .sym 15219 $false -.sym 15220 $abc$56607$n1450 +.sym 15220 $abc$27697$n667$2 .sym 15221 CLK$2$2 -.sym 15222 $abc$56607$n35$2 -.sym 15223 $abc$56607$n1150 -.sym 15224 $abc$56607$n1111 -.sym 15225 $abc$56607$n1112 -.sym 15226 $abc$56607$n1151 -.sym 15227 $abc$56607$n1517 -.sym 15228 $abc$56607$n1523_1 -.sym 15229 $abc$56607$n1518 -.sym 15230 $abc$56607$n1149 -.sym 15297 KEYBOARD.report[1][0] -.sym 15298 KEYBOARD.report[1][1] -.sym 15299 KEYBOARD.report[1][2] -.sym 15300 KEYBOARD.report[1][3] -.sym 15303 KEYBOARD.kbd_code_hid[1] -.sym 15304 KEYBOARD.kbd_code_hid[2] -.sym 15305 KEYBOARD.kbd_code_hid[0] -.sym 15306 $false -.sym 15309 $abc$56607$n1120 -.sym 15310 KEYBOARD.report[0][3] -.sym 15311 $abc$56607$n1164 -.sym 15312 $false -.sym 15315 $abc$56607$n1116 -.sym 15316 $abc$56607$n1523_1 -.sym 15317 $abc$56607$n1522 -.sym 15318 $abc$56607$n1163 -.sym 15321 KEYBOARD.report[0][5] -.sym 15322 $abc$56607$n1120 -.sym 15323 $abc$56607$n1189 -.sym 15324 $abc$56607$n1116 -.sym 15327 KEYBOARD.report[0][5] -.sym 15328 KEYBOARD.report[1][5] -.sym 15329 $abc$56607$n1124 -.sym 15330 $abc$56607$n1116 -.sym 15333 $abc$56607$n27 -.sym 15334 KEYBOARD.report[0][6] -.sym 15335 $abc$56607$n1377 -.sym 15336 $false -.sym 15339 $abc$56607$n27 -.sym 15340 KEYBOARD.report[0][5] -.sym 15341 $abc$56607$n1375 +.sym 15222 $abc$27697$n27 +.sym 15223 $abc$27697$n1108_1 +.sym 15224 $abc$27697$n1109_1 +.sym 15225 $abc$27697$n592 +.sym 15226 $abc$27697$n621 +.sym 15227 $abc$27697$n1090_1 +.sym 15228 $abc$27697$n587 +.sym 15229 $abc$27697$n588 +.sym 15230 I2C.received_byte[5] +.sym 15297 $abc$27697$n641_1 +.sym 15298 $abc$27697$n642 +.sym 15299 $abc$27697$n631 +.sym 15300 $false +.sym 15303 $abc$27697$n589 +.sym 15304 $abc$27697$n639 +.sym 15305 $abc$27697$n632 +.sym 15306 $abc$27697$n636 +.sym 15309 I2C_INPUT_LEN[0] +.sym 15310 I2C_INPUT_LEN[1] +.sym 15311 $abc$27697$n637 +.sym 15312 $abc$27697$n638 +.sym 15315 $abc$27697$n633 +.sym 15316 $abc$27697$n591 +.sym 15317 $abc$27697$n1953 +.sym 15318 $false +.sym 15321 I2C_INPUT_LEN[1] +.sym 15322 I2C_INPUT_LEN[0] +.sym 15323 $abc$27697$n637 +.sym 15324 $abc$27697$n607 +.sym 15327 I2C.byte_counter[3] +.sym 15328 I2C.byte_counter[2] +.sym 15329 $abc$27697$n595 +.sym 15330 $false +.sym 15333 I2C_INPUT_LEN[1] +.sym 15334 $abc$27697$n637 +.sym 15335 I2C_INPUT_LEN[0] +.sym 15336 $abc$27697$n591 +.sym 15339 I2C.FLT_SDA.out +.sym 15340 $false +.sym 15341 $false .sym 15342 $false -.sym 15343 $abc$56607$n1457 +.sym 15343 $abc$27697$n483 .sym 15344 CLK$2$2 -.sym 15345 $abc$56607$n35$2 -.sym 15346 $abc$56607$n1529_1 -.sym 15347 $abc$56607$n1204 -.sym 15348 $abc$56607$n1203 -.sym 15349 $abc$56607$n1202 -.sym 15350 $abc$56607$n1138 -.sym 15351 $abc$56607$n1145 -.sym 15352 $abc$56607$n1128 -.sym 15353 KEYBOARD.report[2][6] -.sym 15426 $abc$56607$n1126 -.sym 15427 KEYBOARD.report[1][6] -.sym 15428 $abc$56607$n1124 -.sym 15429 $abc$56607$n1202 -.sym 15432 $abc$56607$n1126 -.sym 15433 KEYBOARD.report[1][0] -.sym 15434 $false +.sym 15345 $false +.sym 15346 $abc$27697$n590 +.sym 15347 $abc$27697$n605 +.sym 15348 $abc$27697$n593 +.sym 15349 $abc$27697$n612 +.sym 15350 $abc$27697$n594 +.sym 15351 $abc$27697$n607 +.sym 15352 $abc$27697$n614 +.sym 15353 $abc$27697$n611 +.sym 15420 i2c_input_data_type[0] +.sym 15421 i2c_input_data_type[3] +.sym 15422 i2c_input_data_type[1] +.sym 15423 i2c_input_data_type[2] +.sym 15426 I2C.is_read +.sym 15427 $abc$27697$n578 +.sym 15428 $abc$27697$n643 +.sym 15429 $abc$27697$n607 +.sym 15432 I2C.byte_counter[0] +.sym 15433 I2C.byte_counter[1] +.sym 15434 $abc$27697$n603 .sym 15435 $false -.sym 15438 KEYBOARD.report[1][6] -.sym 15439 kbd_report[5][6] -.sym 15440 $abc$56607$n312 -.sym 15441 $abc$56607$n1113_1 -.sym 15444 wr_cnt[0] -.sym 15445 wr_cnt[2] -.sym 15446 wr_cnt[3] -.sym 15447 wr_cnt[1] -.sym 15450 wr_cnt[2] -.sym 15451 wr_cnt[1] -.sym 15452 $abc$56607$n321 -.sym 15453 $false -.sym 15456 $abc$56607$n27 -.sym 15457 KEYBOARD.kbd_code_hid[0] -.sym 15458 $false +.sym 15438 I2C.byte_counter[1] +.sym 15439 I2C.byte_counter[0] +.sym 15440 $abc$27697$n603 +.sym 15441 $false +.sym 15444 last_trans +.sym 15445 I2C_TRANS +.sym 15446 $false +.sym 15447 $false +.sym 15450 i2c_input_data_type[1] +.sym 15451 i2c_input_data_type[0] +.sym 15452 i2c_input_data_type[3] +.sym 15453 i2c_input_data_type[2] +.sym 15456 $abc$27697$n675 +.sym 15457 $abc$27697$n677 +.sym 15458 $abc$27697$n672 .sym 15459 $false -.sym 15462 $abc$56607$n1528 -.sym 15463 $abc$56607$n1529_1 -.sym 15464 $abc$56607$n1530_1 +.sym 15462 I2C_TRANS +.sym 15463 $false +.sym 15464 $false .sym 15465 $false -.sym 15469 $abc$56607$n1115 -.sym 15470 $abc$56607$n1140 -.sym 15471 $abc$56607$n1127 -.sym 15472 $abc$56607$n1144 -.sym 15473 $abc$56607$n1134 -.sym 15475 $abc$56607$n1146 -.sym 15476 KEYBOARD.report[2][1] -.sym 15543 UART_WR -.sym 15544 UART.TX_sig_last -.sym 15545 UART.tx_activity -.sym 15546 $false -.sym 15549 wr_cnt[2] -.sym 15550 wr_cnt[1] -.sym 15551 $abc$56607$n321 +.sym 15466 I2C.FLT_SCL.RESET$2 +.sym 15467 CLK$2$2 +.sym 15468 $false +.sym 15469 $abc$27697$n1089 +.sym 15470 $abc$27697$n1114_1 +.sym 15471 $abc$27697$n829 +.sym 15472 $abc$27697$n1113 +.sym 15473 $abc$27697$n606 +.sym 15474 $abc$27697$n827 +.sym 15475 $abc$27697$n357 +.sym 15476 $abc$27697$n1115_1 +.sym 15543 $abc$27697$n820 +.sym 15544 I2C.received_byte[0] +.sym 15545 I2C.received_byte[4] +.sym 15546 $abc$27697$n822 +.sym 15549 $abc$27697$n820 +.sym 15550 I2C.received_byte[1] +.sym 15551 $abc$27697$n828 .sym 15552 $false -.sym 15555 $abc$56607$n1140 -.sym 15556 $abc$56607$n1145 -.sym 15557 KEYBOARD.report[0][1] -.sym 15558 $abc$56607$n1124 -.sym 15561 $abc$56607$n1133 -.sym 15562 $abc$56607$n1139 -.sym 15563 $abc$56607$n1130 -.sym 15564 $abc$56607$n1116 -.sym 15567 wr_cnt[2] -.sym 15568 wr_cnt[3] -.sym 15569 wr_cnt[0] +.sym 15555 I2C.received_byte[4] +.sym 15556 I2C.received_byte[5] +.sym 15557 $abc$27697$n822 +.sym 15558 $false +.sym 15561 $abc$27697$n820 +.sym 15562 I2C.received_byte[2] +.sym 15563 $abc$27697$n831 +.sym 15564 $false +.sym 15567 I2C.received_byte[5] +.sym 15568 I2C.received_byte[4] +.sym 15569 $abc$27697$n822 .sym 15570 $false -.sym 15573 $abc$56607$n1120 -.sym 15574 KEYBOARD.report[0][1] -.sym 15575 $abc$56607$n1134 +.sym 15573 $abc$27697$n823 +.sym 15574 $abc$27697$n824 +.sym 15575 $abc$27697$n574 .sym 15576 $false -.sym 15579 UART_WR -.sym 15580 $false -.sym 15581 $false -.sym 15582 $false -.sym 15585 $abc$56607$n896 -.sym 15586 $abc$56607$n898 -.sym 15587 $abc$56607$n893 +.sym 15579 I2C.received_byte[0] +.sym 15580 I2C.received_byte[7] +.sym 15581 I2C.received_byte[6] +.sym 15582 $abc$27697$n608 +.sym 15585 I2C.FLT_SDA.out +.sym 15586 $false +.sym 15587 $false .sym 15588 $false -.sym 15589 I2C.FLT_SCL.RESET +.sym 15589 $abc$27697$n510 .sym 15590 CLK$2$2 .sym 15591 $false -.sym 15592 $abc$56607$n1014 -.sym 15593 $abc$56607$n756 -.sym 15594 $abc$56607$n770 -.sym 15595 $abc$56607$n811 -.sym 15596 $abc$56607$n759 -.sym 15597 $abc$56607$n758 -.sym 15598 $abc$56607$n810 -.sym 15599 $abc$56607$n769 -.sym 15666 I2C.received_byte[0] -.sym 15667 I2C.received_byte[1] -.sym 15668 i2c_input_data_type[0] -.sym 15669 $abc$56607$n809 -.sym 15672 I2C.is_read -.sym 15673 $abc$56607$n832 -.sym 15674 $abc$56607$n807 -.sym 15675 $abc$56607$n736 -.sym 15678 $abc$56607$n1503 -.sym 15679 $abc$56607$n1504 -.sym 15680 $abc$56607$n769 +.sym 15594 $abc$27697$n873 +.sym 15595 $abc$27697$n1110 +.sym 15596 $abc$27697$n401 +.sym 15597 $abc$27697$n1111 +.sym 15598 $abc$27697$n615 +.sym 15599 $abc$27697$n826 +.sym 15666 $abc$27697$n629 +.sym 15667 $abc$27697$n645 +.sym 15668 $abc$27697$n644 +.sym 15669 $abc$27697$n794 +.sym 15672 $abc$27697$n630 +.sym 15673 i2c_input_data_type[0] +.sym 15674 $false +.sym 15675 $false +.sym 15678 $abc$27697$n630 +.sym 15679 i2c_input_data_type[3] +.sym 15680 $false .sym 15681 $false -.sym 15684 $abc$56607$n816_1 -.sym 15685 $abc$56607$n805 -.sym 15686 $abc$56607$n810 -.sym 15687 I2C.FLT_SCL.RESET -.sym 15690 $abc$56607$n809 -.sym 15691 i2c_input_data_type[0] -.sym 15692 $false +.sym 15684 $0\uart_double_ff[0:0] +.sym 15685 $abc$27697$n574 +.sym 15686 $false +.sym 15687 $false +.sym 15690 $abc$27697$n825 +.sym 15691 $abc$27697$n644 +.sym 15692 $abc$27697$n830_1 .sym 15693 $false -.sym 15696 $abc$56607$n815_1 -.sym 15697 $abc$56607$n806 -.sym 15698 $abc$56607$n807 -.sym 15699 $abc$56607$n22 -.sym 15702 $abc$56607$n808 -.sym 15703 $abc$56607$n805 -.sym 15704 $abc$56607$n806 -.sym 15705 $abc$56607$n807 -.sym 15708 $abc$56607$n804 -.sym 15709 $abc$56607$n754 -.sym 15710 $abc$56607$n1502_1 -.sym 15711 I2C.is_read -.sym 15715 $abc$56607$n270 -.sym 15716 $abc$56607$n771 -.sym 15717 $abc$56607$n754 -.sym 15718 $abc$56607$n815_1 -.sym 15719 $abc$56607$n822 -.sym 15720 $abc$56607$n2620 -.sym 15721 $abc$56607$n269 -.sym 15722 temp_output_report[2] -.sym 15789 i2c_input_data_type[3] -.sym 15790 i2c_input_data_type[2] -.sym 15791 $abc$56607$n1513 -.sym 15792 i2c_input_data_type[0] +.sym 15696 $abc$27697$n794 +.sym 15697 $abc$27697$n825 +.sym 15698 $abc$27697$n820 +.sym 15699 I2C.received_byte[3] +.sym 15702 $abc$27697$n825 +.sym 15703 $abc$27697$n645 +.sym 15704 $abc$27697$n827_1 +.sym 15705 $false +.sym 15708 $abc$27697$n825 +.sym 15709 $abc$27697$n629 +.sym 15710 $abc$27697$n819 +.sym 15711 $false +.sym 15712 $abc$27697$n401 +.sym 15713 CLK$2$2 +.sym 15714 $false +.sym 15715 $abc$27697$n841 +.sym 15717 $abc$27697$n455 +.sym 15718 $abc$27697$n844 +.sym 15721 $abc$27697$n1920 +.sym 15789 i2c_input_data_type[0] +.sym 15790 i2c_input_data_type[3] +.sym 15791 $abc$27697$n645 +.sym 15792 $false .sym 15795 i2c_input_data_type[3] -.sym 15796 i2c_input_data_type[1] -.sym 15797 i2c_input_data_type[2] +.sym 15796 $abc$27697$n644 +.sym 15797 $false .sym 15798 $false -.sym 15801 $abc$56607$n1513 -.sym 15802 i2c_input_data_type[1] -.sym 15803 $false +.sym 15801 $abc$27697$n645 +.sym 15802 i2c_input_data_type[0] +.sym 15803 $abc$27697$n643 .sym 15804 $false -.sym 15807 i2c_input_data_type[0] -.sym 15808 i2c_input_data_type[3] -.sym 15809 $abc$56607$n1077 -.sym 15810 $false -.sym 15813 i2c_input_data_type[3] -.sym 15814 $abc$56607$n848 -.sym 15815 i2c_input_data_type[2] -.sym 15816 $false -.sym 15819 i2c_input_data_type[3] -.sym 15820 i2c_input_data_type[2] -.sym 15821 $abc$56607$n1513 -.sym 15822 i2c_input_data_type[1] -.sym 15825 $abc$56607$n1075 +.sym 15807 $abc$27697$n674 +.sym 15808 $abc$27697$n677 +.sym 15809 $abc$27697$n672 +.sym 15810 I2C.FLT_SCL.RESET$2 +.sym 15819 $abc$27697$n630 +.sym 15820 i2c_input_data_type[1] +.sym 15821 $false +.sym 15822 $false +.sym 15825 $abc$27697$n630 .sym 15826 i2c_input_data_type[2] -.sym 15827 $abc$56607$n1513 -.sym 15828 $abc$56607$n1081 -.sym 15831 $abc$56607$n1075 -.sym 15832 $abc$56607$n1077 -.sym 15833 $abc$56607$n1078 +.sym 15827 $false +.sym 15828 $false +.sym 15831 $abc$27697$n1 +.sym 15832 $false +.sym 15833 $false .sym 15834 $false -.sym 15835 $abc$56607$n1155 +.sym 15835 $abc$27697$n278 .sym 15836 CLK$2$2 .sym 15837 $false -.sym 15840 $abc$56607$n312 -.sym 15841 $abc$56607$n772 -.sym 15842 $abc$56607$n881 -.sym 15843 $abc$56607$n773 -.sym 15844 $abc$56607$n768 -.sym 15845 UART_WR -.sym 15912 i2c_input_data_type[1] -.sym 15913 I2C.is_read -.sym 15914 $abc$56607$n849 -.sym 15915 $abc$56607$n988 -.sym 15918 I2C.is_read -.sym 15919 $abc$56607$n1513 -.sym 15920 $abc$56607$n863 -.sym 15921 $abc$56607$n988 -.sym 15924 i2c_input_data_type[1] -.sym 15925 i2c_input_data_type[0] -.sym 15926 i2c_input_data_type[3] -.sym 15927 i2c_input_data_type[2] -.sym 15930 $2\uart_double_ff[0:0] -.sym 15931 $abc$56607$n745 -.sym 15932 $false -.sym 15933 $false -.sym 15936 $abc$56607$n741 -.sym 15937 $abc$56607$n848 -.sym 15938 $abc$56607$n778 -.sym 15939 $abc$56607$n1509 -.sym 15942 $abc$56607$n1513 -.sym 15943 i2c_input_data_type[0] -.sym 15944 $false -.sym 15945 $false -.sym 15948 $abc$56607$n849 -.sym 15949 $abc$56607$n1075 -.sym 15950 $abc$56607$n1072 -.sym 15951 $abc$56607$n745 -.sym 15954 $abc$56607$n1075 -.sym 15955 i2c_input_data_type[3] -.sym 15956 $abc$56607$n1513 -.sym 15957 $abc$56607$n1084 -.sym 15958 $abc$56607$n1155 +.sym 15838 $true$2 +.sym 15845 $abc$27697$n21 +.sym 15918 $abc$27697$n873 +.sym 15919 I2C.byte_counter[0] +.sym 15920 I2C.byte_counter[1] +.sym 15921 $abc$27697$n866 +.sym 15924 $abc$27697$n873 +.sym 15925 I2C.byte_counter[1] +.sym 15926 I2C.byte_counter[0] +.sym 15927 $abc$27697$n866 +.sym 15930 I2C.byte_counter[0] +.sym 15931 I2C.byte_counter[1] +.sym 15932 $abc$27697$n866 +.sym 15933 $abc$27697$n873 +.sym 15936 $abc$27697$n866 +.sym 15937 I2C.byte_counter[1] +.sym 15938 I2C.byte_counter[0] +.sym 15939 $abc$27697$n873 +.sym 15942 $abc$27697$n866 +.sym 15943 $abc$27697$n873 +.sym 15944 I2C.byte_counter[0] +.sym 15945 I2C.byte_counter[1] +.sym 15948 KEYBOARD.kbd_code_hid[6] +.sym 15949 $false +.sym 15950 $false +.sym 15951 $false +.sym 15954 KEYBOARD.kbd_code_hid[7] +.sym 15955 $false +.sym 15956 $false +.sym 15957 $false +.sym 15958 $abc$27697$n626 .sym 15959 CLK$2$2 -.sym 15960 $false -.sym 15962 $abc$56607$n1943 -.sym 15963 $abc$56607$n1946 -.sym 15964 $abc$56607$n1949 -.sym 15965 $abc$56607$n867 -.sym 15966 $abc$56607$n781 -.sym 15967 $abc$56607$n1227 -.sym 15968 last_uart_active -.sym 16035 $abc$56607$n760 -.sym 16036 $abc$56607$n753 -.sym 16037 $abc$56607$n756 -.sym 16038 $abc$56607$n1501_1 -.sym 16041 $abc$56607$n763 -.sym 16042 $abc$56607$n766 -.sym 16043 $abc$56607$n761 -.sym 16044 $false -.sym 16047 $abc$56607$n779 -.sym 16048 $abc$56607$n778 -.sym 16049 $abc$56607$n1501_1 -.sym 16050 $false -.sym 16053 $abc$56607$n741 -.sym 16054 $abc$56607$n753 -.sym 16055 $abc$56607$n756 -.sym 16056 $false -.sym 16059 I2C_TRANS -.sym 16060 UART_WR -.sym 16061 $abc$56607$n751 -.sym 16062 int_tmr[19] -.sym 16065 $abc$56607$n769 -.sym 16066 $abc$56607$n753 -.sym 16067 $false -.sym 16068 $false -.sym 16071 UART_WR -.sym 16072 int_tmr[19] -.sym 16073 $abc$56607$n761 -.sym 16074 $false -.sym 16077 $2\uart_double_ff[0:0] -.sym 16078 $false -.sym 16079 $false -.sym 16080 $false -.sym 16081 $abc$56607$n1236 -.sym 16082 CLK$2$2 -.sym 16083 $abc$56607$n35$2 -.sym 16084 $6\report_data_wadr[7:0][0] -.sym 16085 $abc$56607$n2671 -.sym 16086 $abc$56607$n1249_1 -.sym 16087 $abc$56607$n2549 -.sym 16088 $abc$56607$n1236_1 -.sym 16089 report_data_wadr[4] -.sym 16090 report_data_wadr[7] -.sym 16091 report_data_wadr[0] -.sym 16158 $2\ring_wr[3:0][2] -.sym 16159 $2\ring_wr[3:0][0] -.sym 16160 $false -.sym 16161 $false -.sym 16164 $abc$56607$n1233 -.sym 16165 $abc$56607$n1232_1 -.sym 16166 ring_wr[0] -.sym 16167 $abc$56607$n1234 -.sym 16170 $abc$56607$n1231 -.sym 16171 $2\ring_wr[3:0][0] -.sym 16172 $2\ring_wr[3:0][2] -.sym 16173 $abc$56607$n670 -.sym 16176 wr_cnt[2] -.sym 16177 ring_wr[1] -.sym 16178 ring_wr[0] -.sym 16179 wr_cnt[1] -.sym 16182 wr_cnt[2] -.sym 16183 ring_wr[1] -.sym 16184 $abc$56607$n1233 -.sym 16185 $false -.sym 16188 $abc$56607$n1232_1 -.sym 16189 $abc$56607$n1234 -.sym 16190 ring_wr[0] -.sym 16191 $false -.sym 16194 $abc$56607$n1220 -.sym 16195 $abc$56607$n1959 -.sym 16196 $abc$56607$n1230 -.sym 16197 $abc$56607$n22 -.sym 16204 $abc$56607$n1018$2 +.sym 15960 $abc$27697$n25$2 +.sym 15961 $abc$27697$n1903 +.sym 15962 $abc$27697$n1902 +.sym 15963 $abc$27697$n678 +.sym 15964 $abc$27697$n677 +.sym 15965 UART.tx_bit_counter[2] +.sym 15966 UART.tx_bit_counter[0] +.sym 15967 UART.tx_bit_counter[3] +.sym 15968 UART.tx_bit_counter[1] +.sym 16035 $abc$27697$n860 +.sym 16036 KEYBOARD.report[5][3] +.sym 16037 $abc$27697$n877_1 +.sym 16038 $abc$27697$n878 +.sym 16041 $abc$27697$n860 +.sym 16042 KEYBOARD.report[5][0] +.sym 16043 $abc$27697$n852 +.sym 16044 $abc$27697$n857_1 +.sym 16047 $abc$27697$n860 +.sym 16048 KEYBOARD.report[5][1] +.sym 16049 $abc$27697$n864 +.sym 16050 $abc$27697$n866_1 +.sym 16053 $abc$27697$n858 +.sym 16054 KEYBOARD.report[3][7] +.sym 16055 $abc$27697$n897 +.sym 16056 $abc$27697$n898 +.sym 16059 $abc$27697$n858 +.sym 16060 KEYBOARD.report[3][6] +.sym 16061 KEYBOARD.report[5][6] +.sym 16062 $abc$27697$n860 +.sym 16065 $abc$27697$n858 +.sym 16066 KEYBOARD.report[3][0] +.sym 16067 $abc$27697$n859 +.sym 16068 KEYBOARD.report[1][0] +.sym 16077 $abc$27697$n856 +.sym 16078 KEYBOARD.report[2][3] +.sym 16079 $abc$27697$n859 +.sym 16080 KEYBOARD.report[1][3] +.sym 16086 $abc$27697$n1916 +.sym 16087 $abc$27697$n1918 +.sym 16088 KEYBOARD.report[1][7] +.sym 16089 KEYBOARD.report[1][5] +.sym 16090 KEYBOARD.report[1][1] +.sym 16091 KEYBOARD.report[1][6] +.sym 16158 $abc$27697$n751_1 +.sym 16159 $abc$27697$n684 +.sym 16160 $abc$27697$n25$2 +.sym 16161 $abc$27697$n692_1 +.sym 16164 $abc$27697$n859 +.sym 16165 KEYBOARD.report[1][7] +.sym 16166 KEYBOARD.report[6][7] +.sym 16167 $abc$27697$n854_1 +.sym 16170 KEYBOARD.kbd_code_hid[1] +.sym 16171 KEYBOARD.report[2][1] +.sym 16172 KEYBOARD.kbd_code_hid[3] +.sym 16173 KEYBOARD.report[2][3] +.sym 16176 KEYBOARD.report[1][0] +.sym 16177 KEYBOARD.report[1][1] +.sym 16178 KEYBOARD.report[1][2] +.sym 16179 KEYBOARD.report[1][3] +.sym 16182 KEYBOARD.report[1][4] +.sym 16183 KEYBOARD.report[1][5] +.sym 16184 KEYBOARD.report[1][6] +.sym 16185 KEYBOARD.report[1][7] +.sym 16188 $abc$27697$n856 +.sym 16189 KEYBOARD.report[2][1] +.sym 16190 $abc$27697$n859 +.sym 16191 KEYBOARD.report[1][1] +.sym 16194 $abc$27697$n685 +.sym 16195 $abc$27697$n686 +.sym 16196 $false +.sym 16197 $false +.sym 16200 KEYBOARD.kbd_code_hid[4] +.sym 16201 $false +.sym 16202 $false +.sym 16203 $false +.sym 16204 $abc$27697$n651 .sym 16205 CLK$2$2 -.sym 16206 $false -.sym 16208 $abc$56607$n1247 -.sym 16210 $abc$56607$n1245 -.sym 16211 report_data_wadr[6] -.sym 16214 report_data_wadr[5] -.sym 16281 $2\ring_wr[3:0][0] -.sym 16282 $abc$56607$n1224 -.sym 16283 $abc$56607$n670 -.sym 16284 $abc$56607$n22 -.sym 16287 $abc$56607$n1220 -.sym 16288 init_ram_cnt[1] -.sym 16289 init_ram_cnt[2] -.sym 16290 $abc$56607$n22 -.sym 16293 $2\ring_wr[3:0][1] -.sym 16294 $abc$56607$n1227_1 -.sym 16295 $abc$56607$n670 -.sym 16296 $abc$56607$n22 -.sym 16299 $abc$56607$n896 -.sym 16300 UART.tx_activity -.sym 16301 $false -.sym 16302 $false -.sym 16305 wr_cnt[1] -.sym 16306 ring_wr[0] -.sym 16307 wr_cnt[2] -.sym 16308 ring_wr[1] -.sym 16311 wr_cnt[1] -.sym 16312 ring_wr[0] -.sym 16313 $false -.sym 16314 $false -.sym 16317 $abc$56607$n1226 -.sym 16318 $abc$56607$n1228 -.sym 16319 $false -.sym 16320 $false -.sym 16323 $abc$56607$n22 -.sym 16324 init_ram_cnt[1] -.sym 16325 $abc$56607$n1220 -.sym 16326 $abc$56607$n1223 -.sym 16327 $abc$56607$n1018$2 -.sym 16328 CLK$2$2 -.sym 16329 $false -.sym 16332 $abc$56607$n1959 -.sym 16333 $abc$56607$n1961 -.sym 16334 $abc$56607$n1963 -.sym 16335 $abc$56607$n1965 -.sym 16336 $abc$56607$n1967 -.sym 16404 $false -.sym 16405 UART.tx_clk_counter[0] -.sym 16406 $false -.sym 16407 $true$2 -.sym 16410 UART.tx_clk_counter[1] -.sym 16411 UART.tx_clk_counter[0] -.sym 16412 $abc$56607$n897 -.sym 16413 $false -.sym 16422 $abc$56607$n1541 -.sym 16423 $abc$56607$n895 -.sym 16424 $false -.sym 16425 $false -.sym 16434 UART.tx_clk_counter[1] -.sym 16435 UART.tx_clk_counter[0] -.sym 16436 UART.tx_activity +.sym 16206 $abc$27697$n25$2 +.sym 16207 $abc$27697$n1919 +.sym 16209 $abc$27697$n1917 +.sym 16210 $abc$27697$n720 +.sym 16211 KEYBOARD.report[5][1] +.sym 16214 KEYBOARD.report[5][0] +.sym 16243 $true +.sym 16280 $abc$27697$n1915$2 +.sym 16281 $false +.sym 16282 $abc$27697$n1915 +.sym 16283 $false +.sym 16284 $false +.sym 16286 $auto$alumacc.cc:470:replace_alu$5935.C[2] +.sym 16288 $false +.sym 16289 $abc$27697$n1902 +.sym 16292 $auto$alumacc.cc:470:replace_alu$5935.C[3] +.sym 16294 $false +.sym 16295 $abc$27697$n1917 +.sym 16298 $abc$27697$n1671$2 +.sym 16300 $false +.sym 16301 $abc$27697$n1919 +.sym 16308 $abc$27697$n1671$2 +.sym 16311 $abc$27697$n856 +.sym 16312 KEYBOARD.report[2][7] +.sym 16313 KEYBOARD.report[5][7] +.sym 16314 $abc$27697$n860 +.sym 16317 KEYBOARD.report[5][0] +.sym 16318 KEYBOARD.report[5][1] +.sym 16319 KEYBOARD.report[5][2] +.sym 16320 KEYBOARD.report[5][3] +.sym 16323 $abc$27697$n701 +.sym 16324 $abc$27697$n702_1 +.sym 16325 $false +.sym 16326 $false +.sym 16331 $abc$27697$n1140 +.sym 16333 KEYBOARD.report[5][5] +.sym 16334 KEYBOARD.report[5][4] +.sym 16404 KEYBOARD.kbd_code_hid[6] +.sym 16405 KEYBOARD.report[5][6] +.sym 16406 KEYBOARD.kbd_code_hid[7] +.sym 16407 KEYBOARD.report[5][7] +.sym 16410 KEYBOARD.kbd_code_hid[2] +.sym 16411 KEYBOARD.report[5][2] +.sym 16412 KEYBOARD.kbd_code_hid[3] +.sym 16413 KEYBOARD.report[5][3] +.sym 16416 $abc$27697$n1139 +.sym 16417 $abc$27697$n1140 +.sym 16418 $abc$27697$n720 +.sym 16419 $abc$27697$n1121_1 +.sym 16422 KEYBOARD.report[5][4] +.sym 16423 KEYBOARD.report[5][5] +.sym 16424 KEYBOARD.report[5][6] +.sym 16425 KEYBOARD.report[5][7] +.sym 16428 KEYBOARD.kbd_code_hid[2] +.sym 16429 $false +.sym 16430 $false +.sym 16431 $false +.sym 16434 KEYBOARD.kbd_code_hid[7] +.sym 16435 $false +.sym 16436 $false .sym 16437 $false -.sym 16450 $abc$56607$n1315 +.sym 16440 KEYBOARD.kbd_code_hid[3] +.sym 16441 $false +.sym 16442 $false +.sym 16443 $false +.sym 16446 KEYBOARD.kbd_code_hid[6] +.sym 16447 $false +.sym 16448 $false +.sym 16449 $false +.sym 16450 $abc$27697$n610 .sym 16451 CLK$2$2 -.sym 16452 $abc$56607$n35$2 -.sym 16497 $abc$56607$n901 -.sym 16555 KEYBOARD.row_time[2] -.sym 16556 KEYBOARD.row_time[3] -.sym 16557 KEYBOARD.row_time[4] -.sym 16558 KEYBOARD.row_time[5] -.sym 16559 KEYBOARD.row_time[6] -.sym 16560 KEYBOARD.row_time[7] -.sym 16628 $abc$56607$n730 -.sym 16629 $abc$56607$n707 +.sym 16452 $abc$27697$n25$2 +.sym 16497 $abc$27697$n434 +.sym 16553 KEYBOARD.COLS_SHADOW[6] +.sym 16558 KEYBOARD.COLS_SHADOW[7] +.sym 16560 I2C.SDAF +.sym 16628 KBD_COLUMNS[1]$2 +.sym 16629 $false .sym 16630 $false .sym 16631 $false -.sym 16634 $abc$56607$n698 -.sym 16635 $abc$56607$n699 +.sym 16634 KBD_COLUMNS[0]$2 +.sym 16635 $false .sym 16636 $false .sym 16637 $false -.sym 16640 KEYBOARD.row_time[4] -.sym 16641 KEYBOARD.row_time[5] -.sym 16642 $abc$56607$n698 -.sym 16643 $abc$56607$n707 -.sym 16646 $abc$56607$n684 -.sym 16647 $abc$56607$n700 -.sym 16648 KEYBOARD.row_time[4] -.sym 16649 KEYBOARD.row_time[5] -.sym 16652 KEYBOARD.row_time[2] -.sym 16653 KEYBOARD.row_time[3] -.sym 16654 KEYBOARD.row_time[6] -.sym 16655 KEYBOARD.row_time[7] -.sym 16664 $abc$56607$n694 -.sym 16665 $abc$56607$n700 +.sym 16658 KBD_COLUMNS[4]$2 +.sym 16659 $false +.sym 16660 $false +.sym 16661 $false +.sym 16664 KBD_COLUMNS[2]$2 +.sym 16665 $false .sym 16666 $false .sym 16667 $false -.sym 16670 I2C.SDAF -.sym 16671 $false -.sym 16672 $false -.sym 16673 $false -.sym 16674 $abc$56607$n1501 +.sym 16674 $true .sym 16675 CLK$2$2 -.sym 16676 $abc$56607$n35$2 +.sym 16676 $false .sym 16677 KBD_COLUMNS[6]$2 .sym 16679 KBD_COLUMNS[7]$2 -.sym 16681 KEYBOARD.row_time[8] -.sym 16682 KEYBOARD.row_time[9] -.sym 16683 KEYBOARD.row_time[10] -.sym 16684 KEYBOARD.row_time[11] -.sym 16685 KEYBOARD.row_time[12] -.sym 16686 KEYBOARD.row_time[13] -.sym 16687 KEYBOARD.row_time[14] -.sym 16688 KEYBOARD.row_time[15] -.sym 16791 I2C.FLT_SDA.counter[0] -.sym 16792 I2C.FLT_SDA.counter[1] +.sym 16682 $abc$27697$n665 +.sym 16684 KEYBOARD.ram_wr +.sym 16753 $true +.sym 16790 $abc$27697$n1890$2 +.sym 16791 $false +.sym 16792 $abc$27697$n1890 .sym 16793 $false .sym 16794 $false -.sym 16797 $abc$56607$n2481 -.sym 16798 $abc$56607$n1632 -.sym 16799 $abc$56607$n1004 -.sym 16800 $false -.sym 16803 $abc$56607$n2480 -.sym 16804 I2C.FLT_SDA.out -.sym 16805 I2C.SDAF -.sym 16806 $false -.sym 16809 $false -.sym 16810 I2C.FLT_SDA.counter[0] -.sym 16811 $false -.sym 16812 $true$2 -.sym 16815 I2C.FLT_SDA.out -.sym 16816 I2C.SDAF -.sym 16817 $abc$56607$n1003 -.sym 16818 $false -.sym 16821 $abc$56607$n2480 +.sym 16796 $auto$alumacc.cc:470:replace_alu$6020.C[3] +.sym 16798 $false +.sym 16799 $abc$27697$n1906 +.sym 16802 $auto$alumacc.cc:470:replace_alu$6020.C[4] +.sym 16804 $false +.sym 16805 $abc$27697$n1908 +.sym 16808 $auto$alumacc.cc:470:replace_alu$6020.C[5] +.sym 16810 $false +.sym 16811 $abc$27697$n1909 +.sym 16814 $auto$alumacc.cc:470:replace_alu$6020.C[6] +.sym 16816 $false +.sym 16817 $abc$27697$n1907 +.sym 16820 $auto$alumacc.cc:470:replace_alu$6020.C[7] .sym 16822 $false -.sym 16823 $false -.sym 16824 $false -.sym 16827 $abc$56607$n2481 +.sym 16823 $abc$27697$n1910 +.sym 16826 $abc$27697$n1962$2 .sym 16828 $false -.sym 16829 $false -.sym 16830 $false -.sym 16833 $abc$56607$n1003 -.sym 16834 $abc$56607$n1632 -.sym 16835 $false -.sym 16836 $false -.sym 16837 $abc$56607$n1502 -.sym 16838 CLK$2$2 -.sym 16839 $abc$56607$n35$2 -.sym 16840 $abc$56607$n698 -.sym 16841 $abc$56607$n713 -.sym 16842 $abc$56607$n731 -.sym 16843 $abc$56607$n681 -.sym 16844 $abc$56607$n682 -.sym 16845 $abc$56607$n687 -.sym 16846 $abc$56607$n688 -.sym 16847 KEYBOARD.report[2][4] -.sym 16914 $abc$56607$n681 -.sym 16915 $abc$56607$n682 -.sym 16916 $abc$56607$n683 -.sym 16917 $abc$56607$n690 -.sym 16920 KEYBOARD.row_time[3] -.sym 16921 KEYBOARD.row_time[2] -.sym 16922 KEYBOARD.row_time[0] -.sym 16923 KEYBOARD.row_time[1] -.sym 16926 KEYBOARD.row_time[5] -.sym 16927 KEYBOARD.row_time[7] -.sym 16928 KEYBOARD.row_time[6] -.sym 16929 KEYBOARD.row_time[4] -.sym 16932 KEYBOARD.row_time[4] -.sym 16933 KEYBOARD.row_time[5] -.sym 16934 KEYBOARD.row_time[6] -.sym 16935 KEYBOARD.row_time[7] -.sym 16938 KEYBOARD.row_time[4] -.sym 16939 KEYBOARD.row_time[6] -.sym 16940 KEYBOARD.row_time[7] -.sym 16941 KEYBOARD.row_time[5] -.sym 16944 KEYBOARD.row_time[3] -.sym 16945 $abc$56607$n682 -.sym 16946 KEYBOARD.row_time[2] -.sym 16947 $abc$56607$n681 -.sym 16950 $abc$56607$n681 -.sym 16951 $abc$56607$n687 -.sym 16952 $abc$56607$n688 -.sym 16953 $abc$56607$n689 -.sym 16956 KEYBOARD.row_time[4] -.sym 16957 $abc$56607$n681 -.sym 16958 $abc$56607$n731 -.sym 16959 KEYBOARD.row_time[5] -.sym 16963 $abc$56607$n1494 -.sym 16964 $abc$56607$n2707 -.sym 16965 $abc$56607$n1475 -.sym 16966 $abc$56607$n1495 -.sym 16968 kbd_report[5][4] -.sym 16969 kbd_report[5][5] -.sym 17037 $abc$56607$n954 -.sym 17038 $abc$56607$n955 -.sym 17039 $abc$56607$n956 -.sym 17040 $abc$56607$n957 -.sym 17043 KEYBOARD.kbd_code_hid[7] -.sym 17044 kbd_report[5][7] -.sym 17045 kbd_report[5][3] -.sym 17046 KEYBOARD.kbd_code_hid[3] -.sym 17049 kbd_report[5][0] -.sym 17050 kbd_report[5][1] -.sym 17051 kbd_report[5][2] -.sym 17052 kbd_report[5][3] -.sym 17055 KEYBOARD.kbd_code_hid[2] -.sym 17056 kbd_report[5][2] -.sym 17057 kbd_report[5][1] -.sym 17058 KEYBOARD.kbd_code_hid[1] -.sym 17061 KEYBOARD.kbd_code_hid[6] -.sym 17062 kbd_report[5][6] -.sym 17063 kbd_report[5][0] -.sym 17064 KEYBOARD.kbd_code_hid[0] -.sym 17067 $abc$56607$n2461 -.sym 17068 $false -.sym 17069 $false -.sym 17070 $false -.sym 17073 $abc$56607$n2465 -.sym 17074 $false -.sym 17075 $false -.sym 17076 $false -.sym 17079 $abc$56607$n2458 -.sym 17080 $false -.sym 17081 $false -.sym 17082 $false -.sym 17083 $abc$56607$n1407 -.sym 17084 CLK$2$2 -.sym 17085 $abc$56607$n35$2 -.sym 17086 $abc$56607$n968 -.sym 17087 $abc$56607$n950 -.sym 17088 $abc$56607$n958 -.sym 17089 $abc$56607$n901_1 -.sym 17090 $abc$56607$n1328 -.sym 17091 $abc$56607$n1422 -.sym 17092 $abc$56607$n1407 -.sym 17093 KEYBOARD.report[1][4] -.sym 17160 $abc$56607$n27 -.sym 17161 $abc$56607$n927 -.sym 17162 $false -.sym 17163 $false -.sym 17166 $abc$56607$n952 -.sym 17167 $abc$56607$n976 -.sym 17168 $abc$56607$n959 -.sym 17169 $abc$56607$n975 -.sym 17172 $abc$56607$n27 -.sym 17173 $abc$56607$n944 -.sym 17174 $abc$56607$n927 -.sym 17175 $false -.sym 17178 $abc$56607$n953 -.sym 17179 $abc$56607$n952 +.sym 16829 $abc$27697$n1911 +.sym 16836 $abc$27697$n1962$2 +.sym 16840 $abc$27697$n1699 +.sym 16841 $abc$27697$n559 +.sym 16842 $abc$27697$n543 +.sym 16843 $abc$27697$n556_1 +.sym 16844 $abc$27697$n522 +.sym 16845 $abc$27697$n524_1 +.sym 16846 $abc$27697$n523 +.sym 16847 $abc$27697$n560 +.sym 16914 $abc$27697$n1075 +.sym 16915 $false +.sym 16916 $false +.sym 16917 $false +.sym 16920 $abc$27697$n1073 +.sym 16921 $false +.sym 16922 $false +.sym 16923 $false +.sym 16926 $abc$27697$n1486 +.sym 16927 I2C.byte_counter[1] +.sym 16928 $false +.sym 16929 $false +.sym 16938 $abc$27697$n1076 +.sym 16939 $false +.sym 16940 $false +.sym 16941 $false +.sym 16944 $abc$27697$n1486 +.sym 16945 I2C.byte_counter[2] +.sym 16946 $false +.sym 16947 $false +.sym 16950 $abc$27697$n1486 +.sym 16951 I2C.byte_counter[3] +.sym 16952 $false +.sym 16953 $false +.sym 16956 $false +.sym 16957 $true$2 +.sym 16958 KEYBOARD.row_time[0] +.sym 16959 $false +.sym 16960 I2C.FLT_SCL.RESET$2 +.sym 16961 CLK$2$2 +.sym 16962 $abc$27697$n29$2 +.sym 16963 $abc$27697$n540 +.sym 16964 $abc$27697$n530 +.sym 16965 $abc$27697$n511_1 +.sym 16966 $abc$27697$n525 +.sym 16967 $abc$27697$n533_1 +.sym 16968 $abc$27697$n531 +.sym 16969 $abc$27697$n539 +.sym 16970 KEYBOARD.temp[6] +.sym 17037 $abc$27697$n538 +.sym 17038 $abc$27697$n542_1 +.sym 17039 $abc$27697$n544 +.sym 17040 $false +.sym 17043 $abc$27697$n541 +.sym 17044 KEYBOARD.is_pressed +.sym 17045 KEYBOARD.COLS_SHADOW[4] +.sym 17046 $abc$27697$n539 +.sym 17049 $abc$27697$n510_1 +.sym 17050 $abc$27697$n548 +.sym 17051 $abc$27697$n561 +.sym 17052 $abc$27697$n553 +.sym 17055 $abc$27697$n549 +.sym 17056 $abc$27697$n551 +.sym 17057 $false +.sym 17058 $false +.sym 17061 $abc$27697$n556_1 +.sym 17062 $abc$27697$n559 +.sym 17063 $false +.sym 17064 $false +.sym 17067 $abc$27697$n550 +.sym 17068 KEYBOARD.COLS_SHADOW[1] +.sym 17069 KEYBOARD.is_pressed +.sym 17070 $abc$27697$n542_1 +.sym 17073 $abc$27697$n511_1 +.sym 17074 $abc$27697$n534 +.sym 17075 $abc$27697$n547 +.sym 17076 $abc$27697$n537 +.sym 17079 $abc$27697$n535 +.sym 17080 KEYBOARD.is_pressed +.sym 17081 KEYBOARD.COLS_SHADOW[5] +.sym 17082 $abc$27697$n531 +.sym 17086 $abc$27697$n999 +.sym 17087 $abc$27697$n1104 +.sym 17088 $abc$27697$n1103_1 +.sym 17089 $abc$27697$n988 +.sym 17090 $abc$27697$n1001 +.sym 17091 $abc$27697$n987 +.sym 17092 $abc$27697$n995 +.sym 17093 KEYBOARD.temp[4] +.sym 17160 $abc$27697$n558 +.sym 17161 KEYBOARD.COLS_SHADOW[2] +.sym 17162 KEYBOARD.is_pressed +.sym 17163 $abc$27697$n559 +.sym 17166 $abc$27697$n544 +.sym 17167 $abc$27697$n552 +.sym 17168 KEYBOARD.COLS_SHADOW[3] +.sym 17169 $abc$27697$n542_1 +.sym 17172 $abc$27697$n552 +.sym 17173 KEYBOARD.COLS_SHADOW[3] +.sym 17174 KEYBOARD.is_pressed +.sym 17175 $abc$27697$n544 +.sym 17178 $abc$27697$n554 +.sym 17179 $abc$27697$n557 .sym 17180 $false .sym 17181 $false -.sym 17184 $abc$56607$n944 -.sym 17185 $abc$56607$n953 -.sym 17186 $abc$56607$n962 -.sym 17187 $abc$56607$n969 -.sym 17190 $abc$56607$n952 -.sym 17191 $abc$56607$n962 -.sym 17192 $abc$56607$n926 +.sym 17184 $abc$27697$n531 +.sym 17185 $abc$27697$n535 +.sym 17186 KEYBOARD.COLS_SHADOW[5] +.sym 17187 $abc$27697$n539 +.sym 17190 $abc$27697$n550 +.sym 17191 KEYBOARD.COLS_SHADOW[1] +.sym 17192 $abc$27697$n542_1 .sym 17193 $false -.sym 17196 $abc$56607$n2465 -.sym 17197 $false -.sym 17198 $false -.sym 17199 $false -.sym 17202 $abc$56607$n2464 -.sym 17203 $false -.sym 17204 $false +.sym 17196 $abc$27697$n555 +.sym 17197 KEYBOARD.COLS_SHADOW[0] +.sym 17198 KEYBOARD.is_pressed +.sym 17199 $abc$27697$n556_1 +.sym 17202 $abc$27697$n552 +.sym 17203 KEYBOARD.COLS_SHADOW[3] +.sym 17204 $abc$27697$n544 .sym 17205 $false -.sym 17206 $abc$56607$n1450 +.sym 17206 I2C.FLT_SCL.RESET$2 .sym 17207 CLK$2$2 -.sym 17208 $abc$56607$n35$2 -.sym 17209 $abc$56607$n966 -.sym 17210 $abc$56607$n1210 -.sym 17211 $abc$56607$n1179 -.sym 17212 $abc$56607$n930 -.sym 17213 $abc$56607$n1152 -.sym 17214 KEYBOARD.report[4][5] -.sym 17215 KEYBOARD.report[4][7] -.sym 17216 KEYBOARD.report[4][2] -.sym 17283 KEYBOARD.kbd_code_hid[2] -.sym 17284 KEYBOARD.report[1][2] -.sym 17285 $abc$56607$n986 -.sym 17286 $abc$56607$n987 -.sym 17289 KEYBOARD.report[1][5] -.sym 17290 KEYBOARD.kbd_code_hid[5] -.sym 17291 KEYBOARD.kbd_code_hid[4] -.sym 17292 KEYBOARD.report[1][4] -.sym 17295 kbd_report[5][7] -.sym 17296 KEYBOARD.report[1][7] -.sym 17297 $abc$56607$n312 -.sym 17298 $abc$56607$n1113_1 -.sym 17301 KEYBOARD.kbd_code_hid[7] -.sym 17302 KEYBOARD.report[1][7] -.sym 17303 KEYBOARD.report[1][6] -.sym 17304 KEYBOARD.kbd_code_hid[6] -.sym 17307 $abc$56607$n1515_1 -.sym 17308 $abc$56607$n1514 -.sym 17309 $abc$56607$n985_1 -.sym 17310 $abc$56607$n952 -.sym 17313 $abc$56607$n2459 -.sym 17314 $false -.sym 17315 $false +.sym 17208 $false +.sym 17209 $abc$27697$n541 +.sym 17210 $abc$27697$n550 +.sym 17211 $abc$27697$n526 +.sym 17212 $abc$27697$n536 +.sym 17213 $abc$27697$n1720 +.sym 17214 $abc$27697$n985 +.sym 17215 KEYBOARD.kbd_code[0] +.sym 17216 KEYBOARD.temp[0] +.sym 17283 $abc$27697$n558 +.sym 17284 KEYBOARD.COLS_SHADOW[2] +.sym 17285 $abc$27697$n559 +.sym 17286 $false +.sym 17289 KEYBOARD.RAM.r_data[2] +.sym 17290 KEYBOARD.temp[2] +.sym 17291 $abc$27697$n536 +.sym 17292 $false +.sym 17295 KEYBOARD.RAM.r_data[3] +.sym 17296 KEYBOARD.temp[3] +.sym 17297 $abc$27697$n536 +.sym 17298 $false +.sym 17301 KEYBOARD.RAM.r_data[5] +.sym 17302 KEYBOARD.temp[5] +.sym 17303 $abc$27697$n536 +.sym 17304 $false +.sym 17313 $abc$27697$n558 +.sym 17314 KEYBOARD.COLS_SHADOW[2] +.sym 17315 $abc$27697$n559 .sym 17316 $false -.sym 17319 $abc$56607$n2464 -.sym 17320 $false -.sym 17321 $false -.sym 17322 $false -.sym 17325 $abc$56607$n2460 -.sym 17326 $false -.sym 17327 $false +.sym 17325 $abc$27697$n535 +.sym 17326 KEYBOARD.COLS_SHADOW[5] +.sym 17327 $abc$27697$n531 .sym 17328 $false -.sym 17329 $abc$56607$n1407 +.sym 17329 I2C.FLT_SCL.RESET$2 .sym 17330 CLK$2$2 -.sym 17331 $abc$56607$n35$2 -.sym 17332 $abc$56607$n1209 -.sym 17333 $abc$56607$n1211_1 -.sym 17334 $abc$56607$n972 -.sym 17335 $abc$56607$n1164 -.sym 17336 $abc$56607$n969 -.sym 17337 $abc$56607$n973 -.sym 17338 KEYBOARD.report[6][7] -.sym 17339 KEYBOARD.report[6][5] -.sym 17406 $abc$56607$n1112 -.sym 17407 kbd_report[5][2] -.sym 17408 $abc$56607$n1118 -.sym 17409 KEYBOARD.report[3][2] -.sym 17412 $abc$56607$n1112 -.sym 17413 kbd_report[5][0] -.sym 17414 $abc$56607$n1114 -.sym 17415 KEYBOARD.report[2][0] -.sym 17418 $abc$56607$n1113_1 -.sym 17419 $abc$56607$n312 -.sym 17420 $false +.sym 17331 $false +.sym 17334 $abc$27697$n27 +.sym 17336 KEYBOARD.ram_adr[3] +.sym 17337 KEYBOARD.ram_adr[4] +.sym 17339 KEYBOARD.ram_adr[7] +.sym 17406 $abc$27697$n618_1 +.sym 17407 $abc$27697$n1090_1 +.sym 17408 I2C.byte_counter[1] +.sym 17409 I2C.byte_counter[2] +.sym 17412 I2C.byte_counter[3] +.sym 17413 $abc$27697$n621 +.sym 17414 $abc$27697$n595 +.sym 17415 $abc$27697$n1108_1 +.sym 17418 I2C.byte_counter[0] +.sym 17419 I2C.byte_counter[3] +.sym 17420 I2C.byte_counter[2] .sym 17421 $false -.sym 17424 $abc$56607$n1115 -.sym 17425 KEYBOARD.report[1][2] -.sym 17426 KEYBOARD.report[2][2] -.sym 17427 $abc$56607$n1114 -.sym 17430 $abc$56607$n1115 -.sym 17431 KEYBOARD.report[1][0] -.sym 17432 KEYBOARD.report[6][0] -.sym 17433 $abc$56607$n1121 -.sym 17436 $abc$56607$n1119 -.sym 17437 KEYBOARD.report[4][3] -.sym 17438 KEYBOARD.report[6][3] -.sym 17439 $abc$56607$n1121 -.sym 17442 $abc$56607$n1517 -.sym 17443 $abc$56607$n1111 -.sym 17444 $abc$56607$n1117 +.sym 17424 $abc$27697$n607 +.sym 17425 I2C.byte_counter[0] +.sym 17426 I2C.byte_counter[1] +.sym 17427 $abc$27697$n603 +.sym 17430 $abc$27697$n591 +.sym 17431 $abc$27697$n592 +.sym 17432 I2C.byte_counter[1] +.sym 17433 $false +.sym 17436 $abc$27697$n591 +.sym 17437 $abc$27697$n592 +.sym 17438 $abc$27697$n588 +.sym 17439 $false +.sym 17442 I2C.byte_counter[0] +.sym 17443 I2C.byte_counter[2] +.sym 17444 $abc$27697$n589 .sym 17445 $false -.sym 17448 $abc$56607$n1150 -.sym 17449 $abc$56607$n1151 +.sym 17448 I2C.FLT_SDA.out +.sym 17449 $false .sym 17450 $false .sym 17451 $false -.sym 17455 $abc$56607$n907 -.sym 17456 $abc$56607$n977 -.sym 17457 $abc$56607$n976 -.sym 17458 $abc$56607$n906 -.sym 17459 $abc$56607$n978 -.sym 17460 $abc$56607$n908 -.sym 17461 $abc$56607$n980 -.sym 17462 KEYBOARD.report[2][3] -.sym 17529 $abc$56607$n1119 -.sym 17530 KEYBOARD.report[4][6] -.sym 17531 KEYBOARD.report[6][6] -.sym 17532 $abc$56607$n1121 -.sym 17535 $abc$56607$n1127 -.sym 17536 KEYBOARD.report[2][6] -.sym 17537 KEYBOARD.report[3][6] -.sym 17538 $abc$56607$n1146 -.sym 17541 $abc$56607$n1128 -.sym 17542 kbd_report[5][6] -.sym 17543 KEYBOARD.report[6][6] -.sym 17544 $abc$56607$n1142 -.sym 17547 $abc$56607$n1143 -.sym 17548 KEYBOARD.report[4][6] -.sym 17549 $abc$56607$n1203 -.sym 17550 $abc$56607$n1204 -.sym 17553 $abc$56607$n1112 -.sym 17554 kbd_report[5][1] -.sym 17555 $abc$56607$n1118 -.sym 17556 KEYBOARD.report[3][1] -.sym 17559 $abc$56607$n1128 -.sym 17560 kbd_report[5][1] -.sym 17561 $abc$56607$n1146 -.sym 17562 KEYBOARD.report[3][1] -.sym 17565 wr_cnt[1] -.sym 17566 wr_cnt[2] -.sym 17567 $abc$56607$n321 +.sym 17452 $abc$27697$n503 +.sym 17453 CLK$2$2 +.sym 17454 $false +.sym 17455 $abc$27697$n830 +.sym 17456 $abc$27697$n589 +.sym 17457 $abc$27697$n835 +.sym 17458 $abc$27697$n608 +.sym 17461 KEYBOARD.kbd_code[2] +.sym 17462 KEYBOARD.kbd_code[1] +.sym 17529 i2c_input_data_type[3] +.sym 17530 i2c_input_data_type[1] +.sym 17531 i2c_input_data_type[2] +.sym 17532 $false +.sym 17535 $abc$27697$n608 +.sym 17536 I2C.received_byte[0] +.sym 17537 $abc$27697$n606 +.sym 17538 $abc$27697$n607 +.sym 17541 $abc$27697$n594 +.sym 17542 $abc$27697$n595 +.sym 17543 I2C.byte_counter[1] +.sym 17544 $false +.sym 17547 $abc$27697$n603 +.sym 17548 I2C.byte_counter[0] +.sym 17549 I2C.byte_counter[1] +.sym 17550 $false +.sym 17553 I2C.byte_counter[2] +.sym 17554 I2C.byte_counter[3] +.sym 17555 $abc$27697$n574 +.sym 17556 $false +.sym 17559 i2c_input_data_type[1] +.sym 17560 i2c_input_data_type[3] +.sym 17561 i2c_input_data_type[0] +.sym 17562 i2c_input_data_type[2] +.sym 17565 I2C.received_byte[0] +.sym 17566 $abc$27697$n608 +.sym 17567 $abc$27697$n606 .sym 17568 $false -.sym 17571 $abc$56607$n2464 -.sym 17572 $false -.sym 17573 $false -.sym 17574 $false -.sym 17575 $abc$56607$n1435 -.sym 17576 CLK$2$2 -.sym 17577 $abc$56607$n35$2 -.sym 17578 $abc$56607$n791 -.sym 17579 $abc$56607$n1143 -.sym 17580 $abc$56607$n1113_1 -.sym 17581 $abc$56607$n1114 -.sym 17582 $abc$56607$n305 -.sym 17583 $abc$56607$n2708 -.sym 17584 KEYBOARD.report[4][3] -.sym 17585 KEYBOARD.report[4][1] -.sym 17652 $abc$56607$n312 -.sym 17653 $abc$56607$n1113_1 -.sym 17654 $false -.sym 17655 $false -.sym 17658 $abc$56607$n1141 -.sym 17659 $abc$56607$n1144 -.sym 17660 $false -.sym 17661 $false -.sym 17664 wr_cnt[1] -.sym 17665 $abc$56607$n321 -.sym 17666 wr_cnt[2] +.sym 17571 $abc$27697$n613 +.sym 17572 $abc$27697$n590 +.sym 17573 $abc$27697$n614 +.sym 17574 $abc$27697$n612 +.sym 17585 $abc$27697$n821 +.sym 17652 $abc$27697$n587 +.sym 17653 $abc$27697$n611 +.sym 17654 $abc$27697$n615 +.sym 17655 $abc$27697$n1115_1 +.sym 17658 I2C.received_byte[3] +.sym 17659 $abc$27697$n1113 +.sym 17660 $abc$27697$n606 +.sym 17661 $abc$27697$n590 +.sym 17664 I2C.received_byte[5] +.sym 17665 $false +.sym 17666 $false .sym 17667 $false -.sym 17670 $abc$56607$n1126 -.sym 17671 KEYBOARD.report[1][1] -.sym 17672 KEYBOARD.report[2][1] -.sym 17673 $abc$56607$n1127 -.sym 17676 $abc$56607$n1114 -.sym 17677 KEYBOARD.report[2][1] -.sym 17678 $abc$56607$n1135 -.sym 17679 $abc$56607$n1138 -.sym 17688 wr_cnt[1] -.sym 17689 wr_cnt[2] -.sym 17690 $abc$56607$n321 -.sym 17691 $false -.sym 17694 $abc$56607$n2459 -.sym 17695 $false -.sym 17696 $false +.sym 17670 I2C.received_byte[2] +.sym 17671 I2C.received_byte[0] +.sym 17672 i2c_input_data_type[0] +.sym 17673 I2C.received_byte[1] +.sym 17676 I2C.received_byte[4] +.sym 17677 I2C.received_byte[5] +.sym 17678 I2C.received_byte[7] +.sym 17679 I2C.received_byte[6] +.sym 17682 I2C.received_byte[6] +.sym 17683 $false +.sym 17684 $false +.sym 17685 $false +.sym 17688 $abc$27697$n587 +.sym 17689 I2C.is_read +.sym 17690 I2C.FLT_SCL.RESET$2 +.sym 17691 $abc$27697$n593 +.sym 17694 $abc$27697$n605 +.sym 17695 $abc$27697$n602 +.sym 17696 $abc$27697$n1114_1 .sym 17697 $false -.sym 17698 $abc$56607$n1435 -.sym 17699 CLK$2$2 -.sym 17700 $abc$56607$n35$2 -.sym 17701 $abc$56607$n793 -.sym 17702 $abc$56607$n1232 -.sym 17703 $abc$56607$n736 -.sym 17704 $abc$56607$n1007 -.sym 17705 $abc$56607$n746 -.sym 17706 $abc$56607$n842 -.sym 17707 $abc$56607$n1018 -.sym 17708 last_isr -.sym 17775 $abc$56607$n757 -.sym 17776 $abc$56607$n791 -.sym 17777 $abc$56607$n770 +.sym 17708 $abc$27697$n820 +.sym 17737 $true +.sym 17774 I2C.byte_counter[0]$2 +.sym 17775 $false +.sym 17776 I2C.byte_counter[0] +.sym 17777 $false .sym 17778 $false -.sym 17781 $abc$56607$n759 -.sym 17782 $abc$56607$n758 -.sym 17783 I2C.FLT_SCL.RESET -.sym 17784 $abc$56607$n757 -.sym 17787 $abc$56607$n733 -.sym 17788 $abc$56607$n771 -.sym 17789 I2C.FLT_SCL.RESET -.sym 17790 $abc$56607$n757 -.sym 17793 $abc$56607$n733 -.sym 17794 $abc$56607$n812 -.sym 17795 $abc$56607$n673 -.sym 17796 $false -.sym 17799 KEYBOARD.isr -.sym 17800 last_isr -.sym 17801 $false -.sym 17802 $false -.sym 17805 $abc$56607$n733 -.sym 17806 $abc$56607$n22 -.sym 17807 $false -.sym 17808 $false -.sym 17811 $abc$56607$n811 -.sym 17812 $abc$56607$n755 +.sym 17780 $auto$alumacc.cc:470:replace_alu$6014.C[2] +.sym 17782 I2C.byte_counter[1] +.sym 17783 $true$2 +.sym 17787 $false +.sym 17788 I2C.byte_counter[2] +.sym 17789 $false +.sym 17790 $auto$alumacc.cc:470:replace_alu$6014.C[2] +.sym 17793 $abc$27697$n1109_1 +.sym 17794 $abc$27697$n1089 +.sym 17795 $abc$27697$n574 +.sym 17796 $abc$27697$n1087_1 +.sym 17799 $abc$27697$n1110 +.sym 17800 I2C.FLT_SCL.RESET$2 +.sym 17801 $abc$27697$n1111 +.sym 17802 I2C.is_read +.sym 17805 $abc$27697$n574 +.sym 17806 $abc$27697$n578 +.sym 17807 I2C.FLT_SCL.RESET$2 +.sym 17808 $abc$27697$n1087_1 +.sym 17811 I2C.byte_counter[0] +.sym 17812 $abc$27697$n613 .sym 17813 $false .sym 17814 $false -.sym 17817 $abc$56607$n842 -.sym 17818 $abc$56607$n759 -.sym 17819 $abc$56607$n770 +.sym 17817 I2C.received_byte[7] +.sym 17818 $false +.sym 17819 $false .sym 17820 $false -.sym 17828 $abc$56607$n2577 -.sym 17829 $abc$56607$n755 -.sym 17831 temp_output_report[1] -.sym 17898 i2c_input_data_type[0] +.sym 17828 $abc$27697$n1953 +.sym 17830 $abc$27697$n845 +.sym 17831 LED3$2 +.sym 17898 i2c_input_data_type[3] .sym 17899 $false .sym 17900 $false .sym 17901 $false -.sym 17904 $abc$56607$n670 -.sym 17905 $abc$56607$n22 -.sym 17906 $false -.sym 17907 $false -.sym 17910 $abc$56607$n842 -.sym 17911 $abc$56607$n755 -.sym 17912 $false -.sym 17913 $false +.sym 17910 $abc$27697$n1092 +.sym 17911 I2C.FLT_SCL.RESET$2 +.sym 17912 I2C.is_read +.sym 17913 $abc$27697$n578 .sym 17916 i2c_input_data_type[1] -.sym 17917 i2c_input_data_type[0] -.sym 17918 i2c_input_data_type[3] -.sym 17919 i2c_input_data_type[2] -.sym 17922 i2c_input_data_type[1] -.sym 17923 i2c_input_data_type[3] -.sym 17924 i2c_input_data_type[0] -.sym 17925 i2c_input_data_type[2] -.sym 17928 i2c_input_data_type[2] -.sym 17929 $false -.sym 17930 $false -.sym 17931 $false -.sym 17934 i2c_input_data_type[1] +.sym 17917 $false +.sym 17918 $false +.sym 17919 $false +.sym 17934 i2c_input_data_type[2] .sym 17935 $false .sym 17936 $false .sym 17937 $false -.sym 17940 I2C.received_byte[2] -.sym 17941 $false -.sym 17942 $false -.sym 17943 $false -.sym 17944 $abc$56607$n1113 -.sym 17945 CLK$2$2 -.sym 17946 $false -.sym 17947 $abc$56607$n742 -.sym 17948 $abc$56607$n762 -.sym 17949 $13\int_tmr[19:0][0] -.sym 17951 $abc$56607$n761 -.sym 17952 $abc$56607$n744 -.sym 17953 $abc$56607$n743 -.sym 17954 int_tmr[1] -.sym 17983 $true -.sym 18020 wr_cnt[0]$3 .sym 18021 $false -.sym 18022 wr_cnt[0] +.sym 18022 $false .sym 18023 $false .sym 18024 $false -.sym 18026 $auto$alumacc.cc:470:replace_alu$12138.C[2] -.sym 18028 wr_cnt[1] -.sym 18029 $false -.sym 18033 $false -.sym 18034 wr_cnt[2] -.sym 18035 $true$2 -.sym 18036 $auto$alumacc.cc:470:replace_alu$12138.C[2] -.sym 18039 $abc$56607$n773 -.sym 18040 $abc$56607$n842 -.sym 18041 $abc$56607$n988 -.sym 18042 $abc$56607$n754 -.sym 18045 $abc$56607$n768 -.sym 18046 $abc$56607$n772 -.sym 18047 $false -.sym 18048 $false -.sym 18051 uart_double_ff -.sym 18052 $abc$56607$n774 -.sym 18053 $abc$56607$n762 -.sym 18054 $false -.sym 18057 last_uart_active -.sym 18058 $abc$56607$n741 -.sym 18059 UART.tx_activity -.sym 18060 $abc$56607$n769 -.sym 18063 $abc$56607$n774 -.sym 18064 $abc$56607$n747 +.sym 18063 UART.tx_activity +.sym 18064 $false .sym 18065 $false .sym 18066 $false -.sym 18067 $abc$56607$n881 -.sym 18068 CLK$2$2 -.sym 18069 $abc$56607$n35$2 -.sym 18070 $abc$56607$n1501_1 -.sym 18071 $abc$56607$n985 -.sym 18072 $abc$56607$n938 -.sym 18074 COM_DCD$2 -.sym 18076 $abc$56607$n782 -.sym 18077 LED1$2 -.sym 18106 $false -.sym 18143 $auto$maccmap.cc:240:synth$13026.C[5] -.sym 18145 $abc$56607$n2549 -.sym 18146 $abc$56607$n2551 -.sym 18149 $auto$maccmap.cc:240:synth$13026.C[6] -.sym 18150 $false -.sym 18151 $2\ring_wr[3:0][2] -.sym 18152 $abc$56607$n2671 -.sym 18153 $auto$maccmap.cc:240:synth$13026.C[5] -.sym 18155 $auto$maccmap.cc:240:synth$13026.C[7] -.sym 18156 $false -.sym 18157 $2\ring_wr[3:0][3] -.sym 18158 $false -.sym 18159 $auto$maccmap.cc:240:synth$13026.C[6] -.sym 18162 $false -.sym 18163 $false +.sym 18072 KEYBOARD.report[1][3] +.sym 18077 KEYBOARD.report[1][0] +.sym 18144 $false +.sym 18145 UART.tx_bit_counter[0] +.sym 18146 $false +.sym 18147 $true$2 +.sym 18150 UART.tx_bit_counter[0] +.sym 18151 UART.tx_bit_counter[1] +.sym 18152 $false +.sym 18153 $false +.sym 18156 UART.tx_bit_counter[0] +.sym 18157 UART.tx_bit_counter[1] +.sym 18158 UART.tx_bit_counter[2] +.sym 18159 UART.tx_activity +.sym 18162 UART.tx_bit_counter[3] +.sym 18163 $abc$27697$n678 .sym 18164 $false -.sym 18165 $auto$maccmap.cc:240:synth$13026.C[7] -.sym 18168 $abc$56607$n741 -.sym 18169 UART.tx_activity -.sym 18170 last_uart_active -.sym 18171 $abc$56607$n988 -.sym 18174 $abc$56607$n761 -.sym 18175 UART_WR -.sym 18176 $abc$56607$n769 -.sym 18177 $abc$56607$n753 -.sym 18180 $abc$56607$n778 -.sym 18181 $abc$56607$n867 +.sym 18165 $false +.sym 18168 $abc$27697$n1916 +.sym 18169 $false +.sym 18170 $false +.sym 18171 $false +.sym 18174 $abc$27697$n1903 +.sym 18175 $false +.sym 18176 $false +.sym 18177 $false +.sym 18180 $abc$27697$n1918 +.sym 18181 $false .sym 18182 $false .sym 18183 $false -.sym 18186 UART.tx_activity +.sym 18186 $abc$27697$n1902 .sym 18187 $false .sym 18188 $false .sym 18189 $false -.sym 18190 $abc$56607$n1227 +.sym 18190 $abc$27697$n542 .sym 18191 CLK$2$2 -.sym 18192 $abc$56607$n35$2 -.sym 18193 $abc$56607$n2546 -.sym 18194 $abc$56607$n1221_1 -.sym 18195 $abc$56607$n1243 -.sym 18196 $abc$56607$n1953 -.sym 18197 $abc$56607$n1234 -.sym 18198 $abc$56607$n1239 -.sym 18199 $abc$56607$n1242 -.sym 18200 int_tmr[19] +.sym 18192 $abc$27697$n21 +.sym 18193 $abc$27697$n756 +.sym 18194 $abc$27697$n754_1 +.sym 18195 $abc$27697$n752_1 +.sym 18196 $abc$27697$n751_1 +.sym 18197 $abc$27697$n755_1 +.sym 18198 $abc$27697$n753 +.sym 18199 $abc$27697$n757 +.sym 18200 KEYBOARD.report[1][2] +.sym 18229 $true +.sym 18266 UART.tx_bit_counter[0]$2 .sym 18267 $false -.sym 18268 wr_cnt[0] +.sym 18268 UART.tx_bit_counter[0] .sym 18269 $false .sym 18270 $false -.sym 18273 $2\ring_wr[3:0][1] -.sym 18274 $2\ring_wr[3:0][3] -.sym 18275 $false -.sym 18276 $false -.sym 18279 $abc$56607$n1949 -.sym 18280 $6\report_data_wadr[7:0][7] -.sym 18281 $abc$56607$n670 -.sym 18282 $abc$56607$n22 -.sym 18285 $2\ring_wr[3:0][1] -.sym 18286 $2\ring_wr[3:0][3] -.sym 18287 $false -.sym 18288 $false -.sym 18291 $abc$56607$n1239 -.sym 18292 $abc$56607$n2549 -.sym 18293 $abc$56607$n2551 -.sym 18294 $abc$56607$n670 -.sym 18297 $abc$56607$n1220 -.sym 18298 $abc$56607$n1961 -.sym 18299 $abc$56607$n1236_1 -.sym 18300 $abc$56607$n22 -.sym 18303 $abc$56607$n1220 -.sym 18304 $abc$56607$n1967 -.sym 18305 $abc$56607$n22 -.sym 18306 $abc$56607$n1249_1 -.sym 18309 $abc$56607$n1220 -.sym 18310 $abc$56607$n1221_1 -.sym 18311 $abc$56607$n771 -.sym 18312 $6\report_data_wadr[7:0][0] -.sym 18313 $abc$56607$n1018$2 +.sym 18272 $auto$alumacc.cc:470:replace_alu$6070.C[2] +.sym 18274 UART.tx_bit_counter[1] +.sym 18275 $true$2 +.sym 18278 $auto$alumacc.cc:470:replace_alu$6070.C[3] +.sym 18279 $false +.sym 18280 UART.tx_bit_counter[2] +.sym 18281 $true$2 +.sym 18282 $auto$alumacc.cc:470:replace_alu$6070.C[2] +.sym 18285 $false +.sym 18286 UART.tx_bit_counter[3] +.sym 18287 $true$2 +.sym 18288 $auto$alumacc.cc:470:replace_alu$6070.C[3] +.sym 18291 KEYBOARD.kbd_code_hid[7] +.sym 18292 $false +.sym 18293 $false +.sym 18294 $false +.sym 18297 KEYBOARD.kbd_code_hid[5] +.sym 18298 $false +.sym 18299 $false +.sym 18300 $false +.sym 18303 KEYBOARD.kbd_code_hid[1] +.sym 18304 $false +.sym 18305 $false +.sym 18306 $false +.sym 18309 KEYBOARD.kbd_code_hid[6] +.sym 18310 $false +.sym 18311 $false +.sym 18312 $false +.sym 18313 $abc$27697$n651 .sym 18314 CLK$2$2 -.sym 18315 $false -.sym 18317 $6\report_data_wadr[7:0][5] -.sym 18318 $6\report_data_wadr[7:0][6] -.sym 18319 $6\report_data_wadr[7:0][7] -.sym 18320 $abc$56607$n2680 -.sym 18321 $abc$56607$n2681 -.sym 18322 $abc$56607$n2678 -.sym 18396 $abc$56607$n1946 -.sym 18397 $6\report_data_wadr[7:0][6] -.sym 18398 $abc$56607$n670 -.sym 18399 $abc$56607$n22 -.sym 18408 $abc$56607$n1943 -.sym 18409 $6\report_data_wadr[7:0][5] -.sym 18410 $abc$56607$n670 -.sym 18411 $abc$56607$n22 -.sym 18414 $abc$56607$n1220 -.sym 18415 $abc$56607$n1965 -.sym 18416 $abc$56607$n22 -.sym 18417 $abc$56607$n1247 -.sym 18432 $abc$56607$n1220 -.sym 18433 $abc$56607$n1963 -.sym 18434 $abc$56607$n22 -.sym 18435 $abc$56607$n1245 -.sym 18436 $abc$56607$n1018$2 +.sym 18315 $abc$27697$n25$2 +.sym 18390 $abc$27697$n1918 +.sym 18391 $false +.sym 18392 $false +.sym 18393 $false +.sym 18402 $abc$27697$n1916 +.sym 18403 $false +.sym 18404 $false +.sym 18405 $false +.sym 18408 KEYBOARD.kbd_code_hid[0] +.sym 18409 KEYBOARD.report[5][0] +.sym 18410 KEYBOARD.kbd_code_hid[1] +.sym 18411 KEYBOARD.report[5][1] +.sym 18414 KEYBOARD.kbd_code_hid[1] +.sym 18415 $false +.sym 18416 $false +.sym 18417 $false +.sym 18432 KEYBOARD.kbd_code_hid[0] +.sym 18433 $false +.sym 18434 $false +.sym 18435 $false +.sym 18436 $abc$27697$n610 .sym 18437 CLK$2$2 -.sym 18438 $false -.sym 18446 $abc$56607$n22 -.sym 18475 $true -.sym 18512 init_ram_cnt[1]$3 -.sym 18513 $false -.sym 18514 init_ram_cnt[1] -.sym 18515 $false -.sym 18516 $false -.sym 18518 $auto$alumacc.cc:470:replace_alu$12144.C[3] -.sym 18520 init_ram_cnt[2] -.sym 18521 $true$2 -.sym 18524 $auto$alumacc.cc:470:replace_alu$12144.C[4] -.sym 18525 $false -.sym 18526 init_ram_cnt[3] -.sym 18527 $false -.sym 18528 $auto$alumacc.cc:470:replace_alu$12144.C[3] -.sym 18530 $auto$alumacc.cc:470:replace_alu$12144.C[5] -.sym 18531 $false -.sym 18532 init_ram_cnt[4] -.sym 18533 $true$2 -.sym 18534 $auto$alumacc.cc:470:replace_alu$12144.C[4] -.sym 18536 $auto$alumacc.cc:470:replace_alu$12144.C[6] -.sym 18537 $false -.sym 18538 init_ram_cnt[5] -.sym 18539 $true$2 -.sym 18540 $auto$alumacc.cc:470:replace_alu$12144.C[5] -.sym 18542 $auto$alumacc.cc:470:replace_alu$12144.C[7] -.sym 18543 $false -.sym 18544 init_ram_cnt[6] -.sym 18545 $true$2 -.sym 18546 $auto$alumacc.cc:470:replace_alu$12144.C[6] -.sym 18549 $false -.sym 18550 init_ram_cnt[7] -.sym 18551 $true$2 -.sym 18552 $auto$alumacc.cc:470:replace_alu$12144.C[7] -.sym 18698 $true -.sym 18735 KEYBOARD.row_time[0]$2 -.sym 18736 $false -.sym 18737 KEYBOARD.row_time[0] +.sym 18438 $abc$27697$n25$2 +.sym 18519 KEYBOARD.kbd_code_hid[4] +.sym 18520 KEYBOARD.report[5][4] +.sym 18521 KEYBOARD.kbd_code_hid[5] +.sym 18522 KEYBOARD.report[5][5] +.sym 18531 KEYBOARD.kbd_code_hid[5] +.sym 18532 $false +.sym 18533 $false +.sym 18534 $false +.sym 18537 KEYBOARD.kbd_code_hid[4] +.sym 18538 $false +.sym 18539 $false +.sym 18540 $false +.sym 18559 $abc$27697$n610 +.sym 18560 CLK$2$2 +.sym 18561 $abc$27697$n25$2 +.sym 18736 KBD_COLUMNS[6]$2 +.sym 18737 $false .sym 18738 $false .sym 18739 $false -.sym 18741 $auto$alumacc.cc:470:replace_alu$12194.C[2] -.sym 18743 $false -.sym 18744 KEYBOARD.row_time[1] -.sym 18747 $auto$alumacc.cc:470:replace_alu$12194.C[3] -.sym 18748 $false -.sym 18749 $false -.sym 18750 KEYBOARD.row_time[2] -.sym 18751 $auto$alumacc.cc:470:replace_alu$12194.C[2] -.sym 18753 $auto$alumacc.cc:470:replace_alu$12194.C[4] -.sym 18754 $false -.sym 18755 $false -.sym 18756 KEYBOARD.row_time[3] -.sym 18757 $auto$alumacc.cc:470:replace_alu$12194.C[3] -.sym 18759 $auto$alumacc.cc:470:replace_alu$12194.C[5] -.sym 18760 $false -.sym 18761 $false -.sym 18762 KEYBOARD.row_time[4] -.sym 18763 $auto$alumacc.cc:470:replace_alu$12194.C[4] -.sym 18765 $auto$alumacc.cc:470:replace_alu$12194.C[6] -.sym 18766 $false +.sym 18766 KBD_COLUMNS[7]$2 .sym 18767 $false -.sym 18768 KEYBOARD.row_time[5] -.sym 18769 $auto$alumacc.cc:470:replace_alu$12194.C[5] -.sym 18771 $auto$alumacc.cc:470:replace_alu$12194.C[7] -.sym 18772 $false -.sym 18773 $false -.sym 18774 KEYBOARD.row_time[6] -.sym 18775 $auto$alumacc.cc:470:replace_alu$12194.C[6] -.sym 18777 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18778 $false +.sym 18768 $false +.sym 18769 $false +.sym 18778 I2C.SDA_IN .sym 18779 $false -.sym 18780 KEYBOARD.row_time[7] -.sym 18781 $auto$alumacc.cc:470:replace_alu$12194.C[7] -.sym 18782 $abc$56607$n1490$2 +.sym 18780 $false +.sym 18781 $false +.sym 18782 $true .sym 18783 CLK$2$2 -.sym 18784 $abc$56607$n33$2 -.sym 18861 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18898 $auto$alumacc.cc:470:replace_alu$12194.C[9] -.sym 18899 $false -.sym 18900 $false -.sym 18901 KEYBOARD.row_time[8] -.sym 18902 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18904 $auto$alumacc.cc:470:replace_alu$12194.C[10] -.sym 18905 $false -.sym 18906 $false -.sym 18907 KEYBOARD.row_time[9] -.sym 18908 $auto$alumacc.cc:470:replace_alu$12194.C[9] -.sym 18910 $auto$alumacc.cc:470:replace_alu$12194.C[11] -.sym 18911 $false -.sym 18912 $false -.sym 18913 KEYBOARD.row_time[10] -.sym 18914 $auto$alumacc.cc:470:replace_alu$12194.C[10] -.sym 18916 $auto$alumacc.cc:470:replace_alu$12194.C[12] -.sym 18917 $false +.sym 18784 $false +.sym 18905 $abc$27697$n29$2 +.sym 18906 $abc$27697$n1699 +.sym 18907 I2C.FLT_SCL.RESET$2 +.sym 18908 $false +.sym 18917 $abc$27697$n1699 .sym 18918 $false -.sym 18919 KEYBOARD.row_time[11] -.sym 18920 $auto$alumacc.cc:470:replace_alu$12194.C[11] -.sym 18922 $auto$alumacc.cc:470:replace_alu$12194.C[13] -.sym 18923 $false -.sym 18924 $false -.sym 18925 KEYBOARD.row_time[12] -.sym 18926 $auto$alumacc.cc:470:replace_alu$12194.C[12] -.sym 18928 $auto$alumacc.cc:470:replace_alu$12194.C[14] -.sym 18929 $false -.sym 18930 $false -.sym 18931 KEYBOARD.row_time[13] -.sym 18932 $auto$alumacc.cc:470:replace_alu$12194.C[13] -.sym 18934 $auto$alumacc.cc:470:replace_alu$12194.C[15] -.sym 18935 $false -.sym 18936 $false -.sym 18937 KEYBOARD.row_time[14] -.sym 18938 $auto$alumacc.cc:470:replace_alu$12194.C[14] -.sym 18941 $false -.sym 18942 $false -.sym 18943 KEYBOARD.row_time[15] -.sym 18944 $auto$alumacc.cc:470:replace_alu$12194.C[15] -.sym 18945 $abc$56607$n1490$2 +.sym 18919 $false +.sym 18920 $false +.sym 18945 $abc$27697$n665 .sym 18946 CLK$2$2 -.sym 18947 $abc$56607$n33$2 -.sym 19022 $abc$56607$n681 -.sym 19023 $abc$56607$n687 -.sym 19024 KEYBOARD.row_time[8] -.sym 19025 KEYBOARD.row_time[9] -.sym 19028 KEYBOARD.row_time[4] -.sym 19029 KEYBOARD.row_time[5] -.sym 19030 KEYBOARD.row_time[2] -.sym 19031 KEYBOARD.row_time[3] -.sym 19034 $abc$56607$n688 -.sym 19035 KEYBOARD.row_time[10] -.sym 19036 KEYBOARD.row_time[11] +.sym 18947 $false +.sym 19022 $abc$27697$n515 +.sym 19023 $abc$27697$n516 +.sym 19024 $abc$27697$n517 +.sym 19025 $abc$27697$n524_1 +.sym 19028 $abc$27697$n532 +.sym 19029 $abc$27697$n560 +.sym 19030 $abc$27697$n517 +.sym 19031 $false +.sym 19034 KEYBOARD.row_time[2] +.sym 19035 KEYBOARD.row_time[3] +.sym 19036 $false .sym 19037 $false -.sym 19040 KEYBOARD.row_time[12] -.sym 19041 KEYBOARD.row_time[14] -.sym 19042 KEYBOARD.row_time[15] -.sym 19043 KEYBOARD.row_time[13] -.sym 19046 KEYBOARD.row_time[8] -.sym 19047 KEYBOARD.row_time[9] -.sym 19048 KEYBOARD.row_time[10] -.sym 19049 KEYBOARD.row_time[11] -.sym 19052 KEYBOARD.row_time[10] -.sym 19053 KEYBOARD.row_time[11] -.sym 19054 $false -.sym 19055 $false -.sym 19058 KEYBOARD.row_time[8] -.sym 19059 KEYBOARD.row_time[9] -.sym 19060 $false -.sym 19061 $false -.sym 19064 $abc$56607$n2462 -.sym 19065 $false -.sym 19066 $false +.sym 19040 $abc$27697$n520 +.sym 19041 $abc$27697$n523 +.sym 19042 $abc$27697$n528 +.sym 19043 $abc$27697$n543 +.sym 19046 $abc$27697$n523 +.sym 19047 $abc$27697$n524_1 +.sym 19048 $false +.sym 19049 $false +.sym 19052 KEYBOARD.row_time[3] +.sym 19053 KEYBOARD.row_time[1] +.sym 19054 KEYBOARD.row_time[2] +.sym 19055 KEYBOARD.row_time[0] +.sym 19058 KEYBOARD.row_time[4] +.sym 19059 KEYBOARD.row_time[5] +.sym 19060 KEYBOARD.row_time[6] +.sym 19061 KEYBOARD.row_time[7] +.sym 19064 $abc$27697$n528 +.sym 19065 KEYBOARD.row_time[2] +.sym 19066 KEYBOARD.row_time[3] .sym 19067 $false -.sym 19068 $abc$56607$n1435 -.sym 19069 CLK$2$2 -.sym 19070 $abc$56607$n35$2 -.sym 19145 $abc$56607$n27 -.sym 19146 $abc$56607$n912 -.sym 19147 I2C.FLT_SCL.RESET -.sym 19148 $false -.sym 19151 $abc$56607$n2231 -.sym 19152 KEYBOARD.row_counter[0] -.sym 19153 KEYBOARD.row_counter[1] -.sym 19154 $abc$56607$n2237 -.sym 19157 $abc$56607$n932 -.sym 19158 $abc$56607$n934 -.sym 19159 $abc$56607$n1494 -.sym 19160 $false -.sym 19163 $abc$56607$n27 -.sym 19164 I2C.FLT_SCL.RESET -.sym 19165 $abc$56607$n912 +.sym 19145 $abc$27697$n528 +.sym 19146 $abc$27697$n533_1 +.sym 19147 KEYBOARD.row_time[6] +.sym 19148 KEYBOARD.row_time[7] +.sym 19151 KEYBOARD.COLS_SHADOW[6] +.sym 19152 $abc$27697$n526 +.sym 19153 $abc$27697$n527_1 +.sym 19154 $abc$27697$n531 +.sym 19157 $abc$27697$n512 +.sym 19158 $abc$27697$n525 +.sym 19159 KEYBOARD.is_pressed +.sym 19160 $abc$27697$n530 +.sym 19163 $abc$27697$n526 +.sym 19164 KEYBOARD.COLS_SHADOW[6] +.sym 19165 $abc$27697$n527_1 .sym 19166 $false -.sym 19175 $abc$56607$n2462 -.sym 19176 $false -.sym 19177 $false -.sym 19178 $false -.sym 19181 $abc$56607$n2463 -.sym 19182 $false -.sym 19183 $false -.sym 19184 $false -.sym 19191 $abc$56607$n1407 +.sym 19169 KEYBOARD.row_time[2] +.sym 19170 KEYBOARD.row_time[3] +.sym 19171 $false +.sym 19172 $false +.sym 19175 $abc$27697$n532 +.sym 19176 $abc$27697$n518 +.sym 19177 $abc$27697$n523 +.sym 19178 $abc$27697$n533_1 +.sym 19181 KEYBOARD.row_time[4] +.sym 19182 KEYBOARD.row_time[5] +.sym 19183 $abc$27697$n532 +.sym 19184 $abc$27697$n540 +.sym 19187 $abc$27697$n526 +.sym 19188 KEYBOARD.COLS_SHADOW[6] +.sym 19189 $abc$27697$n527_1 +.sym 19190 $false +.sym 19191 I2C.FLT_SCL.RESET$2 .sym 19192 CLK$2$2 -.sym 19193 $abc$56607$n35$2 -.sym 19268 $abc$56607$n969 -.sym 19269 $abc$56607$n952 -.sym 19270 $false -.sym 19271 $false -.sym 19274 $abc$56607$n1494 -.sym 19275 $abc$56607$n933 -.sym 19276 $false +.sym 19193 $false +.sym 19194 KEYBOARD.RAM.r_data[0] +.sym 19196 KEYBOARD.RAM.r_data[1] +.sym 19198 KEYBOARD.RAM.r_data[2] +.sym 19200 KEYBOARD.RAM.r_data[3] +.sym 19268 $abc$27697$n1104 +.sym 19269 $abc$27697$n1001 +.sym 19270 $abc$27697$n993 +.sym 19271 $abc$27697$n559 +.sym 19274 $abc$27697$n992 +.sym 19275 $abc$27697$n988 +.sym 19276 $abc$27697$n996 .sym 19277 $false -.sym 19280 $abc$56607$n1495 -.sym 19281 $abc$56607$n959 -.sym 19282 $false +.sym 19280 $abc$27697$n992 +.sym 19281 $abc$27697$n988 +.sym 19282 $abc$27697$n542_1 .sym 19283 $false -.sym 19286 $abc$56607$n1494 -.sym 19287 $abc$56607$n928 -.sym 19288 $abc$56607$n926 +.sym 19286 $abc$27697$n541 +.sym 19287 KEYBOARD.COLS_SHADOW[4] +.sym 19288 $abc$27697$n539 .sym 19289 $false -.sym 19292 $abc$56607$n1475 -.sym 19293 $abc$56607$n1495 -.sym 19294 $abc$56607$n901_1 -.sym 19295 $abc$56607$n1477 -.sym 19298 $abc$56607$n1494 -.sym 19299 $abc$56607$n928 -.sym 19300 $abc$56607$n958 -.sym 19301 $abc$56607$n961 -.sym 19304 $abc$56607$n950 -.sym 19305 $abc$56607$n951 -.sym 19306 $abc$56607$n901_1 -.sym 19307 $abc$56607$n958 -.sym 19310 $abc$56607$n2462 -.sym 19311 $false -.sym 19312 $false +.sym 19292 $abc$27697$n512 +.sym 19293 $abc$27697$n525 +.sym 19294 $false +.sym 19295 $false +.sym 19298 $abc$27697$n539 +.sym 19299 $abc$27697$n525 +.sym 19300 $abc$27697$n988 +.sym 19301 $abc$27697$n559 +.sym 19304 $abc$27697$n996 +.sym 19305 $abc$27697$n993 +.sym 19306 $abc$27697$n559 +.sym 19307 $abc$27697$n986 +.sym 19310 KEYBOARD.COLS_SHADOW[4] +.sym 19311 $abc$27697$n541 +.sym 19312 $abc$27697$n539 .sym 19313 $false -.sym 19314 $abc$56607$n1450 +.sym 19314 I2C.FLT_SCL.RESET$2 .sym 19315 CLK$2$2 -.sym 19316 $abc$56607$n35$2 -.sym 19391 KEYBOARD.kbd_code_hid[3] -.sym 19392 KEYBOARD.report[4][3] -.sym 19393 KEYBOARD.report[4][1] -.sym 19394 KEYBOARD.kbd_code_hid[1] -.sym 19397 $abc$56607$n1119 -.sym 19398 KEYBOARD.report[4][7] -.sym 19399 $abc$56607$n1211_1 -.sym 19400 $abc$56607$n1212 -.sym 19403 kbd_report[5][4] -.sym 19404 KEYBOARD.report[1][4] -.sym 19405 $abc$56607$n312 -.sym 19406 $abc$56607$n1113_1 -.sym 19409 KEYBOARD.report[4][0] -.sym 19410 KEYBOARD.report[4][1] -.sym 19411 KEYBOARD.report[4][2] -.sym 19412 KEYBOARD.report[4][3] -.sym 19415 $abc$56607$n1119 -.sym 19416 KEYBOARD.report[4][2] -.sym 19417 KEYBOARD.report[6][2] -.sym 19418 $abc$56607$n1121 -.sym 19421 $abc$56607$n2463 -.sym 19422 $false -.sym 19423 $false +.sym 19316 $false +.sym 19317 KEYBOARD.RAM.r_data[4] +.sym 19319 KEYBOARD.RAM.r_data[5] +.sym 19321 KEYBOARD.RAM.r_data[6] +.sym 19323 KEYBOARD.RAM.r_data[7] +.sym 19391 KEYBOARD.RAM.r_data[4] +.sym 19392 KEYBOARD.temp[4] +.sym 19393 $abc$27697$n520 +.sym 19394 $abc$27697$n522 +.sym 19397 KEYBOARD.RAM.r_data[1] +.sym 19398 KEYBOARD.temp[1] +.sym 19399 $abc$27697$n536 +.sym 19400 $false +.sym 19403 KEYBOARD.RAM.r_data[6] +.sym 19404 KEYBOARD.temp[6] +.sym 19405 $abc$27697$n520 +.sym 19406 $abc$27697$n522 +.sym 19409 $abc$27697$n520 +.sym 19410 $abc$27697$n522 +.sym 19411 $false +.sym 19412 $false +.sym 19415 $abc$27697$n999 +.sym 19416 $abc$27697$n986 +.sym 19417 $abc$27697$n556_1 +.sym 19418 $abc$27697$n985 +.sym 19421 $abc$27697$n555 +.sym 19422 KEYBOARD.COLS_SHADOW[0] +.sym 19423 $abc$27697$n556_1 .sym 19424 $false -.sym 19427 $abc$56607$n2465 -.sym 19428 $false -.sym 19429 $false -.sym 19430 $false -.sym 19433 $abc$56607$n2460 -.sym 19434 $false -.sym 19435 $false +.sym 19427 $abc$27697$n987 +.sym 19428 $abc$27697$n986 +.sym 19429 $abc$27697$n556_1 +.sym 19430 $abc$27697$n985 +.sym 19433 $abc$27697$n555 +.sym 19434 KEYBOARD.COLS_SHADOW[0] +.sym 19435 $abc$27697$n556_1 .sym 19436 $false -.sym 19437 $abc$56607$n1422 +.sym 19437 I2C.FLT_SCL.RESET$2 .sym 19438 CLK$2$2 -.sym 19439 $abc$56607$n35$2 -.sym 19514 $abc$56607$n1118 -.sym 19515 KEYBOARD.report[3][7] -.sym 19516 $abc$56607$n1213 -.sym 19517 $abc$56607$n1210 -.sym 19520 $abc$56607$n1114 -.sym 19521 KEYBOARD.report[2][7] -.sym 19522 $false -.sym 19523 $false -.sym 19526 KEYBOARD.kbd_code_hid[2] -.sym 19527 KEYBOARD.report[3][2] -.sym 19528 KEYBOARD.report[3][0] -.sym 19529 KEYBOARD.kbd_code_hid[0] -.sym 19532 $abc$56607$n1118 -.sym 19533 KEYBOARD.report[3][3] -.sym 19534 $abc$56607$n1114 -.sym 19535 KEYBOARD.report[2][3] -.sym 19538 $abc$56607$n970 -.sym 19539 $abc$56607$n971 -.sym 19540 $abc$56607$n972 -.sym 19541 $abc$56607$n973 -.sym 19544 KEYBOARD.kbd_code_hid[6] -.sym 19545 KEYBOARD.report[3][6] -.sym 19546 KEYBOARD.report[3][1] -.sym 19547 KEYBOARD.kbd_code_hid[1] -.sym 19550 KEYBOARD.kbd_code_hid[7] -.sym 19551 $false -.sym 19552 $false -.sym 19553 $false -.sym 19556 KEYBOARD.kbd_code_hid[5] +.sym 19439 $false +.sym 19526 $abc$27697$n26 +.sym 19527 $false +.sym 19528 $false +.sym 19529 $false +.sym 19538 $abc$27697$n26 +.sym 19539 $false +.sym 19540 $false +.sym 19541 $false +.sym 19544 $false +.sym 19545 $false +.sym 19546 $false +.sym 19547 $false +.sym 19556 $false .sym 19557 $false .sym 19558 $false .sym 19559 $false -.sym 19560 $abc$56607$n1328 +.sym 19560 $abc$27697$n667$2 .sym 19561 CLK$2$2 -.sym 19562 $abc$56607$n27 -.sym 19637 KEYBOARD.report[2][4] -.sym 19638 KEYBOARD.report[2][5] -.sym 19639 KEYBOARD.report[2][6] -.sym 19640 KEYBOARD.report[2][7] -.sym 19643 KEYBOARD.kbd_code_hid[7] -.sym 19644 KEYBOARD.report[2][7] -.sym 19645 KEYBOARD.report[2][3] -.sym 19646 KEYBOARD.kbd_code_hid[3] -.sym 19649 $abc$56607$n977 -.sym 19650 $abc$56607$n978 -.sym 19651 $abc$56607$n979_1 -.sym 19652 $abc$56607$n980 -.sym 19655 $abc$56607$n907 -.sym 19656 $abc$56607$n908 -.sym 19657 $false +.sym 19562 $false +.sym 19637 I2C.received_byte[4] +.sym 19638 $false +.sym 19639 $false +.sym 19640 $false +.sym 19643 $abc$27697$n590 +.sym 19644 i2c_input_data_type[0] +.sym 19645 $false +.sym 19646 $false +.sym 19649 I2C.received_byte[1] +.sym 19650 $false +.sym 19651 $false +.sym 19652 $false +.sym 19655 I2C.received_byte[3] +.sym 19656 I2C.received_byte[1] +.sym 19657 I2C.received_byte[2] .sym 19658 $false -.sym 19661 KEYBOARD.kbd_code_hid[4] -.sym 19662 KEYBOARD.report[2][4] -.sym 19663 KEYBOARD.report[2][0] -.sym 19664 KEYBOARD.kbd_code_hid[0] -.sym 19667 KEYBOARD.report[2][0] -.sym 19668 KEYBOARD.report[2][1] -.sym 19669 KEYBOARD.report[2][2] -.sym 19670 KEYBOARD.report[2][3] -.sym 19673 KEYBOARD.kbd_code_hid[6] -.sym 19674 KEYBOARD.report[2][6] -.sym 19675 KEYBOARD.report[2][1] -.sym 19676 KEYBOARD.kbd_code_hid[1] -.sym 19679 $abc$56607$n2461 -.sym 19680 $false -.sym 19681 $false +.sym 19673 $abc$27697$n556_1 +.sym 19674 $abc$27697$n995 +.sym 19675 $abc$27697$n985 +.sym 19676 $false +.sym 19679 $abc$27697$n556_1 +.sym 19680 $abc$27697$n1102_1 +.sym 19681 $abc$27697$n985 .sym 19682 $false -.sym 19683 $abc$56607$n1435 +.sym 19683 I2C.FLT_SCL.RESET$2 .sym 19684 CLK$2$2 -.sym 19685 $abc$56607$n35$2 -.sym 19760 wr_cnt[0] -.sym 19761 wr_cnt[2] -.sym 19762 wr_cnt[1] -.sym 19763 wr_cnt[3] -.sym 19766 $abc$56607$n321 -.sym 19767 wr_cnt[2] -.sym 19768 wr_cnt[1] -.sym 19769 $false -.sym 19772 wr_cnt[0] -.sym 19773 wr_cnt[1] -.sym 19774 $abc$56607$n305 -.sym 19775 $false -.sym 19778 $abc$56607$n305 -.sym 19779 $abc$56607$n312 -.sym 19780 wr_cnt[0] -.sym 19781 wr_cnt[1] -.sym 19784 $false -.sym 19785 wr_cnt[0] -.sym 19786 $false -.sym 19787 $true$2 -.sym 19790 KEYBOARD.row_counter[0] -.sym 19791 KEYBOARD.row_counter[1] -.sym 19792 $abc$56607$n2231 -.sym 19793 $abc$56607$n2237 -.sym 19796 $abc$56607$n2461 +.sym 19685 $false +.sym 19686 KEYBOARD.kbd_code_hid[0] +.sym 19687 KEYBOARD.kbd_code_hid[1] +.sym 19688 KEYBOARD.kbd_code_hid[2] +.sym 19689 KEYBOARD.kbd_code_hid[3] +.sym 19690 KEYBOARD.kbd_code_hid[4] +.sym 19691 KEYBOARD.kbd_code_hid[5] +.sym 19692 KEYBOARD.kbd_code_hid[6] +.sym 19693 KEYBOARD.kbd_code_hid[7] +.sym 19722 $true +.sym 19759 $abc$27697$n835$2 +.sym 19760 $false +.sym 19761 $abc$27697$n835 +.sym 19762 $false +.sym 19763 $false +.sym 19765 $auto$alumacc.cc:470:replace_alu$5961.C[3] +.sym 19767 $false +.sym 19768 $abc$27697$n833 +.sym 19771 $auto$alumacc.cc:470:replace_alu$5961.C[4] +.sym 19773 $false +.sym 19774 $abc$27697$n832 +.sym 19777 $auto$alumacc.cc:470:replace_alu$5961.C[5] +.sym 19779 $false +.sym 19780 $abc$27697$n830 +.sym 19783 $auto$alumacc.cc:470:replace_alu$5961.C[6] +.sym 19785 $false +.sym 19786 $abc$27697$n829 +.sym 19789 $auto$alumacc.cc:470:replace_alu$5961.C[7] +.sym 19791 $false +.sym 19792 $abc$27697$n827 +.sym 19795 $abc$27697$n1950 .sym 19797 $false -.sym 19798 $false -.sym 19799 $false -.sym 19802 $abc$56607$n2459 -.sym 19803 $false -.sym 19804 $false -.sym 19805 $false -.sym 19806 $abc$56607$n1422 -.sym 19807 CLK$2$2 -.sym 19808 $abc$56607$n35$2 -.sym 19883 $abc$56607$n757 -.sym 19884 $abc$56607$n791 -.sym 19885 $abc$56607$n736 -.sym 19886 $abc$56607$n733 -.sym 19889 $abc$56607$n746 -.sym 19890 $abc$56607$n758 -.sym 19891 I2C.FLT_SCL.RESET -.sym 19892 $false -.sym 19895 I2C.FLT_SCL.RESET -.sym 19896 $abc$56607$n22 -.sym 19897 $false -.sym 19898 $false -.sym 19901 $abc$56607$n770 -.sym 19902 $abc$56607$n758 -.sym 19903 I2C.FLT_SCL.RESET -.sym 19904 $false -.sym 19907 KEYBOARD.isr -.sym 19908 last_isr -.sym 19909 $false -.sym 19910 $false -.sym 19913 $abc$56607$n733 -.sym 19914 $abc$56607$n736 -.sym 19915 $false -.sym 19916 $false -.sym 19919 $abc$56607$n770 -.sym 19920 $abc$56607$n793 -.sym 19921 $false -.sym 19922 $false -.sym 19925 KEYBOARD.isr -.sym 19926 $false -.sym 19927 $false -.sym 19928 $false -.sym 19929 $abc$56607$n1232 -.sym 19930 CLK$2$2 -.sym 19931 $abc$56607$n35$2 +.sym 19798 $abc$27697$n826 +.sym 19802 I2C.received_byte[0] +.sym 19803 $abc$27697$n606 +.sym 19804 $abc$27697$n608 +.sym 19805 $abc$27697$n1950 +.sym 19845 $true +.sym 19882 $abc$27697$n835$3 +.sym 19883 $false +.sym 19884 $abc$27697$n835 +.sym 19885 $false +.sym 19886 $false +.sym 19888 $auto$alumacc.cc:470:replace_alu$5996.C[3] +.sym 19890 $true$2 +.sym 19891 $abc$27697$n833 +.sym 19894 $auto$alumacc.cc:470:replace_alu$5996.C[4] +.sym 19896 $false +.sym 19897 $abc$27697$n832 +.sym 19900 $auto$alumacc.cc:470:replace_alu$5996.C[5] +.sym 19902 $false +.sym 19903 $abc$27697$n830 +.sym 19906 $auto$alumacc.cc:470:replace_alu$5996.C[6] +.sym 19908 $false +.sym 19909 $abc$27697$n829 +.sym 19912 $auto$alumacc.cc:470:replace_alu$5996.C[7] +.sym 19914 $false +.sym 19915 $abc$27697$n827 +.sym 19918 $abc$27697$n1959 +.sym 19920 $false +.sym 19921 $abc$27697$n826 +.sym 19925 $abc$27697$n821 +.sym 19926 $abc$27697$n615 +.sym 19927 $abc$27697$n574 +.sym 19928 $abc$27697$n1959 .sym 19968 $true -.sym 20005 $abc$56607$n270$2 +.sym 20005 $abc$27697$n845$2 .sym 20006 $false -.sym 20007 $abc$56607$n270 +.sym 20007 $abc$27697$n845 .sym 20008 $false .sym 20009 $false -.sym 20011 $auto$alumacc.cc:470:replace_alu$12087.C[2] +.sym 20011 $auto$alumacc.cc:470:replace_alu$5974.C[2] .sym 20013 $false -.sym 20014 $abc$56607$n269 -.sym 20017 $auto$alumacc.cc:470:replace_alu$12087.C[3] +.sym 20014 $abc$27697$n844 +.sym 20017 $auto$alumacc.cc:470:replace_alu$5974.C[3] .sym 20019 $true$2 -.sym 20020 $abc$56607$n2620 -.sym 20023 $abc$56607$n2577$2 +.sym 20020 $abc$27697$n1920 +.sym 20023 $abc$27697$n1953$2 .sym 20025 $false -.sym 20026 $abc$56607$n266 -.sym 20033 $abc$56607$n2577$2 -.sym 20036 $abc$56607$n744 -.sym 20037 $abc$56607$n745 -.sym 20038 $abc$56607$n746 -.sym 20039 $false -.sym 20048 I2C.received_byte[1] +.sym 20026 $abc$27697$n841 +.sym 20033 $abc$27697$n1953$2 +.sym 20042 i2c_input_data_type[0] +.sym 20043 $false +.sym 20044 $false +.sym 20045 $false +.sym 20048 temp_output_report[1] .sym 20049 $false .sym 20050 $false .sym 20051 $false -.sym 20052 $abc$56607$n1113 +.sym 20052 $abc$27697$n455 .sym 20053 CLK$2$2 -.sym 20054 $false -.sym 20129 $abc$56607$n842 -.sym 20130 $abc$56607$n743 -.sym 20131 $abc$56607$n746 -.sym 20132 $false -.sym 20135 $abc$56607$n667 -.sym 20136 $abc$56607$n747 -.sym 20137 $abc$56607$n743 -.sym 20138 $abc$56607$n746 -.sym 20141 $false -.sym 20142 $true$2 -.sym 20143 int_tmr[0] -.sym 20144 $false -.sym 20153 $abc$56607$n842 -.sym 20154 UART.tx_activity -.sym 20155 last_uart_active -.sym 20156 $abc$56607$n762 -.sym 20159 wr_cnt[0] -.sym 20160 wr_cnt[1] -.sym 20161 wr_cnt[2] -.sym 20162 wr_cnt[3] -.sym 20165 $abc$56607$n745 -.sym 20166 $abc$56607$n744 -.sym 20167 $false -.sym 20168 $false -.sym 20171 $abc$56607$n667 -.sym 20172 int_tmr[1] -.sym 20173 $false -.sym 20174 $false -.sym 20175 $abc$56607$n938 -.sym 20176 CLK$2$2 -.sym 20177 $abc$56607$n35$2 -.sym 20252 $abc$56607$n1500 -.sym 20253 $abc$56607$n1498 -.sym 20254 $abc$56607$n667 -.sym 20255 $false -.sym 20258 $abc$56607$n1501_1 -.sym 20259 $abc$56607$n781 -.sym 20260 $false -.sym 20261 $false -.sym 20264 $abc$56607$n782 -.sym 20265 $abc$56607$n781 -.sym 20266 $abc$56607$n1501_1 +.sym 20054 $abc$27697$n23$2 +.sym 20264 KEYBOARD.kbd_code_hid[3] +.sym 20265 $false +.sym 20266 $false .sym 20267 $false -.sym 20276 LED1$2 -.sym 20277 $false -.sym 20278 $false -.sym 20279 $false -.sym 20288 int_tmr[19] -.sym 20289 int_tmr[0] -.sym 20290 UART_WR -.sym 20291 $abc$56607$n761 -.sym 20294 $abc$56607$n667 -.sym 20295 $abc$56607$n670 -.sym 20296 I2C.FLT_SCL.RESET +.sym 20294 KEYBOARD.kbd_code_hid[0] +.sym 20295 $false +.sym 20296 $false .sym 20297 $false -.sym 20298 $abc$56607$n873 +.sym 20298 $abc$27697$n651 .sym 20299 CLK$2$2 -.sym 20300 $false -.sym 20375 $abc$56607$n1242 -.sym 20376 $abc$56607$n1243 -.sym 20377 ring_wr[1] -.sym 20378 ring_wr[3] -.sym 20381 $abc$56607$n22 -.sym 20382 $abc$56607$n1953 -.sym 20383 $false -.sym 20384 $false -.sym 20387 wr_cnt[3] -.sym 20388 ring_wr[2] -.sym 20389 $false -.sym 20390 $false -.sym 20393 $false -.sym 20394 init_ram_cnt[0] -.sym 20395 $true$2 -.sym 20396 $true$2 -.sym 20399 wr_cnt[3] -.sym 20400 ring_wr[2] -.sym 20401 $false -.sym 20402 $false -.sym 20405 $abc$56607$n2548 -.sym 20406 $abc$56607$n2546 -.sym 20407 $false -.sym 20408 $false -.sym 20411 $abc$56607$n1234 -.sym 20412 wr_cnt[2] -.sym 20413 ring_wr[1] -.sym 20414 $false -.sym 20417 int_tmr[19] -.sym 20418 $abc$56607$n1733 -.sym 20419 $abc$56607$n667 +.sym 20300 $abc$27697$n25$2 +.sym 20375 KEYBOARD.kbd_code_hid[1] +.sym 20376 KEYBOARD.report[1][1] +.sym 20377 KEYBOARD.report[1][6] +.sym 20378 KEYBOARD.kbd_code_hid[6] +.sym 20381 KEYBOARD.report[1][3] +.sym 20382 KEYBOARD.kbd_code_hid[3] +.sym 20383 KEYBOARD.kbd_code_hid[6] +.sym 20384 KEYBOARD.report[1][6] +.sym 20387 KEYBOARD.kbd_code_hid[7] +.sym 20388 KEYBOARD.report[1][7] +.sym 20389 $abc$27697$n753 +.sym 20390 $abc$27697$n754_1 +.sym 20393 KEYBOARD.kbd_code_hid[4] +.sym 20394 KEYBOARD.report[1][4] +.sym 20395 $abc$27697$n752_1 +.sym 20396 $abc$27697$n755_1 +.sym 20399 KEYBOARD.kbd_code_hid[5] +.sym 20400 KEYBOARD.report[1][5] +.sym 20401 $abc$27697$n756 +.sym 20402 $abc$27697$n757 +.sym 20405 KEYBOARD.kbd_code_hid[3] +.sym 20406 KEYBOARD.report[1][3] +.sym 20407 KEYBOARD.kbd_code_hid[2] +.sym 20408 KEYBOARD.report[1][2] +.sym 20411 KEYBOARD.report[1][1] +.sym 20412 KEYBOARD.kbd_code_hid[1] +.sym 20413 KEYBOARD.kbd_code_hid[0] +.sym 20414 KEYBOARD.report[1][0] +.sym 20417 KEYBOARD.kbd_code_hid[2] +.sym 20418 $false +.sym 20419 $false .sym 20420 $false -.sym 20421 $abc$56607$n985 +.sym 20421 $abc$27697$n651 .sym 20422 CLK$2$2 -.sym 20423 $abc$56607$n35$2 -.sym 20460 $false -.sym 20497 $auto$maccmap.cc:240:synth$13003.C[5] -.sym 20499 $abc$56607$n2546 -.sym 20500 $abc$56607$n2548 -.sym 20503 $auto$maccmap.cc:240:synth$13003.C[6] -.sym 20504 $false -.sym 20505 $abc$56607$n2678 -.sym 20506 $abc$56607$n2680 -.sym 20507 $auto$maccmap.cc:240:synth$13003.C[5] -.sym 20509 $auto$maccmap.cc:240:synth$13003.C[7] -.sym 20510 $false -.sym 20511 ring_wr[3] -.sym 20512 $abc$56607$n2681 -.sym 20513 $auto$maccmap.cc:240:synth$13003.C[6] -.sym 20516 $false -.sym 20517 $false -.sym 20518 $false -.sym 20519 $auto$maccmap.cc:240:synth$13003.C[7] -.sym 20522 ring_wr[3] -.sym 20523 $abc$56607$n1242 -.sym 20524 $false -.sym 20525 $false -.sym 20528 wr_cnt[3] -.sym 20529 ring_wr[1] -.sym 20530 ring_wr[3] -.sym 20531 ring_wr[2] -.sym 20534 wr_cnt[3] -.sym 20535 ring_wr[1] -.sym 20536 ring_wr[3] -.sym 20537 ring_wr[2] -.sym 20583 $true -.sym 20620 init_ram_cnt[1]$2 -.sym 20621 $false -.sym 20622 init_ram_cnt[1] -.sym 20623 $false -.sym 20624 $false -.sym 20626 $auto$alumacc.cc:470:replace_alu$12080.C[3] -.sym 20628 init_ram_cnt[2] -.sym 20629 $true$2 -.sym 20632 $auto$alumacc.cc:470:replace_alu$12080.C[4] -.sym 20634 init_ram_cnt[3] -.sym 20635 $false -.sym 20638 $auto$alumacc.cc:470:replace_alu$12080.C[5] -.sym 20640 init_ram_cnt[4] -.sym 20641 $true$2 -.sym 20644 $auto$alumacc.cc:470:replace_alu$12080.C[6] -.sym 20646 init_ram_cnt[5] -.sym 20647 $false -.sym 20650 $auto$alumacc.cc:470:replace_alu$12080.C[7] -.sym 20652 init_ram_cnt[6] -.sym 20653 $true$2 -.sym 20656 $abc$56607$n22$2 -.sym 20658 init_ram_cnt[7] -.sym 20659 $false -.sym 20666 $abc$56607$n22$2 +.sym 20423 $abc$27697$n25$2 .sym 20715 $false .sym 20717 KEYBOARD.ROWS_EN[0] .sym 20718 $false .sym 20720 KEYBOARD.ROWS_EN[1] -.sym 20771 I2C.SCLF -.sym 20774 KEYBOARD.COLS_SHADOW[6] -.sym 20851 $abc$56607$n2571 -.sym 20852 $abc$56607$n684 -.sym 20853 KEYBOARD.row_counter[0] -.sym 20984 $abc$56607$n694 -.sym 20985 $abc$56607$n917 -.sym 20986 $abc$56607$n712 -.sym 20987 $abc$56607$n916 -.sym 20988 $abc$56607$n1489 -.sym 20989 $abc$56607$n711 -.sym 20990 $abc$56607$n918 -.sym 20991 KEYBOARD.row_time[1] -.sym 21086 $abc$56607$n933 -.sym 21087 $abc$56607$n934 -.sym 21088 $abc$56607$n956 -.sym 21089 $abc$56607$n935 -.sym 21090 wr_cnt[1] -.sym 21091 wr_cnt[3] -.sym 21092 wr_cnt[2] -.sym 21093 wr_cnt[0] -.sym 21188 $abc$56607$n1431 -.sym 21189 $abc$56607$n928 -.sym 21190 $abc$56607$n929 -.sym 21191 $abc$56607$n965 -.sym 21192 $abc$56607$n912 -.sym 21193 KEYBOARD.report[3][4] -.sym 21194 KEYBOARD.report[3][7] -.sym 21195 KEYBOARD.report[3][6] -.sym 21290 $abc$56607$n971 -.sym 21291 $abc$56607$n1191_1 -.sym 21292 $abc$56607$n1189 -.sym 21293 $abc$56607$n913 -.sym 21294 $abc$56607$n1178 -.sym 21295 $abc$56607$n1181 -.sym 21296 $abc$56607$n1192 -.sym 21297 $abc$56607$n1190 -.sym 21392 $abc$56607$n1117 -.sym 21393 $abc$56607$n970 -.sym 21394 $abc$56607$n914 -.sym 21395 KEYBOARD.report[3][3] -.sym 21396 KEYBOARD.report[3][0] -.sym 21397 KEYBOARD.report[3][5] -.sym 21398 KEYBOARD.report[3][2] -.sym 21399 KEYBOARD.report[3][1] -.sym 21494 $abc$56607$n986 -.sym 21495 $abc$56607$n979_1 -.sym 21496 $abc$56607$n2459 -.sym 21497 $abc$56607$n1530_1 -.sym 21498 $abc$56607$n2461 -.sym 21499 $abc$56607$n2460 -.sym 21500 $abc$56607$n2465 -.sym 21501 KEYBOARD.report[1][1] -.sym 21596 $abc$56607$n1135 -.sym 21597 $abc$56607$n1141 -.sym 21598 $abc$56607$n1136 -.sym 21599 $abc$56607$n1119 -.sym 21600 KEYBOARD.report[2][5] -.sym 21601 KEYBOARD.report[2][7] -.sym 21602 KEYBOARD.report[2][2] -.sym 21603 KEYBOARD.report[2][0] -.sym 21698 $abc$56607$n757 -.sym 21699 $abc$56607$n1142 -.sym 21700 $abc$56607$n670 -.sym 21702 $abc$56607$n321 -.sym 21704 $abc$56607$n21 -.sym 21705 temp_output_report[0] -.sym 21801 $abc$56607$n266 +.sym 20777 I2C.FLT_SDA.out +.sym 20848 $abc$27697$n1228 +.sym 20853 KEYBOARD.RAM.last_we +.sym 20984 $abc$27697$n529 +.sym 20985 $abc$27697$n514 +.sym 20986 $abc$27697$n542_1 +.sym 20987 $abc$27697$n527_1 +.sym 20988 $abc$27697$n518 +.sym 20989 $abc$27697$n517 +.sym 20990 $abc$27697$n513 +.sym 20991 $abc$27697$n528 +.sym 21086 $abc$27697$n546 +.sym 21087 $abc$27697$n545 +.sym 21088 $abc$27697$n547 +.sym 21089 $abc$27697$n544 +.sym 21090 $abc$27697$n29 +.sym 21091 $abc$27697$n564 +.sym 21092 $abc$27697$n512 +.sym 21093 KEYBOARD.temp[7] +.sym 21188 $abc$27697$n521 +.sym 21189 $abc$27697$n1102_1 +.sym 21190 $abc$27697$n563 +.sym 21191 $abc$27697$n520 +.sym 21192 $abc$27697$n516 +.sym 21193 $abc$27697$n515 +.sym 21194 $abc$27697$n532 +.sym 21195 KEYBOARD.temp[1] +.sym 21290 $abc$27697$n519 +.sym 21293 $abc$27697$n555 +.sym 21294 KEYBOARD.ram_adr[1] +.sym 21295 KEYBOARD.ram_adr[5] +.sym 21296 KEYBOARD.ram_adr[6] +.sym 21298 $undef +.sym 21299 $undef +.sym 21300 $undef +.sym 21301 $undef +.sym 21302 $undef +.sym 21303 $undef +.sym 21304 $undef +.sym 21305 $undef +.sym 21306 KEYBOARD.ram_adr[0] +.sym 21307 KEYBOARD.ram_adr[1] +.sym 21308 $false +.sym 21309 KEYBOARD.ram_adr[2] +.sym 21310 KEYBOARD.ram_adr[3] +.sym 21311 KEYBOARD.ram_adr[4] +.sym 21312 KEYBOARD.ram_adr[5] +.sym 21313 KEYBOARD.ram_adr[6] +.sym 21314 KEYBOARD.ram_adr[7] +.sym 21315 $false +.sym 21316 $false +.sym 21317 CLK$2$2 +.sym 21318 $abc$27697$n1228 +.sym 21319 KEYBOARD.temp[0] +.sym 21320 $undef +.sym 21321 KEYBOARD.temp[1] +.sym 21322 $undef +.sym 21323 KEYBOARD.temp[2] +.sym 21324 $undef +.sym 21325 KEYBOARD.temp[3] +.sym 21326 $undef +.sym 21327 $true$2 +.sym 21395 KEYBOARD.ROWS_EN[13] +.sym 21400 $undef +.sym 21401 $undef +.sym 21402 $undef +.sym 21403 $undef +.sym 21404 $undef +.sym 21405 $undef +.sym 21406 $undef +.sym 21407 $undef +.sym 21408 KEYBOARD.ram_adr[0] +.sym 21409 KEYBOARD.ram_adr[1] +.sym 21410 $false +.sym 21411 KEYBOARD.ram_adr[2] +.sym 21412 KEYBOARD.ram_adr[3] +.sym 21413 KEYBOARD.ram_adr[4] +.sym 21414 KEYBOARD.ram_adr[5] +.sym 21415 KEYBOARD.ram_adr[6] +.sym 21416 KEYBOARD.ram_adr[7] +.sym 21417 $false +.sym 21418 $false +.sym 21419 CLK$2$2 +.sym 21420 $true +.sym 21421 $true$2 +.sym 21422 KEYBOARD.temp[5] +.sym 21423 $undef +.sym 21424 KEYBOARD.temp[6] +.sym 21425 $undef +.sym 21426 KEYBOARD.temp[7] +.sym 21427 $undef +.sym 21428 KEYBOARD.temp[4] +.sym 21429 $undef +.sym 21495 $abc$27697$n2032 +.sym 21496 $abc$27697$n619 +.sym 21497 $abc$27697$n2028 +.sym 21498 $abc$27697$n618_1 +.sym 21499 KEYBOARD.ram_adr[2] +.sym 21500 KEYBOARD.ram_adr[0] +.sym 21597 $abc$27697$n832 +.sym 21598 $abc$27697$n833 +.sym 21599 temp_output_report[0] +.sym 21601 temp_output_report[1] +.sym 21603 temp_output_report[2] +.sym 21698 $abc$27697$n1682 +.sym 21699 KEYBOARD.kbd_code[3] +.sym 21701 KEYBOARD.kbd_code[7] +.sym 21702 KEYBOARD.kbd_code[6] +.sym 21703 KEYBOARD.kbd_code[4] +.sym 21704 KEYBOARD.row_counter[3] +.sym 21705 KEYBOARD.kbd_code[5] +.sym 21714 $false +.sym 21715 $false +.sym 21717 $false +.sym 21718 $false +.sym 21719 $false +.sym 21720 $false +.sym 21721 $false +.sym 21722 $false +.sym 21725 $false +.sym 21726 $false$2 +.sym 21727 $false +.sym 21728 $false +.sym 21729 $false +.sym 21730 $false +.sym 21731 $false +.sym 21732 $false +.sym 21733 $false +.sym 21734 $false +.sym 21735 $false .sym 21803 LED4$2 .sym 21804 LED2$2 -.sym 21805 LED3$2 -.sym 21905 $abc$56607$n774 -.sym 21907 int_tmr[0] -.sym 21908 int_tmr[3] -.sym 21909 int_tmr[7] -.sym 22004 $abc$56607$n1498 -.sym 22005 int_tmr[10] -.sym 22006 int_tmr[13] -.sym 22007 int_tmr[11] -.sym 22008 int_tmr[9] -.sym 22009 int_tmr[12] -.sym 22010 int_tmr[8] -.sym 22011 int_tmr[14] -.sym 22106 $abc$56607$n246 -.sym 22107 $abc$56607$n1955 -.sym 22110 $abc$56607$n2618 -.sym 22112 init_ram_cnt[0] -.sym 22113 init_ram_cnt[1] -.sym 22208 $abc$56607$n734 -.sym 22209 $abc$56607$n733 -.sym 22210 $abc$56607$n243 -.sym 22211 $abc$56607$n236 -.sym 22212 $abc$56607$n237 -.sym 22213 $abc$56607$n240 -.sym 22214 $abc$56607$n735 -.sym 22215 $abc$56607$n239 -.sym 22312 init_ram_cnt[2] -.sym 22313 init_ram_cnt[3] -.sym 22314 init_ram_cnt[4] -.sym 22315 init_ram_cnt[5] -.sym 22316 init_ram_cnt[6] -.sym 22317 init_ram_cnt[7] +.sym 21816 KEYBOARD.kbd_code[0] +.sym 21817 KEYBOARD.kbd_code[1] +.sym 21819 KEYBOARD.kbd_code[2] +.sym 21820 KEYBOARD.kbd_code[3] +.sym 21821 KEYBOARD.kbd_code[4] +.sym 21822 KEYBOARD.kbd_code[5] +.sym 21823 KEYBOARD.kbd_code[6] +.sym 21824 KEYBOARD.kbd_code[7] +.sym 21827 CLK$2$2 +.sym 21828 $true +.sym 21829 $true$2 +.sym 21903 KEYBOARD.ROWS_EN[1] +.sym 21906 KEYBOARD.ROWS_EN[0] +.sym 21908 KEYBOARD.ROWS_EN[5] +.sym 21909 KEYBOARD.ROWS_EN[2] .sym 22487 $false .sym 22489 KEYBOARD.ROWS_EN[2] .sym 22490 $false .sym 22492 KEYBOARD.ROWS_EN[3] -.sym 22544 I2C.SDAF -.sym 22623 SCL$2 -.sym 22624 $false -.sym 22625 $false -.sym 22626 $false -.sym 22641 KBD_COLUMNS[6]$2 -.sym 22642 $false -.sym 22643 $false -.sym 22644 $false -.sym 22663 $true +.sym 22544 $abc$27697$n679 +.sym 22545 $abc$27697$n680 +.sym 22546 $abc$27697$n1292 +.sym 22547 $abc$27697$n766 +.sym 22549 I2C.FLT_SDA.counter[1] +.sym 22659 I2C.SDAF +.sym 22660 $false +.sym 22661 $false +.sym 22662 $false +.sym 22663 $abc$27697$n679 .sym 22664 CLK$2$2 -.sym 22665 $false -.sym 22742 $true -.sym 22779 KEYBOARD.kbd_code_hid[3]$2 -.sym 22780 $false -.sym 22781 KEYBOARD.kbd_code_hid[3] -.sym 22782 $false -.sym 22783 $false -.sym 22785 $auto$alumacc.cc:470:replace_alu$12041.C[5] -.sym 22787 KEYBOARD.kbd_code_hid[4] -.sym 22788 $true$2 -.sym 22791 $auto$alumacc.cc:470:replace_alu$12041.C[6] -.sym 22793 KEYBOARD.kbd_code_hid[5] +.sym 22665 $abc$27697$n23$2 +.sym 22672 $abc$27697$n1057 +.sym 22673 $abc$27697$n1293 +.sym 22674 $abc$27697$n1054 +.sym 22675 $abc$27697$n765 +.sym 22676 I2C.FLT_SDA.counter[2] +.sym 22677 I2C.FLT_SDA.counter[0] +.sym 22792 KEYBOARD.RAM.last_we +.sym 22793 KEYBOARD.ram_wr .sym 22794 $false -.sym 22797 $auto$alumacc.cc:470:replace_alu$12041.C[7] -.sym 22799 KEYBOARD.kbd_code_hid[6] -.sym 22800 $false -.sym 22803 $abc$56607$n2571$2 -.sym 22805 KEYBOARD.kbd_code_hid[7] -.sym 22806 $false -.sym 22813 $abc$56607$n2571$2 -.sym 22816 KEYBOARD.row_time[0] -.sym 22817 KEYBOARD.row_time[1] -.sym 22818 $false -.sym 22819 $false -.sym 22822 $abc$56607$n2244 +.sym 22795 $false +.sym 22822 KEYBOARD.ram_wr .sym 22823 $false .sym 22824 $false .sym 22825 $false -.sym 22826 $abc$56607$n1490$2 +.sym 22826 $true .sym 22827 CLK$2$2 .sym 22828 $false -.sym 22829 $abc$56607$n2572 -.sym 22830 $abc$56607$n2462 -.sym 22833 $abc$56607$n919 -.sym 22834 $abc$56607$n2231 -.sym 22836 KEYBOARD.row_time[0] -.sym 22903 KEYBOARD.row_time[0] -.sym 22904 KEYBOARD.row_time[1] -.sym 22905 $false -.sym 22906 $false -.sym 22909 $abc$56607$n918 -.sym 22910 $abc$56607$n919 -.sym 22911 KEYBOARD.kbd_code_hid[3] -.sym 22912 $false -.sym 22915 KEYBOARD.row_time[6] -.sym 22916 $abc$56607$n684 -.sym 22917 KEYBOARD.row_time[7] -.sym 22918 $false -.sym 22921 $abc$56607$n917 -.sym 22922 $abc$56607$n2571 -.sym 22923 $abc$56607$n2572 +.sym 22830 $abc$27697$n670 +.sym 22833 KEYBOARD.row_time[1] +.sym 22903 KEYBOARD.row_time[4] +.sym 22904 KEYBOARD.row_time[6] +.sym 22905 KEYBOARD.row_time[7] +.sym 22906 KEYBOARD.row_time[5] +.sym 22909 KEYBOARD.row_time[3] +.sym 22910 $abc$27697$n516 +.sym 22911 KEYBOARD.row_time[2] +.sym 22912 $abc$27697$n515 +.sym 22915 $abc$27697$n532 +.sym 22916 $abc$27697$n518 +.sym 22917 $abc$27697$n529 +.sym 22918 $abc$27697$n543 +.sym 22921 $abc$27697$n514 +.sym 22922 $abc$27697$n528 +.sym 22923 $abc$27697$n529 .sym 22924 $false -.sym 22927 $abc$56607$n33$2 -.sym 22928 KEYBOARD.row_time[0] -.sym 22929 $abc$56607$n1490$2 +.sym 22927 KEYBOARD.row_time[0] +.sym 22928 KEYBOARD.row_time[1] +.sym 22929 $false .sym 22930 $false -.sym 22933 $abc$56607$n698 -.sym 22934 $abc$56607$n712 -.sym 22935 $abc$56607$n713 -.sym 22936 $false -.sym 22939 KEYBOARD.kbd_code_hid[2] -.sym 22940 KEYBOARD.kbd_code_hid[1] -.sym 22941 KEYBOARD.kbd_code_hid[0] +.sym 22933 KEYBOARD.row_time[5] +.sym 22934 KEYBOARD.row_time[7] +.sym 22935 KEYBOARD.row_time[6] +.sym 22936 KEYBOARD.row_time[4] +.sym 22939 $abc$27697$n514 +.sym 22940 $abc$27697$n517 +.sym 22941 $abc$27697$n518 .sym 22942 $false -.sym 22945 KEYBOARD.row_time[1] -.sym 22946 $false +.sym 22945 KEYBOARD.row_time[0] +.sym 22946 KEYBOARD.row_time[1] .sym 22947 $false .sym 22948 $false -.sym 22949 $abc$56607$n1489 -.sym 22950 CLK$2$2 -.sym 22951 $abc$56607$n33$2 -.sym 22954 $abc$56607$n1897 -.sym 22955 $abc$56607$n1899 -.sym 22956 $abc$56607$n1894 -.sym 22957 KEYBOARD.ROWS_EN[14] -.sym 22959 KEYBOARD.ROWS_EN[8] -.sym 23026 $abc$56607$n928 -.sym 23027 $abc$56607$n934 -.sym 23028 $false -.sym 23029 $false -.sym 23032 $abc$56607$n935 -.sym 23033 $abc$56607$n936 -.sym 23034 $false +.sym 22954 KEYBOARD.row_time[2] +.sym 22955 KEYBOARD.row_time[3] +.sym 22956 KEYBOARD.row_time[4] +.sym 22957 KEYBOARD.row_time[5] +.sym 22958 KEYBOARD.row_time[6] +.sym 22959 KEYBOARD.row_time[7] +.sym 23026 KEYBOARD.row_time[4] +.sym 23027 KEYBOARD.row_time[5] +.sym 23028 KEYBOARD.row_time[6] +.sym 23029 KEYBOARD.row_time[7] +.sym 23032 $abc$27697$n546 +.sym 23033 KEYBOARD.row_time[2] +.sym 23034 KEYBOARD.row_time[3] .sym 23035 $false -.sym 23038 KEYBOARD.kbd_code_hid[4] -.sym 23039 kbd_report[5][4] -.sym 23040 KEYBOARD.kbd_code_hid[5] -.sym 23041 kbd_report[5][5] -.sym 23044 kbd_report[5][4] -.sym 23045 kbd_report[5][5] -.sym 23046 kbd_report[5][6] -.sym 23047 kbd_report[5][7] -.sym 23050 $abc$56607$n670 -.sym 23051 $abc$56607$n791 -.sym 23052 wr_cnt[0] -.sym 23053 wr_cnt[1] -.sym 23056 $abc$56607$n670 -.sym 23057 $abc$56607$n791 -.sym 23058 $abc$56607$n1899 -.sym 23059 $false -.sym 23062 $abc$56607$n670 -.sym 23063 $abc$56607$n791 -.sym 23064 $abc$56607$n1897 +.sym 23038 KEYBOARD.COLS_SHADOW[7] +.sym 23039 $abc$27697$n519 +.sym 23040 $abc$27697$n513 +.sym 23041 $abc$27697$n539 +.sym 23044 $abc$27697$n532 +.sym 23045 $abc$27697$n545 +.sym 23046 $abc$27697$n518 +.sym 23047 $false +.sym 23050 $abc$27697$n563 +.sym 23051 $abc$27697$n540 +.sym 23052 $abc$27697$n564 +.sym 23053 $false +.sym 23056 KEYBOARD.row_time[4] +.sym 23057 KEYBOARD.row_time[5] +.sym 23058 KEYBOARD.row_time[10] +.sym 23059 KEYBOARD.row_time[11] +.sym 23062 $abc$27697$n519 +.sym 23063 KEYBOARD.COLS_SHADOW[7] +.sym 23064 $abc$27697$n513 .sym 23065 $false -.sym 23068 $abc$56607$n791 -.sym 23069 $abc$56607$n1894 -.sym 23070 $abc$56607$n670 +.sym 23068 KEYBOARD.COLS_SHADOW[7] +.sym 23069 $abc$27697$n519 +.sym 23070 $abc$27697$n513 .sym 23071 $false -.sym 23072 $abc$56607$n1007 +.sym 23072 I2C.FLT_SCL.RESET$2 .sym 23073 CLK$2$2 -.sym 23074 $abc$56607$n35$2 -.sym 23075 $abc$56607$n964 -.sym 23076 $abc$56607$n29 -.sym 23077 $abc$56607$n932 -.sym 23078 $abc$56607$n962 -.sym 23079 $abc$56607$n963 -.sym 23080 KEYBOARD.report[4][4] -.sym 23081 KEYBOARD.report[4][0] -.sym 23082 KEYBOARD.report[4][6] -.sym 23149 $abc$56607$n968 -.sym 23150 $abc$56607$n1494 -.sym 23151 $abc$56607$n926 -.sym 23152 $abc$56607$n959 -.sym 23155 $abc$56607$n929 -.sym 23156 $abc$56607$n930 +.sym 23074 $false +.sym 23075 KEYBOARD.row_time[8] +.sym 23076 KEYBOARD.row_time[9] +.sym 23077 KEYBOARD.row_time[10] +.sym 23078 KEYBOARD.row_time[11] +.sym 23079 KEYBOARD.row_time[12] +.sym 23080 KEYBOARD.row_time[13] +.sym 23081 KEYBOARD.row_time[14] +.sym 23082 KEYBOARD.row_time[15] +.sym 23149 KEYBOARD.row_time[10] +.sym 23150 KEYBOARD.row_time[11] +.sym 23151 $false +.sym 23152 $false +.sym 23155 $abc$27697$n1103_1 +.sym 23156 $abc$27697$n993 .sym 23157 $false .sym 23158 $false -.sym 23161 KEYBOARD.report[4][4] -.sym 23162 KEYBOARD.report[4][5] -.sym 23163 KEYBOARD.report[4][6] -.sym 23164 KEYBOARD.report[4][7] -.sym 23167 KEYBOARD.kbd_code_hid[7] -.sym 23168 KEYBOARD.report[4][7] -.sym 23169 KEYBOARD.report[4][2] -.sym 23170 KEYBOARD.kbd_code_hid[2] -.sym 23173 $abc$56607$n913 -.sym 23174 $abc$56607$n914 -.sym 23175 $false -.sym 23176 $false -.sym 23179 $abc$56607$n2462 -.sym 23180 $false -.sym 23181 $false -.sym 23182 $false -.sym 23185 $abc$56607$n2465 -.sym 23186 $false -.sym 23187 $false -.sym 23188 $false -.sym 23191 $abc$56607$n2464 -.sym 23192 $false -.sym 23193 $false +.sym 23161 KEYBOARD.row_time[8] +.sym 23162 $abc$27697$n515 +.sym 23163 KEYBOARD.row_time[9] +.sym 23164 $false +.sym 23167 KEYBOARD.row_time[8] +.sym 23168 $abc$27697$n521 +.sym 23169 $abc$27697$n515 +.sym 23170 KEYBOARD.row_time[9] +.sym 23173 KEYBOARD.row_time[8] +.sym 23174 KEYBOARD.row_time[9] +.sym 23175 KEYBOARD.row_time[10] +.sym 23176 KEYBOARD.row_time[11] +.sym 23179 KEYBOARD.row_time[12] +.sym 23180 KEYBOARD.row_time[14] +.sym 23181 KEYBOARD.row_time[15] +.sym 23182 KEYBOARD.row_time[13] +.sym 23185 $abc$27697$n515 +.sym 23186 $abc$27697$n521 +.sym 23187 KEYBOARD.row_time[8] +.sym 23188 KEYBOARD.row_time[9] +.sym 23191 $abc$27697$n550 +.sym 23192 KEYBOARD.COLS_SHADOW[1] +.sym 23193 $abc$27697$n542_1 .sym 23194 $false -.sym 23195 $abc$56607$n1431 +.sym 23195 I2C.FLT_SCL.RESET$2 .sym 23196 CLK$2$2 -.sym 23197 $abc$56607$n35$2 -.sym 23198 $abc$56607$n947 -.sym 23199 $abc$56607$n938_1 -.sym 23200 $abc$56607$n948 -.sym 23201 $abc$56607$n944 -.sym 23202 $abc$56607$n945 -.sym 23203 $abc$56607$n937 -.sym 23204 $abc$56607$n946 -.sym 23205 $abc$56607$n1180_1 -.sym 23272 KEYBOARD.kbd_code_hid[7] -.sym 23273 KEYBOARD.report[3][7] -.sym 23274 KEYBOARD.report[3][4] -.sym 23275 KEYBOARD.kbd_code_hid[4] -.sym 23278 $abc$56607$n1118 -.sym 23279 KEYBOARD.report[3][5] -.sym 23280 KEYBOARD.report[6][5] -.sym 23281 $abc$56607$n1121 -.sym 23284 $abc$56607$n1190 -.sym 23285 $abc$56607$n1191_1 -.sym 23286 $abc$56607$n1192 -.sym 23287 $false -.sym 23290 KEYBOARD.report[3][4] -.sym 23291 KEYBOARD.report[3][5] -.sym 23292 KEYBOARD.report[3][6] -.sym 23293 KEYBOARD.report[3][7] -.sym 23296 $abc$56607$n1179 -.sym 23297 $abc$56607$n1180_1 -.sym 23298 $abc$56607$n1181 +.sym 23197 $false +.sym 23272 KEYBOARD.RAM.r_data[7] +.sym 23273 KEYBOARD.temp[7] +.sym 23274 $abc$27697$n520 +.sym 23275 $abc$27697$n522 +.sym 23290 KEYBOARD.RAM.r_data[0] +.sym 23291 KEYBOARD.temp[0] +.sym 23292 $abc$27697$n536 +.sym 23293 $false +.sym 23296 $abc$27697$n1675 +.sym 23297 $false +.sym 23298 $false .sym 23299 $false -.sym 23302 $abc$56607$n1114 -.sym 23303 KEYBOARD.report[2][4] -.sym 23304 KEYBOARD.report[4][4] -.sym 23305 $abc$56607$n1119 -.sym 23308 $abc$56607$n1114 -.sym 23309 KEYBOARD.report[2][5] -.sym 23310 KEYBOARD.report[4][5] -.sym 23311 $abc$56607$n1119 -.sym 23314 kbd_report[5][5] -.sym 23315 KEYBOARD.report[1][5] -.sym 23316 $abc$56607$n312 -.sym 23317 $abc$56607$n1113_1 -.sym 23321 $abc$56607$n1377 -.sym 23322 $abc$56607$n1213 -.sym 23323 KEYBOARD.ROWS_EN[11] -.sym 23324 KEYBOARD.ROWS_EN[10] -.sym 23325 KEYBOARD.ROWS_EN[15] -.sym 23326 KEYBOARD.ROWS_EN[12] -.sym 23327 KEYBOARD.ROWS_EN[13] +.sym 23302 $false +.sym 23303 $false +.sym 23304 $false +.sym 23305 $false +.sym 23308 $false +.sym 23309 $false +.sym 23310 $false +.sym 23311 $false +.sym 23318 $abc$27697$n667$2 +.sym 23319 CLK$2$2 +.sym 23320 $false +.sym 23322 KEYBOARD.ROWS_EN[8] +.sym 23324 KEYBOARD.ROWS_EN[11] +.sym 23325 KEYBOARD.ROWS_EN[12] +.sym 23327 KEYBOARD.ROWS_EN[10] .sym 23328 KEYBOARD.ROWS_EN[9] -.sym 23395 $abc$56607$n1118 -.sym 23396 KEYBOARD.report[3][0] -.sym 23397 KEYBOARD.report[4][0] -.sym 23398 $abc$56607$n1119 -.sym 23401 KEYBOARD.kbd_code_hid[3] -.sym 23402 KEYBOARD.report[3][3] -.sym 23403 KEYBOARD.kbd_code_hid[5] -.sym 23404 KEYBOARD.report[3][5] -.sym 23407 KEYBOARD.report[3][0] -.sym 23408 KEYBOARD.report[3][1] -.sym 23409 KEYBOARD.report[3][2] -.sym 23410 KEYBOARD.report[3][3] -.sym 23413 $abc$56607$n2461 +.sym 23413 $abc$27697$n2032 .sym 23414 $false .sym 23415 $false .sym 23416 $false -.sym 23419 $abc$56607$n2458 -.sym 23420 $false -.sym 23421 $false -.sym 23422 $false -.sym 23425 $abc$56607$n2463 -.sym 23426 $false -.sym 23427 $false -.sym 23428 $false -.sym 23431 $abc$56607$n2460 -.sym 23432 $false -.sym 23433 $false -.sym 23434 $false -.sym 23437 $abc$56607$n2459 -.sym 23438 $false -.sym 23439 $false -.sym 23440 $false -.sym 23441 $abc$56607$n1431 +.sym 23441 $abc$27697$n667$2 .sym 23442 CLK$2$2 -.sym 23443 $abc$56607$n35$2 -.sym 23444 $abc$56607$n2464 -.sym 23445 $abc$56607$n2705 -.sym 23446 $abc$56607$n2706 -.sym 23447 $abc$56607$n2702 -.sym 23448 $abc$56607$n1118 -.sym 23449 KEYBOARD.report[6][6] -.sym 23450 KEYBOARD.report[6][1] -.sym 23451 KEYBOARD.report[6][4] -.sym 23518 KEYBOARD.kbd_code_hid[1] -.sym 23519 KEYBOARD.report[1][1] -.sym 23520 KEYBOARD.report[1][0] -.sym 23521 KEYBOARD.kbd_code_hid[0] -.sym 23524 KEYBOARD.kbd_code_hid[2] -.sym 23525 KEYBOARD.report[2][2] -.sym 23526 KEYBOARD.kbd_code_hid[5] -.sym 23527 KEYBOARD.report[2][5] -.sym 23530 $abc$56607$n27 -.sym 23531 KEYBOARD.kbd_code_hid[1] -.sym 23532 $false -.sym 23533 $false -.sym 23536 $abc$56607$n1114 -.sym 23537 KEYBOARD.report[2][6] -.sym 23538 KEYBOARD.report[3][6] -.sym 23539 $abc$56607$n1118 -.sym 23542 $abc$56607$n27 -.sym 23543 KEYBOARD.kbd_code_hid[3] -.sym 23544 $false +.sym 23443 $abc$27697$n27 +.sym 23444 $abc$27697$n2030 +.sym 23445 $abc$27697$n2031 +.sym 23447 $abc$27697$n667 +.sym 23448 $abc$27697$n2033 +.sym 23449 $abc$27697$n2024 +.sym 23524 $abc$27697$n1675 +.sym 23525 $abc$27697$n1672 +.sym 23526 $abc$27697$n1678 +.sym 23527 $false +.sym 23530 I2C.received_byte[0] +.sym 23531 I2C.received_byte[3] +.sym 23532 I2C.received_byte[1] +.sym 23533 I2C.received_byte[2] +.sym 23536 $abc$27697$n1672 +.sym 23537 $abc$27697$n1678 +.sym 23538 $abc$27697$n1675 +.sym 23539 $false +.sym 23542 $abc$27697$n606 +.sym 23543 $abc$27697$n619 +.sym 23544 $abc$27697$n590 .sym 23545 $false -.sym 23548 $abc$56607$n27 -.sym 23549 KEYBOARD.kbd_code_hid[2] +.sym 23548 $abc$27697$n1678 +.sym 23549 $false .sym 23550 $false .sym 23551 $false -.sym 23554 $abc$56607$n27 -.sym 23555 KEYBOARD.kbd_code_hid[7] +.sym 23554 $abc$27697$n1672 +.sym 23555 $false .sym 23556 $false .sym 23557 $false -.sym 23560 $abc$56607$n2459 -.sym 23561 $false -.sym 23562 $false -.sym 23563 $false -.sym 23564 $abc$56607$n1450 +.sym 23564 $abc$27697$n667$2 .sym 23565 CLK$2$2 -.sym 23566 $abc$56607$n35$2 -.sym 23567 $abc$56607$n2704 -.sym 23568 $abc$56607$n1137 -.sym 23569 $abc$56607$n1121 -.sym 23570 $abc$56607$n2701 -.sym 23572 $abc$56607$n35 -.sym 23573 $abc$56607$n1120 -.sym 23574 $abc$56607$n2703 -.sym 23641 $abc$56607$n1115 -.sym 23642 KEYBOARD.report[1][1] -.sym 23643 $abc$56607$n1136 -.sym 23644 $false -.sym 23647 $abc$56607$n1142 -.sym 23648 KEYBOARD.report[6][1] -.sym 23649 $abc$56607$n1143 -.sym 23650 KEYBOARD.report[4][1] -.sym 23653 $abc$56607$n1119 -.sym 23654 KEYBOARD.report[4][1] -.sym 23655 $abc$56607$n1137 +.sym 23566 $false +.sym 23568 $abc$27697$n1675 +.sym 23570 $abc$27697$n2034 +.sym 23571 $abc$27697$n2026 +.sym 23573 $abc$27697$n1672 +.sym 23647 I2C.received_byte[3] +.sym 23648 $false +.sym 23649 $false +.sym 23650 $false +.sym 23653 I2C.received_byte[2] +.sym 23654 $false +.sym 23655 $false .sym 23656 $false -.sym 23659 $abc$56607$n305 -.sym 23660 wr_cnt[1] -.sym 23661 wr_cnt[0] -.sym 23662 $abc$56607$n312 -.sym 23665 $abc$56607$n2463 -.sym 23666 $false -.sym 23667 $false -.sym 23668 $false -.sym 23671 $abc$56607$n2465 +.sym 23659 I2C.received_byte[0] +.sym 23660 $false +.sym 23661 $false +.sym 23662 $false +.sym 23671 I2C.received_byte[1] .sym 23672 $false .sym 23673 $false .sym 23674 $false -.sym 23677 $abc$56607$n2460 -.sym 23678 $false -.sym 23679 $false -.sym 23680 $false -.sym 23683 $abc$56607$n2458 +.sym 23683 I2C.received_byte[2] .sym 23684 $false .sym 23685 $false .sym 23686 $false -.sym 23687 $abc$56607$n1435 +.sym 23687 $abc$27697$n357 .sym 23688 CLK$2$2 -.sym 23689 $abc$56607$n35$2 -.sym 23692 $true$2 -.sym 23694 KEYBOARD.ROWS_EN[0] -.sym 23764 $abc$56607$n842 -.sym 23765 $abc$56607$n744 -.sym 23766 $abc$56607$n746 +.sym 23689 $false +.sym 23691 $abc$27697$n1674 +.sym 23692 $abc$27697$n1677 +.sym 23693 $abc$27697$n1680 +.sym 23695 KEYBOARD.row_counter[0] +.sym 23696 KEYBOARD.row_counter[2] +.sym 23697 KEYBOARD.row_counter[1] +.sym 23764 $abc$27697$n26 +.sym 23765 KEYBOARD.row_counter[3] +.sym 23766 $abc$27697$n29$2 .sym 23767 $false -.sym 23770 wr_cnt[1] -.sym 23771 wr_cnt[2] -.sym 23772 $abc$56607$n321 +.sym 23770 $abc$27697$n1720 +.sym 23771 $abc$27697$n1674 +.sym 23772 $false .sym 23773 $false -.sym 23776 last_isr -.sym 23777 KEYBOARD.isr -.sym 23778 $false -.sym 23779 $false -.sym 23788 $false -.sym 23789 wr_cnt[0] -.sym 23790 $true$2 -.sym 23791 $true$2 -.sym 23800 I2C.byte_counter[0] -.sym 23801 $abc$56607$n673 +.sym 23782 $abc$27697$n1720 +.sym 23783 $false +.sym 23784 $false +.sym 23785 $false +.sym 23788 $abc$27697$n1720 +.sym 23789 $abc$27697$n1682 +.sym 23790 $false +.sym 23791 $false +.sym 23794 $abc$27697$n1720 +.sym 23795 $abc$27697$n1677 +.sym 23796 $false +.sym 23797 $false +.sym 23800 $abc$27697$n1682 +.sym 23801 $false .sym 23802 $false .sym 23803 $false -.sym 23806 I2C.received_byte[0] -.sym 23807 $false +.sym 23806 $abc$27697$n1720 +.sym 23807 $abc$27697$n1680 .sym 23808 $false .sym 23809 $false -.sym 23810 $abc$56607$n1113 +.sym 23810 I2C.FLT_SCL.RESET$2 .sym 23811 CLK$2$2 .sym 23812 $false -.sym 23814 int_tmr[2] -.sym 23815 int_tmr[4] -.sym 23817 int_tmr[6] -.sym 23820 int_tmr[5] -.sym 23893 i2c_input_data_type[3] -.sym 23894 $false -.sym 23895 $false -.sym 23896 $false +.sym 23815 $abc$27697$n1678 +.sym 23816 $abc$27697$n26 +.sym 23818 COM_DCD$2 .sym 23905 temp_output_report[2] .sym 23906 $false .sym 23907 $false @@ -9926,662 +8681,320 @@ .sym 23912 $false .sym 23913 $false .sym 23914 $false -.sym 23917 temp_output_report[1] -.sym 23918 $false -.sym 23919 $false -.sym 23920 $false -.sym 23933 $abc$56607$n1211 +.sym 23933 $abc$27697$n455 .sym 23934 CLK$2$2 -.sym 23935 $abc$56607$n35$2 -.sym 23938 $13\int_tmr[19:0][2] -.sym 23939 $13\int_tmr[19:0][3] -.sym 23940 $13\int_tmr[19:0][4] -.sym 23941 $13\int_tmr[19:0][5] -.sym 23942 $13\int_tmr[19:0][6] -.sym 23943 $13\int_tmr[19:0][7] -.sym 24028 UART.tx_activity -.sym 24029 last_uart_active -.sym 24030 $false -.sym 24031 $false -.sym 24040 $abc$56607$n667 -.sym 24041 $13\int_tmr[19:0][0] -.sym 24042 $false -.sym 24043 $false -.sym 24046 $abc$56607$n667 -.sym 24047 $13\int_tmr[19:0][3] +.sym 23935 $abc$27697$n23$2 +.sym 23938 KEYBOARD.ROWS_EN[4] +.sym 23939 KEYBOARD.ROWS_EN[3] +.sym 23940 KEYBOARD.ROWS_EN[7] +.sym 23941 KEYBOARD.ROWS_EN[6] +.sym 24016 $abc$27697$n2026 +.sym 24017 $false +.sym 24018 $false +.sym 24019 $false +.sym 24034 $abc$27697$n2024 +.sym 24035 $false +.sym 24036 $false +.sym 24037 $false +.sym 24046 $abc$27697$n2032 +.sym 24047 $false .sym 24048 $false .sym 24049 $false -.sym 24052 $abc$56607$n667 -.sym 24053 $13\int_tmr[19:0][7] +.sym 24052 $abc$27697$n2028 +.sym 24053 $false .sym 24054 $false .sym 24055 $false -.sym 24056 $abc$56607$n901$2 +.sym 24056 $abc$27697$n667$2 .sym 24057 CLK$2$2 -.sym 24058 $abc$56607$n35$2 -.sym 24059 $13\int_tmr[19:0][8] -.sym 24060 $13\int_tmr[19:0][9] -.sym 24061 $13\int_tmr[19:0][10] -.sym 24062 $13\int_tmr[19:0][11] -.sym 24063 $13\int_tmr[19:0][12] -.sym 24064 $13\int_tmr[19:0][13] -.sym 24065 $13\int_tmr[19:0][14] -.sym 24066 $13\int_tmr[19:0][15] -.sym 24133 UART.tx_activity -.sym 24134 last_uart_active -.sym 24135 $abc$56607$n742 -.sym 24136 $abc$56607$n747 -.sym 24139 $abc$56607$n667 -.sym 24140 $13\int_tmr[19:0][10] -.sym 24141 $false -.sym 24142 $false -.sym 24145 $abc$56607$n667 -.sym 24146 $13\int_tmr[19:0][13] -.sym 24147 $false -.sym 24148 $false -.sym 24151 $abc$56607$n667 -.sym 24152 $13\int_tmr[19:0][11] -.sym 24153 $false -.sym 24154 $false -.sym 24157 $abc$56607$n667 -.sym 24158 $13\int_tmr[19:0][9] -.sym 24159 $false -.sym 24160 $false -.sym 24163 $abc$56607$n667 -.sym 24164 $13\int_tmr[19:0][12] -.sym 24165 $false -.sym 24166 $false -.sym 24169 $abc$56607$n667 -.sym 24170 $13\int_tmr[19:0][8] -.sym 24171 $false -.sym 24172 $false -.sym 24175 $abc$56607$n667 -.sym 24176 $13\int_tmr[19:0][14] -.sym 24177 $false -.sym 24178 $false -.sym 24179 $abc$56607$n901$2 -.sym 24180 CLK$2$2 -.sym 24181 $abc$56607$n35$2 -.sym 24182 $13\int_tmr[19:0][16] -.sym 24183 $13\int_tmr[19:0][17] -.sym 24184 $13\int_tmr[19:0][18] -.sym 24185 $abc$56607$n1733 -.sym 24186 int_tmr[15] -.sym 24187 int_tmr[16] -.sym 24188 int_tmr[18] -.sym 24189 int_tmr[17] -.sym 24256 init_ram_cnt[0] -.sym 24257 $false -.sym 24258 $false -.sym 24259 $false -.sym 24262 init_ram_cnt[1] -.sym 24263 $false -.sym 24264 $false -.sym 24265 $false -.sym 24280 init_ram_cnt[3] -.sym 24281 $false -.sym 24282 $false -.sym 24283 $false -.sym 24292 $false -.sym 24293 $true$2 -.sym 24294 init_ram_cnt[0] -.sym 24295 $false -.sym 24298 init_ram_cnt[1] -.sym 24299 init_ram_cnt[0] -.sym 24300 $false -.sym 24301 $false -.sym 24302 $abc$56607$n842 -.sym 24303 CLK$2$2 -.sym 24304 $abc$56607$n35$2 -.sym 24379 init_ram_cnt[0] -.sym 24380 init_ram_cnt[1] -.sym 24381 $abc$56607$n735 -.sym 24382 $false -.sym 24385 $abc$56607$n734 -.sym 24386 init_ram_cnt[7] -.sym 24387 init_ram_cnt[5] -.sym 24388 $false -.sym 24391 init_ram_cnt[2] -.sym 24392 $false -.sym 24393 $false -.sym 24394 $false -.sym 24397 init_ram_cnt[7] -.sym 24398 $false -.sym 24399 $false -.sym 24400 $false -.sym 24403 init_ram_cnt[6] -.sym 24404 $false -.sym 24405 $false -.sym 24406 $false -.sym 24409 init_ram_cnt[4] -.sym 24410 $false -.sym 24411 $false -.sym 24412 $false -.sym 24415 init_ram_cnt[2] -.sym 24416 init_ram_cnt[6] -.sym 24417 init_ram_cnt[4] -.sym 24418 init_ram_cnt[3] -.sym 24421 init_ram_cnt[5] -.sym 24422 $false -.sym 24423 $false -.sym 24424 $false -.sym 24428 $abc$56607$n1220 -.sym 24429 KEYBOARD.ROWS_EN[6] -.sym 24430 KEYBOARD.ROWS_EN[3] -.sym 24431 KEYBOARD.ROWS_EN[5] -.sym 24432 KEYBOARD.ROWS_EN[2] -.sym 24433 KEYBOARD.ROWS_EN[7] -.sym 24434 KEYBOARD.ROWS_EN[4] -.sym 24435 KEYBOARD.ROWS_EN[1] -.sym 24464 $true -.sym 24501 init_ram_cnt[0]$2 -.sym 24502 $false -.sym 24503 init_ram_cnt[0] -.sym 24504 $false -.sym 24505 $false -.sym 24507 $auto$alumacc.cc:470:replace_alu$12129.C[2] -.sym 24509 $false -.sym 24510 init_ram_cnt[1] -.sym 24513 $auto$alumacc.cc:470:replace_alu$12129.C[3] -.sym 24514 $false -.sym 24515 $false -.sym 24516 init_ram_cnt[2] -.sym 24517 $auto$alumacc.cc:470:replace_alu$12129.C[2] -.sym 24519 $auto$alumacc.cc:470:replace_alu$12129.C[4] -.sym 24520 $false -.sym 24521 $false -.sym 24522 init_ram_cnt[3] -.sym 24523 $auto$alumacc.cc:470:replace_alu$12129.C[3] -.sym 24525 $auto$alumacc.cc:470:replace_alu$12129.C[5] -.sym 24526 $false -.sym 24527 $false -.sym 24528 init_ram_cnt[4] -.sym 24529 $auto$alumacc.cc:470:replace_alu$12129.C[4] -.sym 24531 $auto$alumacc.cc:470:replace_alu$12129.C[6] -.sym 24532 $false -.sym 24533 $false -.sym 24534 init_ram_cnt[5] -.sym 24535 $auto$alumacc.cc:470:replace_alu$12129.C[5] -.sym 24537 $auto$alumacc.cc:470:replace_alu$12129.C[7] -.sym 24538 $false -.sym 24539 $false -.sym 24540 init_ram_cnt[6] -.sym 24541 $auto$alumacc.cc:470:replace_alu$12129.C[6] -.sym 24544 $false -.sym 24545 $false -.sym 24546 init_ram_cnt[7] -.sym 24547 $auto$alumacc.cc:470:replace_alu$12129.C[7] -.sym 24548 $abc$56607$n842 -.sym 24549 CLK$2$2 -.sym 24550 $abc$56607$n35$2 +.sym 24058 $abc$27697$n26 .sym 24596 $false .sym 24598 KEYBOARD.ROWS_EN[4] .sym 24599 $false .sym 24601 KEYBOARD.ROWS_EN[5] -.sym 24730 I2C.SDA_IN -.sym 24731 $false +.sym 24730 $abc$27697$n1293 +.sym 24731 $abc$27697$n766 .sym 24732 $false .sym 24733 $false -.sym 24764 $true +.sym 24736 I2C.FLT_SDA.out +.sym 24737 I2C.SDAF +.sym 24738 $abc$27697$n765 +.sym 24739 $false +.sym 24742 I2C.FLT_SDA.counter[0] +.sym 24743 I2C.FLT_SDA.counter[1] +.sym 24744 $false +.sym 24745 $false +.sym 24748 $abc$27697$n1292 +.sym 24749 $abc$27697$n1054 +.sym 24750 I2C.FLT_SDA.out +.sym 24751 I2C.SDAF +.sym 24760 $abc$27697$n1292 +.sym 24761 $false +.sym 24762 $false +.sym 24763 $false +.sym 24764 $abc$27697$n680 .sym 24765 CLK$2$2 -.sym 24766 $false +.sym 24766 $abc$27697$n23$2 .sym 24847 $true -.sym 24884 KEYBOARD.kbd_code_hid[0]$2 +.sym 24884 I2C.FLT_SDA.counter[0]$2 .sym 24885 $false -.sym 24886 KEYBOARD.kbd_code_hid[0] +.sym 24886 I2C.FLT_SDA.counter[0] .sym 24887 $false .sym 24888 $false -.sym 24890 $auto$alumacc.cc:470:replace_alu$12053.C[2] -.sym 24892 KEYBOARD.kbd_code_hid[1] -.sym 24893 $false -.sym 24896 $auto$alumacc.cc:470:replace_alu$12053.C[3] -.sym 24898 KEYBOARD.kbd_code_hid[2] -.sym 24899 $false -.sym 24902 $auto$alumacc.cc:470:replace_alu$12053.C[4] -.sym 24904 KEYBOARD.kbd_code_hid[3] +.sym 24890 $auto$alumacc.cc:470:replace_alu$6034.C[2] +.sym 24892 I2C.FLT_SDA.counter[1] +.sym 24893 $true$2 +.sym 24897 $false +.sym 24898 I2C.FLT_SDA.counter[2] +.sym 24899 $true$2 +.sym 24900 $auto$alumacc.cc:470:replace_alu$6034.C[2] +.sym 24903 $abc$27697$n765 +.sym 24904 $abc$27697$n1057 .sym 24905 $false -.sym 24908 $auto$alumacc.cc:470:replace_alu$12053.C[5] -.sym 24910 KEYBOARD.kbd_code_hid[4] +.sym 24906 $false +.sym 24909 $false +.sym 24910 I2C.FLT_SDA.counter[0] .sym 24911 $false -.sym 24914 $auto$alumacc.cc:470:replace_alu$12053.C[6] -.sym 24916 KEYBOARD.kbd_code_hid[5] -.sym 24917 $true$2 -.sym 24920 $auto$alumacc.cc:470:replace_alu$12053.C[7] -.sym 24922 KEYBOARD.kbd_code_hid[6] +.sym 24912 $true$2 +.sym 24915 I2C.FLT_SDA.counter[0] +.sym 24916 I2C.FLT_SDA.counter[1] +.sym 24917 I2C.FLT_SDA.counter[2] +.sym 24918 $false +.sym 24921 $abc$27697$n1293 +.sym 24922 $false .sym 24923 $false -.sym 24926 $abc$56607$n2572$2 -.sym 24928 KEYBOARD.kbd_code_hid[7] +.sym 24924 $false +.sym 24927 $abc$27697$n765 +.sym 24928 $abc$27697$n1054 .sym 24929 $false -.sym 25043 $abc$56607$n2572$2 -.sym 25046 $abc$56607$n27 -.sym 25047 KEYBOARD.kbd_code_hid[4] -.sym 25048 $false +.sym 24930 $false +.sym 24931 $abc$27697$n680 +.sym 24932 CLK$2$2 +.sym 24933 $abc$27697$n23$2 +.sym 25046 $abc$27697$n29$2 +.sym 25047 KEYBOARD.row_time[0] +.sym 25048 I2C.FLT_SCL.RESET$2 .sym 25049 $false -.sym 25064 KEYBOARD.kbd_code_hid[5] -.sym 25065 KEYBOARD.kbd_code_hid[6] -.sym 25066 KEYBOARD.kbd_code_hid[4] -.sym 25067 KEYBOARD.kbd_code_hid[7] -.sym 25070 $false -.sym 25071 $true$2 -.sym 25072 KEYBOARD.row_counter[0] -.sym 25073 $false -.sym 25082 $false -.sym 25083 $true$2 -.sym 25084 KEYBOARD.row_time[0] -.sym 25085 $false -.sym 25086 $abc$56607$n1490$2 +.sym 25064 KEYBOARD.row_time[1] +.sym 25065 $false +.sym 25066 $false +.sym 25067 $false +.sym 25086 $abc$27697$n670 .sym 25087 CLK$2$2 -.sym 25088 $abc$56607$n33$2 +.sym 25088 $abc$27697$n29$2 .sym 25157 $true -.sym 25194 wr_cnt[0]$2 +.sym 25194 KEYBOARD.row_time[0]$2 .sym 25195 $false -.sym 25196 wr_cnt[0] +.sym 25196 KEYBOARD.row_time[0] .sym 25197 $false .sym 25198 $false -.sym 25200 $auto$alumacc.cc:470:replace_alu$12114.C[2] +.sym 25200 $auto$alumacc.cc:470:replace_alu$6064.C[2] .sym 25202 $false -.sym 25203 wr_cnt[1] -.sym 25206 $auto$alumacc.cc:470:replace_alu$12114.C[3] +.sym 25203 KEYBOARD.row_time[1] +.sym 25206 $auto$alumacc.cc:470:replace_alu$6064.C[3] .sym 25207 $false .sym 25208 $false -.sym 25209 wr_cnt[2] -.sym 25210 $auto$alumacc.cc:470:replace_alu$12114.C[2] +.sym 25209 KEYBOARD.row_time[2] +.sym 25210 $auto$alumacc.cc:470:replace_alu$6064.C[2] +.sym 25212 $auto$alumacc.cc:470:replace_alu$6064.C[4] .sym 25213 $false .sym 25214 $false -.sym 25215 wr_cnt[3] -.sym 25216 $auto$alumacc.cc:470:replace_alu$12114.C[3] +.sym 25215 KEYBOARD.row_time[3] +.sym 25216 $auto$alumacc.cc:470:replace_alu$6064.C[3] +.sym 25218 $auto$alumacc.cc:470:replace_alu$6064.C[5] .sym 25219 $false -.sym 25220 $true$2 -.sym 25221 wr_cnt[0] -.sym 25222 $false -.sym 25225 $abc$56607$n2707 +.sym 25220 $false +.sym 25221 KEYBOARD.row_time[4] +.sym 25222 $auto$alumacc.cc:470:replace_alu$6064.C[4] +.sym 25224 $auto$alumacc.cc:470:replace_alu$6064.C[6] +.sym 25225 $false .sym 25226 $false -.sym 25227 $false -.sym 25228 $false -.sym 25237 $abc$56607$n2701 +.sym 25227 KEYBOARD.row_time[5] +.sym 25228 $auto$alumacc.cc:470:replace_alu$6064.C[5] +.sym 25230 $auto$alumacc.cc:470:replace_alu$6064.C[7] +.sym 25231 $false +.sym 25232 $false +.sym 25233 KEYBOARD.row_time[6] +.sym 25234 $auto$alumacc.cc:470:replace_alu$6064.C[6] +.sym 25236 $auto$alumacc.cc:470:replace_alu$6064.C[8] +.sym 25237 $false .sym 25238 $false -.sym 25239 $false -.sym 25240 $false -.sym 25241 $abc$56607$n1471$2 +.sym 25239 KEYBOARD.row_time[7] +.sym 25240 $auto$alumacc.cc:470:replace_alu$6064.C[7] +.sym 25241 I2C.FLT_SCL.RESET$2 .sym 25242 CLK$2$2 -.sym 25243 $abc$56607$n29 -.sym 25350 KEYBOARD.kbd_code_hid[6] -.sym 25351 KEYBOARD.report[4][6] -.sym 25352 KEYBOARD.report[4][0] -.sym 25353 KEYBOARD.kbd_code_hid[0] -.sym 25356 $abc$56607$n28 +.sym 25243 $abc$27697$n29$2 +.sym 25312 $auto$alumacc.cc:470:replace_alu$6064.C[8] +.sym 25349 $auto$alumacc.cc:470:replace_alu$6064.C[9] +.sym 25350 $false +.sym 25351 $false +.sym 25352 KEYBOARD.row_time[8] +.sym 25353 $auto$alumacc.cc:470:replace_alu$6064.C[8] +.sym 25355 $auto$alumacc.cc:470:replace_alu$6064.C[10] +.sym 25356 $false .sym 25357 $false -.sym 25358 $false -.sym 25359 $false -.sym 25362 $abc$56607$n937 -.sym 25363 $abc$56607$n938_1 -.sym 25364 $abc$56607$n933 -.sym 25365 $false -.sym 25368 $abc$56607$n963 -.sym 25369 $abc$56607$n964 -.sym 25370 $abc$56607$n965 -.sym 25371 $abc$56607$n966 -.sym 25374 KEYBOARD.kbd_code_hid[4] -.sym 25375 KEYBOARD.report[4][4] -.sym 25376 KEYBOARD.kbd_code_hid[5] -.sym 25377 KEYBOARD.report[4][5] -.sym 25380 $abc$56607$n2462 +.sym 25358 KEYBOARD.row_time[9] +.sym 25359 $auto$alumacc.cc:470:replace_alu$6064.C[9] +.sym 25361 $auto$alumacc.cc:470:replace_alu$6064.C[11] +.sym 25362 $false +.sym 25363 $false +.sym 25364 KEYBOARD.row_time[10] +.sym 25365 $auto$alumacc.cc:470:replace_alu$6064.C[10] +.sym 25367 $auto$alumacc.cc:470:replace_alu$6064.C[12] +.sym 25368 $false +.sym 25369 $false +.sym 25370 KEYBOARD.row_time[11] +.sym 25371 $auto$alumacc.cc:470:replace_alu$6064.C[11] +.sym 25373 $auto$alumacc.cc:470:replace_alu$6064.C[13] +.sym 25374 $false +.sym 25375 $false +.sym 25376 KEYBOARD.row_time[12] +.sym 25377 $auto$alumacc.cc:470:replace_alu$6064.C[12] +.sym 25379 $auto$alumacc.cc:470:replace_alu$6064.C[14] +.sym 25380 $false .sym 25381 $false -.sym 25382 $false -.sym 25383 $false -.sym 25386 $abc$56607$n2458 +.sym 25382 KEYBOARD.row_time[13] +.sym 25383 $auto$alumacc.cc:470:replace_alu$6064.C[13] +.sym 25385 $auto$alumacc.cc:470:replace_alu$6064.C[15] +.sym 25386 $false .sym 25387 $false -.sym 25388 $false -.sym 25389 $false -.sym 25392 $abc$56607$n2464 +.sym 25388 KEYBOARD.row_time[14] +.sym 25389 $auto$alumacc.cc:470:replace_alu$6064.C[14] +.sym 25392 $false .sym 25393 $false -.sym 25394 $false -.sym 25395 $false -.sym 25396 $abc$56607$n1422 +.sym 25394 KEYBOARD.row_time[15] +.sym 25395 $auto$alumacc.cc:470:replace_alu$6064.C[15] +.sym 25396 I2C.FLT_SCL.RESET$2 .sym 25397 CLK$2$2 -.sym 25398 $abc$56607$n35$2 -.sym 25505 KEYBOARD.kbd_code_hid[2] -.sym 25506 KEYBOARD.report[6][2] -.sym 25507 KEYBOARD.report[6][1] -.sym 25508 KEYBOARD.kbd_code_hid[1] -.sym 25511 KEYBOARD.report[6][0] -.sym 25512 KEYBOARD.report[6][1] -.sym 25513 KEYBOARD.report[6][2] -.sym 25514 KEYBOARD.report[6][3] -.sym 25517 KEYBOARD.kbd_code_hid[7] -.sym 25518 KEYBOARD.report[6][7] -.sym 25519 KEYBOARD.report[6][0] -.sym 25520 KEYBOARD.kbd_code_hid[0] -.sym 25523 $abc$56607$n945 -.sym 25524 $abc$56607$n946 -.sym 25525 $abc$56607$n947 -.sym 25526 $abc$56607$n948 -.sym 25529 KEYBOARD.kbd_code_hid[4] -.sym 25530 KEYBOARD.report[6][4] -.sym 25531 KEYBOARD.report[6][3] -.sym 25532 KEYBOARD.kbd_code_hid[3] -.sym 25535 KEYBOARD.report[6][4] -.sym 25536 KEYBOARD.report[6][5] -.sym 25537 KEYBOARD.report[6][6] -.sym 25538 KEYBOARD.report[6][7] -.sym 25541 KEYBOARD.kbd_code_hid[6] -.sym 25542 KEYBOARD.report[6][6] -.sym 25543 KEYBOARD.report[6][5] -.sym 25544 KEYBOARD.kbd_code_hid[5] -.sym 25547 $abc$56607$n1118 -.sym 25548 KEYBOARD.report[3][4] -.sym 25549 KEYBOARD.report[6][4] -.sym 25550 $abc$56607$n1121 +.sym 25398 $abc$27697$n29$2 .sym 25556 SCL$2 -.sym 25660 KEYBOARD.kbd_code_hid[0] -.sym 25661 KEYBOARD.kbd_code_hid[1] -.sym 25662 KEYBOARD.kbd_code_hid[2] -.sym 25663 $false -.sym 25666 $abc$56607$n1121 -.sym 25667 KEYBOARD.report[6][7] +.sym 25666 $abc$27697$n2024 +.sym 25667 $false .sym 25668 $false .sym 25669 $false -.sym 25672 $abc$56607$n2704 -.sym 25673 $false -.sym 25674 $false -.sym 25675 $false -.sym 25678 $abc$56607$n2703 +.sym 25678 $abc$27697$n2030 .sym 25679 $false .sym 25680 $false .sym 25681 $false -.sym 25684 $abc$56607$n2708 +.sym 25684 $abc$27697$n2031 .sym 25685 $false .sym 25686 $false .sym 25687 $false -.sym 25690 $abc$56607$n2705 -.sym 25691 $false -.sym 25692 $false -.sym 25693 $false -.sym 25696 $abc$56607$n2706 +.sym 25696 $abc$27697$n2028 .sym 25697 $false .sym 25698 $false .sym 25699 $false -.sym 25702 $abc$56607$n2702 +.sym 25702 $abc$27697$n2026 .sym 25703 $false .sym 25704 $false .sym 25705 $false -.sym 25706 $abc$56607$n1471$2 +.sym 25706 $abc$27697$n667$2 .sym 25707 CLK$2$2 -.sym 25708 $abc$56607$n29 +.sym 25708 $abc$27697$n27 .sym 25709 I2C.SDA_IN -.sym 25815 $abc$56607$n27 -.sym 25816 KEYBOARD.kbd_code_hid[6] -.sym 25817 $false +.sym 25815 $abc$27697$n1678 +.sym 25816 $abc$27697$n1672 +.sym 25817 $abc$27697$n1675 .sym 25818 $false -.sym 25821 $abc$56607$n2231 -.sym 25822 KEYBOARD.row_counter[0] -.sym 25823 KEYBOARD.row_counter[1] -.sym 25824 $abc$56607$n2237 -.sym 25827 KEYBOARD.row_counter[0] -.sym 25828 KEYBOARD.row_counter[1] -.sym 25829 $abc$56607$n2231 -.sym 25830 $abc$56607$n2237 -.sym 25833 $abc$56607$n2237 -.sym 25834 KEYBOARD.row_counter[0] -.sym 25835 KEYBOARD.row_counter[1] -.sym 25836 $abc$56607$n2231 -.sym 25839 $abc$56607$n312 -.sym 25840 wr_cnt[0] -.sym 25841 wr_cnt[1] -.sym 25842 $abc$56607$n305 -.sym 25845 KEYBOARD.kbd_code_hid[6] -.sym 25846 $false -.sym 25847 $false +.sym 25821 $abc$27697$n1675 +.sym 25822 $abc$27697$n1672 +.sym 25823 $abc$27697$n1678 +.sym 25824 $false +.sym 25833 $abc$27697$n29$2 +.sym 25834 I2C.FLT_SCL.RESET$2 +.sym 25835 $false +.sym 25836 $false +.sym 25839 $abc$27697$n1672 +.sym 25840 $abc$27697$n1675 +.sym 25841 $abc$27697$n1678 +.sym 25842 $false +.sym 25845 $abc$27697$n1675 +.sym 25846 $abc$27697$n1672 +.sym 25847 $abc$27697$n1678 .sym 25848 $false -.sym 25851 KEYBOARD.kbd_code_hid[1] -.sym 25852 $false -.sym 25853 $false -.sym 25854 $false -.sym 25857 KEYBOARD.kbd_code_hid[4] -.sym 25858 $false -.sym 25859 $false -.sym 25860 $false -.sym 25861 $abc$56607$n1328 -.sym 25862 CLK$2$2 -.sym 25863 $abc$56607$n27 -.sym 25970 $abc$56607$n2237 -.sym 25971 KEYBOARD.row_counter[0] -.sym 25972 KEYBOARD.row_counter[1] -.sym 25973 $abc$56607$n2231 -.sym 25976 $abc$56607$n1121 -.sym 25977 KEYBOARD.report[6][1] +.sym 25976 KEYBOARD.row_counter[0] +.sym 25977 KEYBOARD.row_counter[1] .sym 25978 $false .sym 25979 $false -.sym 25982 $abc$56607$n305 -.sym 25983 wr_cnt[0] -.sym 25984 wr_cnt[1] -.sym 25985 $abc$56607$n312 -.sym 25988 $abc$56607$n2231 -.sym 25989 $abc$56607$n2237 -.sym 25990 KEYBOARD.row_counter[0] -.sym 25991 KEYBOARD.row_counter[1] -.sym 26000 I2C.FLT_SCL.RESET -.sym 26001 $false -.sym 26002 $false -.sym 26003 $false -.sym 26006 wr_cnt[0] -.sym 26007 wr_cnt[1] -.sym 26008 $abc$56607$n305 -.sym 26009 $abc$56607$n312 -.sym 26012 $abc$56607$n2231 -.sym 26013 $abc$56607$n2237 -.sym 26014 KEYBOARD.row_counter[0] -.sym 26015 KEYBOARD.row_counter[1] -.sym 26137 $false -.sym 26138 $false -.sym 26139 $false +.sym 25988 $abc$27697$n1675 +.sym 25989 $abc$27697$n1672 +.sym 25990 $abc$27697$n1678 +.sym 25991 $false +.sym 25994 $abc$27697$n1675 +.sym 25995 $abc$27697$n1678 +.sym 25996 $abc$27697$n1672 +.sym 25997 $false +.sym 26006 $false +.sym 26007 $true$2 +.sym 26008 KEYBOARD.row_counter[0] +.sym 26009 $false +.sym 26131 $abc$27697$n1672 +.sym 26132 KEYBOARD.row_counter[0] +.sym 26133 $abc$27697$n29$2 +.sym 26134 $false +.sym 26137 $abc$27697$n29$2 +.sym 26138 KEYBOARD.row_counter[0] +.sym 26139 KEYBOARD.row_counter[1] .sym 26140 $false -.sym 26149 $abc$56607$n2701 -.sym 26150 $false -.sym 26151 $false -.sym 26152 $false -.sym 26171 $abc$56607$n1471$2 +.sym 26143 $abc$27697$n1678 +.sym 26144 KEYBOARD.row_counter[2] +.sym 26145 $abc$27697$n29$2 +.sym 26146 $false +.sym 26155 $abc$27697$n1674 +.sym 26156 $false +.sym 26157 $false +.sym 26158 $false +.sym 26161 $abc$27697$n1680 +.sym 26162 $false +.sym 26163 $false +.sym 26164 $false +.sym 26167 $abc$27697$n1677 +.sym 26168 $false +.sym 26169 $false +.sym 26170 $false +.sym 26171 I2C.FLT_SCL.RESET$2 .sym 26172 CLK$2$2 -.sym 26173 $abc$56607$n28 -.sym 26286 $abc$56607$n667 -.sym 26287 $13\int_tmr[19:0][2] -.sym 26288 $false -.sym 26289 $false -.sym 26292 $abc$56607$n667 -.sym 26293 $13\int_tmr[19:0][4] -.sym 26294 $false -.sym 26295 $false -.sym 26304 $abc$56607$n667 -.sym 26305 $13\int_tmr[19:0][6] -.sym 26306 $false -.sym 26307 $false -.sym 26322 $abc$56607$n667 -.sym 26323 $13\int_tmr[19:0][5] -.sym 26324 $false -.sym 26325 $false -.sym 26326 $abc$56607$n901$2 -.sym 26327 CLK$2$2 -.sym 26328 $abc$56607$n35$2 -.sym 26397 $true -.sym 26434 int_tmr[0]$2 -.sym 26435 $false -.sym 26436 int_tmr[0] -.sym 26437 $false -.sym 26438 $false -.sym 26440 $auto$alumacc.cc:470:replace_alu$12123.C[2] -.sym 26442 $false -.sym 26443 int_tmr[1] -.sym 26446 $auto$alumacc.cc:470:replace_alu$12123.C[3] -.sym 26447 $false +.sym 26173 $false +.sym 26242 $true +.sym 26279 KEYBOARD.row_counter[0]$2 +.sym 26280 $false +.sym 26281 KEYBOARD.row_counter[0] +.sym 26282 $false +.sym 26283 $false +.sym 26285 $auto$alumacc.cc:470:replace_alu$6061.C[2] +.sym 26287 $false +.sym 26288 KEYBOARD.row_counter[1] +.sym 26291 $auto$alumacc.cc:470:replace_alu$6061.C[3] +.sym 26292 $false +.sym 26293 $false +.sym 26294 KEYBOARD.row_counter[2] +.sym 26295 $auto$alumacc.cc:470:replace_alu$6061.C[2] +.sym 26298 $false +.sym 26299 $false +.sym 26300 KEYBOARD.row_counter[3] +.sym 26301 $auto$alumacc.cc:470:replace_alu$6061.C[3] +.sym 26310 LED1$2 +.sym 26311 $false +.sym 26312 $false +.sym 26313 $false +.sym 26447 $abc$27697$n2031 .sym 26448 $false -.sym 26449 int_tmr[2] -.sym 26450 $auto$alumacc.cc:470:replace_alu$12123.C[2] -.sym 26452 $auto$alumacc.cc:470:replace_alu$12123.C[4] -.sym 26453 $false +.sym 26449 $false +.sym 26450 $false +.sym 26453 $abc$27697$n2030 .sym 26454 $false -.sym 26455 int_tmr[3] -.sym 26456 $auto$alumacc.cc:470:replace_alu$12123.C[3] -.sym 26458 $auto$alumacc.cc:470:replace_alu$12123.C[5] -.sym 26459 $false +.sym 26455 $false +.sym 26456 $false +.sym 26459 $abc$27697$n2034 .sym 26460 $false -.sym 26461 int_tmr[4] -.sym 26462 $auto$alumacc.cc:470:replace_alu$12123.C[4] -.sym 26464 $auto$alumacc.cc:470:replace_alu$12123.C[6] -.sym 26465 $false +.sym 26461 $false +.sym 26462 $false +.sym 26465 $abc$27697$n2033 .sym 26466 $false -.sym 26467 int_tmr[5] -.sym 26468 $auto$alumacc.cc:470:replace_alu$12123.C[5] -.sym 26470 $auto$alumacc.cc:470:replace_alu$12123.C[7] -.sym 26471 $false -.sym 26472 $false -.sym 26473 int_tmr[6] -.sym 26474 $auto$alumacc.cc:470:replace_alu$12123.C[6] -.sym 26476 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26477 $false -.sym 26478 $false -.sym 26479 int_tmr[7] -.sym 26480 $auto$alumacc.cc:470:replace_alu$12123.C[7] -.sym 26552 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26589 $auto$alumacc.cc:470:replace_alu$12123.C[9] -.sym 26590 $false -.sym 26591 $false -.sym 26592 int_tmr[8] -.sym 26593 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26595 $auto$alumacc.cc:470:replace_alu$12123.C[10] -.sym 26596 $false -.sym 26597 $false -.sym 26598 int_tmr[9] -.sym 26599 $auto$alumacc.cc:470:replace_alu$12123.C[9] -.sym 26601 $auto$alumacc.cc:470:replace_alu$12123.C[11] -.sym 26602 $false -.sym 26603 $false -.sym 26604 int_tmr[10] -.sym 26605 $auto$alumacc.cc:470:replace_alu$12123.C[10] -.sym 26607 $auto$alumacc.cc:470:replace_alu$12123.C[12] -.sym 26608 $false -.sym 26609 $false -.sym 26610 int_tmr[11] -.sym 26611 $auto$alumacc.cc:470:replace_alu$12123.C[11] -.sym 26613 $auto$alumacc.cc:470:replace_alu$12123.C[13] -.sym 26614 $false -.sym 26615 $false -.sym 26616 int_tmr[12] -.sym 26617 $auto$alumacc.cc:470:replace_alu$12123.C[12] -.sym 26619 $auto$alumacc.cc:470:replace_alu$12123.C[14] -.sym 26620 $false -.sym 26621 $false -.sym 26622 int_tmr[13] -.sym 26623 $auto$alumacc.cc:470:replace_alu$12123.C[13] -.sym 26625 $auto$alumacc.cc:470:replace_alu$12123.C[15] -.sym 26626 $false -.sym 26627 $false -.sym 26628 int_tmr[14] -.sym 26629 $auto$alumacc.cc:470:replace_alu$12123.C[14] -.sym 26631 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26632 $false -.sym 26633 $false -.sym 26634 int_tmr[15] -.sym 26635 $auto$alumacc.cc:470:replace_alu$12123.C[15] -.sym 26707 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26744 $auto$alumacc.cc:470:replace_alu$12123.C[17] -.sym 26745 $false -.sym 26746 $false -.sym 26747 int_tmr[16] -.sym 26748 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26750 $auto$alumacc.cc:470:replace_alu$12123.C[18] -.sym 26751 $false -.sym 26752 $false -.sym 26753 int_tmr[17] -.sym 26754 $auto$alumacc.cc:470:replace_alu$12123.C[17] -.sym 26756 $auto$alumacc.cc:470:replace_alu$12123.C[19] -.sym 26757 $false -.sym 26758 $false -.sym 26759 int_tmr[18] -.sym 26760 $auto$alumacc.cc:470:replace_alu$12123.C[18] -.sym 26763 $false -.sym 26764 $false -.sym 26765 int_tmr[19] -.sym 26766 $auto$alumacc.cc:470:replace_alu$12123.C[19] -.sym 26769 $abc$56607$n667 -.sym 26770 $13\int_tmr[19:0][15] -.sym 26771 $false -.sym 26772 $false -.sym 26775 $abc$56607$n667 -.sym 26776 $13\int_tmr[19:0][16] -.sym 26777 $false -.sym 26778 $false -.sym 26781 $abc$56607$n667 -.sym 26782 $13\int_tmr[19:0][18] -.sym 26783 $false -.sym 26784 $false -.sym 26787 $abc$56607$n667 -.sym 26788 $13\int_tmr[19:0][17] -.sym 26789 $false -.sym 26790 $false -.sym 26791 $abc$56607$n901$2 -.sym 26792 CLK$2$2 -.sym 26793 $abc$56607$n35$2 -.sym 26862 $true -.sym 26899 $abc$56607$n246$2 -.sym 26900 $false -.sym 26901 $abc$56607$n246 -.sym 26902 $false -.sym 26903 $false -.sym 26905 $auto$alumacc.cc:470:replace_alu$12069.C[2] -.sym 26907 $true$2 -.sym 26908 $abc$56607$n1955 -.sym 26911 $auto$alumacc.cc:470:replace_alu$12069.C[3] -.sym 26913 $false -.sym 26914 $abc$56607$n243 -.sym 26917 $auto$alumacc.cc:470:replace_alu$12069.C[4] -.sym 26919 $true$2 -.sym 26920 $abc$56607$n2618 -.sym 26923 $auto$alumacc.cc:470:replace_alu$12069.C[5] -.sym 26925 $false -.sym 26926 $abc$56607$n240 -.sym 26929 $auto$alumacc.cc:470:replace_alu$12069.C[6] -.sym 26931 $false -.sym 26932 $abc$56607$n239 -.sym 26935 $auto$alumacc.cc:470:replace_alu$12069.C[7] -.sym 26937 $false -.sym 26938 $abc$56607$n237 -.sym 26941 $abc$56607$n2575 -.sym 26943 $false -.sym 26944 $abc$56607$n236 -.sym 27055 init_ram_cnt[5] -.sym 27056 init_ram_cnt[7] -.sym 27057 $abc$56607$n734 -.sym 27058 $abc$56607$n2575 -.sym 27061 $abc$56607$n2707 -.sym 27062 $false -.sym 27063 $false -.sym 27064 $false -.sym 27067 $abc$56607$n2704 -.sym 27068 $false -.sym 27069 $false -.sym 27070 $false -.sym 27073 $abc$56607$n2706 -.sym 27074 $false -.sym 27075 $false -.sym 27076 $false -.sym 27079 $abc$56607$n2703 -.sym 27080 $false -.sym 27081 $false -.sym 27082 $false -.sym 27085 $abc$56607$n2708 -.sym 27086 $false -.sym 27087 $false -.sym 27088 $false -.sym 27091 $abc$56607$n2705 -.sym 27092 $false -.sym 27093 $false -.sym 27094 $false -.sym 27097 $abc$56607$n2702 -.sym 27098 $false -.sym 27099 $false -.sym 27100 $false -.sym 27101 $abc$56607$n1471$2 -.sym 27102 CLK$2$2 -.sym 27103 $abc$56607$n28 +.sym 26467 $false +.sym 26468 $false +.sym 26481 $abc$27697$n667$2 +.sym 26482 CLK$2$2 +.sym 26483 $abc$27697$n26 .sym 27189 $false .sym 27191 KEYBOARD.ROWS_EN[6] .sym 27192 $false @@ -10597,10 +9010,10 @@ .sym 27373 $false .sym 27375 KEYBOARD.ROWS_EN[12] .sym 27400 COM_DCD$2 -.sym 27429 $abc$56607$n1018 +.sym 27429 $abc$27697$n667 .sym 27430 $false .sym 27432 I2C.SDA_DIR -.sym 27459 $abc$56607$n35 +.sym 27459 $abc$27697$n29 .sym 27463 I2C_TRANS .sym 27519 LED4$2 .sym 27522 LED3$2 diff --git a/i2c_keyboard/hardware.bin b/i2c_keyboard/hardware.bin index 573b30aff2331a16ed9887d4f0e8404dc1354c08..a3498aaed4148052e3446693d0d0422e2541f9a8 100644 GIT binary patch literal 32220 zcmeHvf1F%JmG7y#x4Z95dL}nv5+`Ap)P!anam2<paSBLQT&06%KM(Gd;7=y z2)OHee=Iqlbk#YhPMtb+>eP>0_fDQ8dhUu(?z!R&5~&Z6S}RW7OvSB4Ge`&`{QGOe zBr1K^Mi@8M@PeB(PEx+$3J{#we%$)ty;wHoC3ji~TjGAYe z=}XU#omwlSYX~8XrP5TY;g+aeLoq6iwXTu;^$iP5r7$2Dc0q+x9ZN}Hj;id*vDQ^A zQm9oqxgfnfZwpvuO{6PICk?uKad&3J%6dgQNP<3gb6hOxAXH8bu{6d`USuGqrWCD? z<-Fn~LiH6xj*j%Pn)C$GR2`?mgy^q-!X$!qatR?PQ<)Uj<-L=r?5dM+M0)-tD(jsX z*NUxFOx6oXp?wM@d4|iVy#Ug|psY7TH|J_cSh>}r)~+E}(S}?govRxuxAd~PBn*5N zgNPCg`81;W#?nSUn)`f-(Zqr`5pA_aE~$ocS{|yZBWjd=x+2%pU6liK5jR{b%z^{> zVU#JXigqr&Yz-IH16PE<^01QfaZ&$@QWT@(GgYTji8A*D%!!~WUTnEYM9mW=VopEu zs+E3kA#u)x8okt5!^`|fOmIIP#KU8Ws!BzN8rUBRbbC5Mk=JZ?B5D~MsMf0yvgq}( znV}}?J=B17Dj4GeVf~W%$>{(fq`gHukN&`}J4285UPZ3FZb5zqdL@O%AinoLh z`et-B(}M_mQ14Jw^;mqj1}Hs+eC^I`)+ z;TOuhCQXeMm2F^FA@g^|D#4hwa=>D?09|+R_VW`gW^`3lnwaA<>6h5+RcNVn9FsM? z5xze<^w{i$5%;PBxf&};{M5$5?Y0x%d#uL16U8J*!^I9bLbhW#Xg7VEJ71>~W$>i| z+d@R#g@!vVu_)%7VOQ8muX7$F(Z32FqlVr4usD_4T`|@%cW9!nh-k>STzO@I^MRZ8 za;7*T>Y{xO7_v_a-9yVAvqbVkXigEW?&moi*QV)a=CY_u)A40OF(9C<)Wm(dsRyjm zG6SZKwd;)yDo2B*MgR6k5Qx_pcoO;w;MusOhYu1zl~Kbm4ttL+I$x*#+{mlrFGC5&Y90GN{lZ%l1faD=$2cjcwg=DG_up zGNVp`Fs!l$C;D+8^^tu4fW4Nz{ zBDk!1A6I4HA!8^?D-gkQ!!*ghi&31SREd3)ZzI!UO_*h7u)#TuxP^Nt{T_$E<-|A$ zTtQIuLg<7qm9`v1OA8k9E+oNC#}GOP$>z#>dH#yii(?3{X}kN;o$LlDXvhG;?{bCp zvL85ndFd(5BxvB8637I)NF3TOsU*P&oIgrJ&-wzoHZbj|-$jjL=lKPKV<9lNQA5UG z%$vhe_+?dZ=Qqk!q6feF^c`eoYoGcIcU*jM??KY=7bc{2mGth-?ZW!_iniTg)9eBg z8<#=j)9`F}chf;3UAXyM&MF6#3dLSuSt%rFTl{K!g;WDv;FV9~IUvkAzl*R@qzlYM zms^k4T!8`S8?Kx_F~V5~bN7-vNU8ZfY#JO9L;1AF^5l9>nVq462n~=?M8_A{^Xd~; zP|}j#@Sv+BOSBA)Lq)3Fa?*|uV=VIeU5FPK8&bFY9z5|rjGRU*e_UWk#)?VU7FBwg zmhk0D3Nk2Dv}`0BSs~itTzF|X-_az?2zosC-7usK^uA@d4IDuBVLLi(fv2q8*_2g$puoaZ(jV9G}hBPums zfaO`*;ZTi1d#3D@NeWSO6XU!b2h^VX24N(r)C*6Ewc9yRDj*S`iPg*Q)2t(fLglmR zb(Zx~+rhY^Y{rghAxG>XOz@8Q|Bum7>l=vsz`!u{)GTKiUopv zv;U^668?m|zuS;cgL5VNlWpH(%T+}R%owsnXBiR*Aun>nkd%ziZ!4U@CZ=xQO``)S zETH!l$W}EHEg`I(7@yRgZ5nwq6E)!9`5Q+;!F#^agNY{Y-iB#;?&f-58hQV8b{wE| z^T|*CI?y!mnd9$dy0&SMHA98?z0Ie^G|zAxvfTMxVG@wu*Z!3RiBIvUU`45Yf(X6G zOxuu?8k z)9%PMz3UqBh8m)4pPs11%GbyDzofRiDixL{++8C23cK z(^P@ekQzpjX_6Y*>E6zKNX)ycV9hsg`0NP2+9F$lnmGt$@@- zhAB=SMdtU5Yo7HqHC-+>90izeQ_6N}AS;E@MMQxz#hdQB9C7*3pCJ?P+}tx% zK@YqSGfug%)Cs&CGcG5Uj-U_Tz{dUxUNY(%<=X4++D43EKdT>LpkS!HML8x0Ch*!D3)G_rQ~umH0HdQo^!tde;?g{f?- z2xyh^%K@?XVvyouwv1Aij&QGM9%j5z_Aj@ryiH*>cN}eC;g6zwStY$}$e`y__Mcqghw)MMJ=O4DlS*<8eD8%zR!j6^Z9jNp;~- zsrBDPs?9%-qS(6Uk@{;F7yeoby~t?_hV9Ij2=K#!9akZ>oikG%%c88ojKE?hNi}y1 zU8_=opMX$wzFSYQ*wKElr*vk&j@NOn>#v2%h_T5a7^`q4v_oawMuqK=$Yu>LBD*Q7 zwX$ARl*xc&^|CI1DpAk2l%WC1=S&AGLF#fJDxrm+BQ&M!it337BnP-$fS$<143EMN zWS=F}L0?m(AU+O~c@j~{x&%Xyhf(pvabi_6O|Y^Hw2$Xo?4)0g;%Oa=B*#&Z=(xg> z5MX!{W26Rxu}VczV2OS1faXDejac27Mmf9F8w3$QEa-7Qw`x%0+xi8(d@#6SD$u>9 z`r&cqR!Hg=GFeT#H%FkQxWaNP$zY-qoQ*`QRQU|j!$ScWw_RxDkca%GCEhR6SKx60@!~zG7Mbg9yv^0w! zWQJJwl@jL($Z|!cChy8Sa2jc7I#*LxT;BcniIC0t)sC^4PwCXzU!_<1;`U)hQRDtu zUyfXfEw1Ufp>;bWk}7r05{*O3BK7AwU$%=xC0^LcLms`1F8k)Oc`7yByZ*CvX0l@C z8>H|7KRbltT%NjO`3VK)C7{|m5|v;r)zm6!&mVXS1?e2BO%AfZg$q*G9sNKAU%dix z=_istwIwKf-#!_IqhfFaqBks1DlnDeI>bY4P%!Pfc^qxKHb!-YwM4Tl`%2K_d56Fa zGnZn9)C7m_j-5_{ci-=9m#=>-tK7bEL!qH!B^a;bSai^N#h(rWyX=>*z{x1P|C|2^ z0=7G-QI1$V&VVa+eG8ddq8_Om9w#5Cm%NjYhxvJ3igYQu{MHhdghYVdWn#VJz--oC8SPmnlvPs8@UjMH@ zgOQ&x=Ujh}pS`p*^2s-`B!&k)OZ1nH+sI>g!?vGccpC2Oflwj{MCEo>YOOnO6nG{$ z>iVdQ*1Y%fnpF@lLl!&*H5N+E@(T9l&`sqHxNBLq=uQw7Ut+dYK<>ZsmN8Jre2%U0 z7oCU1GfA=Q*G2cl ztZdYFcm_0NN29 zKtv%^^1zRIcqB zJy7FIw*=dm^Xa9pgVV!ILpv5Xo%6Q9ZLE#~mna||_$Iyaeyu_?_>tia`VF+=qx+H~ zo6Gbtns9)Vkbx5OCNBbG+yTbV6w=zTple4PdGb?>|3PUcYmQk8D|sIHaNqPSPaFR|;;ZLYoIG z?Zqe=qC@D#jb+c7yi(uWloh7Jbz&?(vb`Q1Aju4mn{EcdcuWb>>W$%R%R5G9? zxlv;oAIta(+u7?E?v=jb5`JPgwCpz#MuO?>ebJH#$N7$?hqPYVoa<$#1tSrce&&$t zH8q;fb&;el(YnVcD$xa+rQ$+3=s>O<+Iplq_P==)s0cPMGmuzZpc#JLQ$ok(Atwi1 z$#)ql(&!%yUuR(-H?BzRYoYB9)tnjfUvj|GM+;3ls-7<&7B^-~ZF!XWT#k-!zCvk( zj+!~LF-W5(YFF+Be$@F>m>e4lHei}fd+Za1sW?8{aaKXwl4??^#!W$u1-z_$EJhK* zvkss&aq53=<)M`bbwE;WjrV<}HFIF>C08nq{9D_*n6%a>8Xbc}stUJVfA0OD;sc9| znxr(QY*|QKng&buaxE!#xj%hd!jSj8d%GjlR76`vd^pj0{84)hBpj+g|dj1Qk2b z@dysPf!(9P10Yx~{JKMNk9QzqCpXc&Guagj-@$uN2wpj~}Pf9uvgw1uNw$-HY4xs+E}6 zsxqh;5qo^S;py9vOKP|Elf)}`54(K<>(PGfJpnZ}ULs6LZDNyv6cjn=76ojR4wPTW z7Ig5d?-E;?>BJpoQiPydcW@L`i8&^Mm3Z!Hf9R4ZMcHHD!OLF^Y3ezSM?3`@)z@M~ zL;B*Lr&@3yaKUNAQ6dK|UgQ@!2mxkV)Hz(9DX-0bm|yez-MZQ|*2~=HLkM*iL|m@x zn~t~~yW6x-NiMq%?yZ3pxZBn-?d3*`L06{zs0fUng(t@I)Zq#bSC&K?%ha1= zY&93$7JZ?~Br0u){lD{cGR>dgLi7A0FHEA|S5wvD*~?&TdKh2wJOo%jIW$h=IhXLX z;S*L0n)F}_ukYMBAt|lqFi!_q#Sq?I;NqFLTDI1>wU~FN3bf3$=zuS4jM$Xmx+3RO z0SgLdG+&{bnEh^@tPK-J0-~9`j^&ixZ;x@jT9}we0}k;Cw=O?xV!z@O=-{GdIuRJ3 z4;rVEMa|eusm2Q=v=4V?zfBGHY~jUklE+1PA}cem(iT@l>4+@EmN}xDW)C(v!r$?u zc-$(5hB09WTGn)&X4(QSbbvD$)>chJgr^xz*+=3tK1vI*NPPyC3Y^5s`odZYBYwOF zqoPvrLgz`tLT-2*&NRSy7@iVi?hZm`*|G!>LB*H4(Uih*5E&Uf z6oQc**-)@?IcybF61=ZAvzao-42@atf=iL*wK=*@a+r8Kk`c=oIbTvfjROD}HNfX00Ib}>d5IHPd7$7<8jh@_*=olvPtgKyU{XkqjK-CU zVAG^xJZS;xM$?w88{g5EH8DYmL%Mb0O|D20J!U3K@w%t<}Z~#oca*Pj(4p!J_lh6)^ zmv7(mYp@l5b}D$^D;nT->yxX;%8bHBl>T;ltL7uZ)xr9HodRQ9MWyQa)Ed;!)t-14vHyb0aaEQ(;Ra6pv1NMX}b2;L0Ce7Jn ztdLFS6RoOvDKxFl%1xRJkNG91&OPKCC2qZz85ST@O??`6($U*u=9LHxap zykI#>DQZvl@$RLcxkj~+_m$4|5Y$0y)UcAP4EPEb$VUc}LGbp!@ec-CZrj(-<>HI; z#Bzgc=n)Xd%I==Wce~kv6aS`d(^b~ber^q`lygtML1TD=1w~NW+ka{h0pb49lGQV| zqdyO>hv$@m`e85@($eS(PZm zH&~4lSx3KCxbG_g?ZXGz_fuv&&|{uG8mWT|%A7ZT@JAc)%3n?=Y-o8{$+52~^NYkv z%%0U`pnmDkUw@TPD)n(H88i!(06*WcLzEeV^XSfxbKd-&tTZ?x1hA5wWPOQ8u~h>H zx!A%F#yI=trTgEGtb2@FjiH7wLnUn4t41qU?(KMbHzd60)DtQHl+!n&5eBPrrdYPJ zSh6&#ZC(8ghsmdw><^WspOnxEK<|jWz#=2)Af0?4lEn)@#_Ahi-GYOO14C3=5F&`+ z+hYQABc=aYhgcdm7TL_DpIQfj3RsmX!X0rd8pJ!OHF+Es?34oYw~Ds$M0_rsIhp}l32!Wwoc{1 z%%PQdrYEnzi*jPwDS;TG#>}Q=#)-CsI<7}$ER^s+LN*;fsH6yrcUcNW;O?V$lv z;m05@d~Y6EW-C0?_x48yOE{}~UErY0?D=#7Nfi*6gzxNI#8-RiMjA-p=wpqfxw!JR z>t!N{#yKv7ne+SC6w$GUX}$bj!)|K6sc}{cQKjC?)n;Gy`5{EuKw1~V{7dqy(SG!* zL?7~De5#vSg${_fKawx;b?2qaU|#mOaB}5-1%E|DSGOrwO$K6L8<81E?_4i~O#WPf zY6%2#*|{fz@U~k{mS5X7OxxgLf5`qQ5kj7U0(@f)EQeKAOgngM0~jzDKi#Vld{tlK z)isluz3jN>@Y)%DV8FOWT&d4e9D^VjGAj&cIIU5-xRd4s*UTVgQ%I zT9!Sz*YagC!&?=4Es7L5!D{NQ*?=|1oWqm`GmcyNlv^;MUXRJ7#l-z$=dm`Cq4<5c1U zvIt{cScktS^1g7O8!B)xL5yJ`G^n8QOHv@gxrI$|q@25N*l+>fV{0Hf`4&ks(}Ga_ zoVVxrrk~qhZFxZDRd{^i-4Zbu&qdhpHD)1EvZOsN=587LS(4gLp#*S_ndi#6oB@!y5 zcz5%5z28nOtCnK7*x^xxVxpno$kMK(!uhXO1c7=8nDSL*@w!3_Vyn#m0YYNR~jm-1bR9`^YP@rtX-~nh1=7aIj2gINg_}S&y z36gxexd2ATDjq|$K#Mrjy73IQECyJOSHOiFXG_b;vp#Wf7@EPRFqk{(2FxtHbJ2r{ zqy9MtqhA57n`|GL;o+}`z77LY?_5s!Gu)>4H>Gqe7^oOQ7P88HOkes?p+Gou(NGEA zj+li96Tko`JgA<009FRmPQOEg;gfp`o#BZ}qY8Y0;Y4u~;|~2VrOMSZoGDKJ`zk{o zmkloFfHP>D)+NeOk&isV9cz3VkAM}b#S=>r*MBLv72PchwfKKsW$ji`i%)7YQh1_Rm6<5^m6g%4WZ+aPCWA)Hw7A7;6V0E` zTHpa1oeQ z5VW!DdW=m2pz$;KgJfj!r~#V*c>1xW+PQZ^d6l8aFN4DR!xy7d1KuWhnv3PRcK1_^ zPY%kl{UyJ@d2)ezZl1h1>@%?7@KC^VM`w;a@9^BM6;?d?#0+D7HKkH-;d;_|Pk-N@ zkJO&mrjUm>z4HdAD6C@-Q1+L_I?f~nj(`^C@D6tv5Q+<>ABY`8j7caH0>W%9Wx(w!(aE9A+H5!GLJO{kff5q%NfcJ2=#J%lBSW>u#r_q&p-?|R$iI7G! z3m<$R3KpD6a5{<0TFb~3eiI=3uFn>6gdRCxqsV{B|1neUJuMH;K^bm`df3j>wv0{g zA{2nHTJ_{{@(6g2%jC-eDGa125Sz5R{8e!{3H;tr0yh&hgkonQM|$={9hi_9YM^e4gE=lOEZ-&8GEu_->eC6OxkBT~$$sk>@Ayd{YGm^exBG)y9&zKEtj!Rx!3#96mn_-PGurg#ie|4(+I#RQ1t^ zJ~%hIcTu*)^`q*g-4%IQ<~$QWbMf;-4OEY7xDj&orLHMwba@g`IX!^u2bOXw6_;9w zY>L}sRkgHKj}zn|A*aW+(LUq&;WN=U$RV+DQLj3F^QMhcqQqKNIEOWY?=xJ63F z6}Mce80T`+78=rQi)rZs&HCcFgmE$6$U`EoZNqwq5U*%bEDoVCz7!(wLr|r}skoDa z7~TpbbH@oXckaW$1!c;}LiI3qGXRzi&a%!!J$A(2Y`unaGM*@C!8kyaDlC>*KY)STRB3%vJ;p@^sGpjF8)uIRzN>GS|p955hI zu}Q782z$w%QQ4PJVv-M&riYPrbm6KC;x~Q`5J4Gf*QJrR4k*tNC9W#;GvVUy$1i4%1WjH`YJ;yhaxf*wj9@V zAet6u!0%SYc6NI}nF2JxN)t;X&|0SP#wC_+S0?X?vj(|Hk=fbOh1tWF#NC5RyV&m- zdwf9Zu;WR1U1=1H2-Xt&JPV4^T*^A#J98h#N}RKLjJ8U{Wd-QcB9HgTOynyar-BBf zy*z{D9PKTe{rEDoK>Z3M&VdL&JEj(R4=v zv@dSkt!v^EEc93*L+&ooqmQmaBi;k2JUC{U4=C7Fl;g&IY8(Zpx#6~ZVN%P^uh@J3 zwX}3InHjVd4)AP+iHV&`6WMII1-E-u1!NVq*m%9xt2Hu-a}w5g`6MQi@^pg4`7Lpt z=t=BK@gEziM@$@;=iOkN{|;{z7?OLCByLI>-~`)AMwBu55NO6 z#No~ZM_hEo14lgYqVT|x^T3N@n2&VwhzAbmff=%L9(ePc4<~q}?1%@Bc;J7o2acQv z{^ySEk)9p#zzg)i49}ehlAF{+alMn{yd3Ar4e?a@-^6mO;(T?SCpY6$)r-aG)iILX z6el;skH-9|>L>iy#B#}v_VF=|w}uuHxvBCa?K?jm@xSxKi!J`}+K}HDyx6CK8~h{b R5f8jbJuv@o{#m5y{{n&OgXI7K literal 32220 zcmeHv3wTwy6ghBOs!sHoj=I zR40HoR?vgA3Kj8CU-j0jv3f1H54(8?SgA(aDpjioe4w{#V|`S;TK~T_vrhtE{p!8n z|GWSH_sP;TkG0mUS!>Oj$DX~zdqnSD_%Ew3`~r!@m852i&z?=W#Y9yk1QGuKzYQNC z(szA?gIy&DOCPBqKZq;tCzd_L@d5o05%(%o zGbtd_q9_g_JMwt;uR1LPVGmI3d`Z#J_^){VW<#`dV^g#TTY+Zkm8}*LEA5$*OS#*; zpUm!Uhe~g^{SJwI=oQ1Aw{PA|1ije9;h(xO?KuG zry=^`67AFY?$34st?;ujUY-G;b5Z3vWk?j-5FpXG>s>WYv(<6X*e)Hi7K1DZ+y$ zJp5{9hk%;zhyNnd7q`|Vs+BZF&ICK!i;~WjjNLen#a_C4{q%@v+~iMpFp{VYKSOnJ ztAsQEh?-Ad_d==-mo&j}n)B5skODgLzN7+etfs&-j3@+No_x=g*RR*8j*~hL^=l;8 zNss4*5||7#rrBvwTmEB&zbLdY8PGuxsY&`vTHTtYf5d)<#io9&mXw@#k<+r=NX?e$ z^6eo}UkYXGR7D-vFPslU+kMs&CPRHrvo%Y2_KYwP5AXx39Jz!2Sm8evi*(#ARI#0T zQp_fFpmgIMTNMl!v&-LMoCPbGuCQgpX#>qbu#H>^Pvl!Jn8rh;@U#9;x6uH~RL+Tr z*s}1YJd1p$F3&gyt0mePs!bR}RFYL}lAwi_lF&iyOe+$aS>ct;LDrY+U%!>*$h$dp z04-TjKhRcWtYvxW@8rWUoE~(BgGqy{j>Vuyh=kCB)iTkDOl0fh5hofGF%`yo^!aNH z{G4UO8w1*J$(3k&@T?3g#?WS$Af3h(@4O!MYO;oRl&xpO+kfyA9zH-X`$=piT1+&m zJ_lpGH6W?f@*S|$)X0M6gOz=je~w0$pvoT7_>ZIr9=hy?2I*5fYit3nsX(VGTI?AQ ztqYVyuy`i1wp%W(Ab-p$JUk5joK(-&obkB=gJ#Wdee~7_R2mv0#$mWvB#B+iLY0bm z62ebFdQhWQz-j3tF0g}3WI8|zPqV zD4%9vcv<-scVC5C(>NV#c_~N$Ue$>Pc*SaILMrIZdq>ZgB#!=l6#EiP=sei~`9_IO zJNg`>DRXy?p&!>!wj^tL%AGI0f=@Eh^*2~v2hoCLru>lkut&a_M{5U)BH5ALFGJd^ zFziglHW978%7ki?)FrdHMkP5;lXNI+ndU`=ya-7nz4xQ}3h`QB=!|V_hbuo?TvtOhMRAL=G=7N9Y=9e}cBFWRq=mg6^Jx0^`Q#*$T?()iTYaEjCNlb3m~k_?)}r<2^yGthF(H;K-o zfmB0-geC|O8!zw$L{f4gX=L1A)uv}w3=nPDO#6jMQl>VlP7-b3t2L7-g3@m~8_0p&!7Z)HBn(54Tp{Z{rRs<5CyN>45 zz_Xa+%rFQLslDjTP~z-TXCUgd(|+24HMXQ5I*XINY;v08_0EAM{^$if*g3?dr1Q={ z4&_z9bM%%zGy`Ou;gra2CNEEKGOi`}a1W*6*=hu^S4e8ZSmWJ^cumu+f5mhTzboKk z@f{f6iUna9ses1ioJf&ZJR4~L-}%D2V+17?EoDsrK8cI6No~uae3hk$GyVT5{ zSn?c&$%`pDDc|99arjmfg0avL#_E$dv;1m|)7bhWnnGO9DA+nXKHb7)y7@hfDsGvb9V7I&<`X<&4@HVmL+yYz*C|}df zBJY~=W+4t0qN#sGH&DF6SG2~PWFP`y?qn@@VH0S#5@Bq1>Wv_jkF52uC)_so6uYV; z^wE4Y`I9vJ!@(g>I$9OKyslER-DROR#o)??F!Y_kL+b^FF942fV@MC9RtC7>^? z+Ce6b9bW?pC^-<+2CA5tMWq(MbdtJDaWIs*XK`eWqNEQI0kmFm*^3INkf(3Ec{JD< zJUtE*g37M#=Gwd?E<)D;QHoI@-Q;Z_P+SMpV>Sv+Z}vbd3Z_>SESBg1&V!j1J;+!~ zN*4Bi<4gOY!76A>zM95JMXAzN>?-N~9jRr6$d}FlrK_g}(Mbod&4<09;Y@kwwbLZc z!(wT;4)IhGehHzpwp*j7CT|EV1?SDzBTevMBT(=lWamsgO5~C?Po0H95i*g zPbFI>g&nSMU+lhwAd>1IMidwx4$CM?NB(jf_OxlA#i_+^*oM3_S)?fGi^!*jaXdDF zO!;9w*hLT~N!ya+#}B_$MX9w9FS-(p@ORcxPV7Q%nj@UgEkd`^14$T8C9nQgYPso` z)*6x~VM1T-`dwtXkd&4e>TO*9FlSL0xF-tfVFLg(DNZ#f(>AtYWKp;nTNcTg^IqV} zWLo{u%UC02O1UnGp7)+!i^HIy@~38Cy&Rhb8-u7jUL$L3)>L$66Ey)NCoF#+8SOTl z!h5S$n26~=``(;3xH8=vH7^VBLMeRL~N;mMB!O}Ybd*`Aiq^RW|vvzn^du0jg1 zwE?^tg>1-Ln?A0}bmbYRx7XE64OUyW4pPU#$#0wnk5;C ziVnMVz_L){Hk;$@WgLS0SFRXRyPtfr925m>>osGAP74hdTfU#YP6?1PTkbNE#h(8c z3MB~tbOrmCK}3*_10Qr7MnAGBB+}%dI-upmE)Hx9o-Op4ur}6W)6v!_jg|G%c zo^AYD1}7y$83`&$9SGDJst>_4WX=aO8sZcTRz{194LHrb&96Y1VT|0;fSJ@-Vsf}O z$}8`LKE|>x;4^f@d|Trr+3+$>2sFSW?oW@#FChc7#p)aet8o3i=R#B&3Uc-W9Flqu zSexU0yn5jU8H*Qzj8LdkH)1kcPpAjwW>El#16{W9v>Qm6 zAb^%L@^tu{eb7YU*1$U_NUQcDA2z!5#992z_8RNInNlrFkbAJ1)PsaWThnIl5Fo?d z=iSzYhm{|?# zM9F&~l4!jEx+dA(2NeN z-cB`Ve7g_q+dzd#%$Jyrv!vdhWtXggshFPidx;EFihN&N_6>8^ypDpRer+%utb1Sy z@*HF>?K&u~gKgtZ&cb9$w2&^wiyaKmn z86#1~1rvf8ELc7bM!rh8r(iVr-v&FVAE{IGk+}YcOtBx~3kJ=}o@K88ci|t2bfNj* zmHH3(qkljjB*)qf*8e{)s6pL$8Me?%@-AA6gPsXxh}fQ*VH{m#WBV}W&ct|z zVQm1i7TIbnN)}Qy+T%HOYvk&~gq}pUyaTtMR4uJYreRKTwt*)+K;SA@Tk!&~rASUs z7ezX{oHUSirJ;0(Elzo12*ag$g@g;WA#}Oh#BgMF^S9tgHBK|Gc+a>tXK&g#3d%%| zAx`Ugx6-as;YF-FZZ3F9m$vLEoX#`ps92Lzb10SsfwfS5YCCGGNF2W?XdVpJ)K2#E z@D&ASNgmJV3djx;G}@pfiy}2i`C=sCUjWuf{YmD>F~-_IloLV5$N~?I(3KZ3IfDD4r z?2{tED~dWQzhY6!xx7fL7TFIP`3 zEw

ta9ztD7M$u(UjTKILKB9I#KgU&_`hpsq$O)hvA z1FxnrRIKHt4l$G(LZ`!y2f{Z@UnchyCVcvGbs@o&I;&CBEK~%j-!310R|^| zP-GNv6AOx4mNXkzW2$|N!Ameju)&X~q;d?mMn%Ta1|={}=9NM=;W+Ix2B0_@5?SYz zIN=tmRwS|zY0wL zDI)?LpWx4|6^T+Y62p*i#Ym;pz90!?1{2q6iPvI-A}I@;G z>YBEtt>q~!wh*}imlTZW*T9PnK-GfZIfpT#;G__hn^oXRL2p%Zza?-K%QVW`+@ z2n8Qr%Wg64oCCaQ+(3k!pV5@~QsdX5D=D^KD@koZV>$t}JH-O`R9{)EbIwE-?Bz zN-kjZAwI1p!@7ERL56GFv*`(x;mZLSEdHtGvrvt+PSx7D^al@v@K~EQvl~mWrfIt> z^O5a~eJ3?^>+kd^ML7_YzaQvCM^}6?(mqYnIxwJvhPXthB1-a=>W{g+5M_*bYANAs zj?)aItYWP&NN#QE??k<#k5s|Cr2hmmhej7fTH=m*hXKL*M=PRH2S>>)R*`Z8oG2u5 zL%3c*B<`&r$xF_$4>#Go!KM{mL{D#hImeaCZz>5# z@G$3qHPFB!xE^{pAPrKuOrSBF?#^fNI`V;u6v0Uov!KMFh|FY&6bTZH8l`#3>)X%v zB9AEscE8ioXO@&1fMQz~;F?@lmQ3a@lZTwa6a_17N@v?kA8W_BQ?rPTVEwuY@S->8 zmk1~umwXA76`GbsFbLaBmb^AJH? z7dp@+%>gK`Nk&P{sP(_~OLgiJY=I8=j8sr*T8sg+yT|P3@IF;nRy@2N40U1~RJ3qh zdLX<6afz+V03q=iMuA24EYE616jgrTVv+nBBKh^S@!>BROJiPREk6}Li7kZWq|Y-M zP;nx(RFDMU(D_dTQ`cZcX0GqXY9_Wm5E&o={fB21O>2iQxt1fJ=4>9wVd`J@(?u8- zG5W0tcyud_&GbVN0_d+ZjSKn%h{-*VwcZIO)WskSB6wd5k zffwQ9Ke3^dZGGJ+%#+!7g&9;({1uX=KNU<+5&=_xcmCqj?x6#QT9 z=gEuGI@1b0Aw@nhQsaSdxf!J-ZOvl71Do*qh8WP`h9^XE^gNTRrQ)NMc!|l}yWwYn zinHMcRJpM~1saojYo|fHi`}UnCnH#q_YI)~S7nQ*-?dKD7!w@d>!V%!TVF(q&-@H% z4m1Qpq>9AJ4XphSzQJ9jCjBLYkr4K|$0xCQ|7#SVG&^wkDKzx4mf;&Ff`~B>+UHVA ztTB=$o^@igrh{3e@V#s)1&wcB)_?_4>@M#sFarg@f&qBF)$|sm(Pxl|s4bTZ8b$f9 z&o&6>*)K4gGT!AZQjq`68#Pg92;}%|0fy7ShMTcG(d?PwXk-}@FC0Q9l5^6xK$=&Y zqu+?x(XtKREJ44(Iz;}3vv~U80=F0IR+`fO87xxtQCe4b;h@~2R`EWB^X0Q^2Ed8! zt*i^Fc=}~H9YfVme$Vvub4)2eTSt_=`24SA;2wN<6V-2|ik`WCmcmx*`7u?fWa9BW zmb|V<=QsI<19m^Mf|~(en2*HUlthX(ydSPO`dq}k6bGH7fjfNE^GE^0jLhMAxdJcu zaKNw_d_V!0QqRt%$eup_HH%hEA|C8`#IbCZ^y94ALshijTxd@2Aq9$}3@(yfYP97q zaXCc%zTJa5l=49z1XLndDPlEU#P5%*r)VsZgM9E*M9S5T#iFwpCNAf<;)+$<1Jb!1 zKdPW7yv}7>Rrm|;rTR1^dq9okNoW|8|Gw2Y(fN{}Ft`!H*CW;lqU$qoRD`wCfx+1m zw6KyMSp-bAJjpr?+~F|~W0j|1Kv_=2q7_NzrPFpA49ATdP#=VnEPsDhrGW4aJO*nh zcMfYQg#BVh0l^^n@yXD&NY%O|pFGg}rG7$(CA+@6jydpz)MKz-(k7iJ;TfPJ@*DEG zVN|*LD;tcTPkVnh0-GAv(tZl@DWVUv5k=^m=-WF>@zKEQcWHk!1K;FCz=G3;1G=O< zEK`gNl7_RAOZRJ^0Ym3guCA_)D}u-kC^)8be59G99IC zA}Jmi2C+RdBQ%-x8u_)E-Li2Ol#G}m4Eca)VZddQ9?SmAclrl8-zhw{^EF8gXaT_S2l%*wQJ2)y2`CDO-+W7QA& zT#MG!6Pr)^Rlj64P?=PA5zawWXP5yTeiKI;-$cb=wj+qRJbojF8he$)!$7RtIP2fb zj}{D0WF3w=zh6LIK5m?_b20%cU<_qPv2Wa^>ToFMsj9BKcKl|h*bDa4IYWLYdwIH;#`<}*P?C{VSe1~eID-j3#D>7y9w+bRxt1TNP=^OWQGjaG$@U)a= zxb;AwhPtw0iqxowOu%uez_M)l2&xO{ zk!7e$D(?;th;Z~$N#cPO54!N?z!tx$0s|d1U-2wU+;^EHv0$J_<*6EI=mn{FtU=t$ zZ<+Z_3k=2x1%{4Ldc`(hem*LwN)Bx_31)c|+{x@&P^pt5G{Ds~sQF(p?|JJ3tGxcKor4M8?sFmv#A+q_jNNXU!fJfi%a`E7SzhtX~R*_qwE zUee?oZg&73)v|{a4bSxhX<^t`*Y~5~?R=iAO#;s&P_FJiXfj5oU%#^=))KA$ooH4N zPJSj!ha50bcZta06y2P&V(6U_!_E_DwwR&*s zm6MjQw`?}y;|?`Hz6IH^#X*QC6{z0aPa0_N*bc$DgRY$h&oDoY0!_6I1IDOnBor}V z^7a)ME#Kh78-9a?zjHNdO&ohh!S@g>btoUK$^*k=fn!22fM}zJ>2OLQu8wyvrgpUF z@alt0fW?OI%4E_81pJE|og%HJLz8>}&-{*)bV(P=5b4dY#<=vOA44#RlE$OHQ4t9% zw9~N%&?yP+X4&>&#HBF|&wO#owj8e7QAcc9$O;zX_Hn!Nct@T(8#KRRWxZvv-y+fM_(8E!4yRou}`Kt?-6AGC07yL-!W^Z}~RLd3DDLe86Y@Zvz+ z9ym{Q0Cz=?si(~2`Ln5Ol&pO#5@=y?$#vMdXnEi(kwHf7_?7M$py4ucsAk02bxy0L zG%nKnk$*6*W7u%7i+piktqLegX&+C5x^nmcOWxmW#Sgd+y{Wt-FQTBV z74K3E)kPyQ3X)9K4KhM3J@?(U)f!AGOuSKM1TIv`)Bj&}&1VNApp55_@6NK2uD%0Jj{>8OrVN zW;9MfCG1+%VG=ere10hAYt%)}rKPn71%)4~aK0^Y0MnXF4T;65EBth=u)U`_)CnT- zRfj-{Yufe;c!onAtLoyjSk)e4cB#Nz@a&-+~Te%yYYqLR{XFBefV> z9{FyRg%WDzb?ev>2aue4>(y(+G`)S!@uM(>owG!*pk?#86e}qntTPq?C!{u2Xk57*mp+RY2aLpU zDx$m7Ehu}PU5sKF^XiG+r3kRs7kIt1W?-uX^K>{OF|a#=n6_VCroGba9hsIX$)E8S2M}Pfu)k6_;0(8l$XJ9vF4mKUyr=P)agRS$s-`>)J@6T@P zS;ijY#*mQqdA&SVXvM;?5+HqN<+2MgB+oq7=Y|K$>xw%dw+DVp@E2uMO&Y{{LEexI9C*}#v)HZOv-U$%9j{!~~%N|eQ~ zvOlM(^0#NUYjiqx>w#Kxf$&!|9{FQ?oW~Sq(z95O1N;f+!d7f7a>V%s{K15skd(#d z+6^TQdl2yULj^L1vZrZ9nX%6rUiBf;0*0^j7%nAwCT$pjQs+nmk&3?Nj#c&%A8$`| zskp;1CkN4E!y~AA-_K_?D7=%sz05it#gw*71s`9~IaEJ-2VatQ{`2?-8OROauq%;* zNPGf;wT}l3Ah8b7qOj(H_ZjA<{PXD zAe3!Q$aHz|F8+88<0A4?@>d3S6u77xeo7`@H}keVeG9}))|7<(8zYD__?58+F%bLnQx7ZEy4Ne|>5h0JeX*A~apF7thUI60GTj6={m08r! zD)5ggYB98QRM*Te6HU4LU3*0#=##H+*`VNxr-cC(YE$~!QD46S^nS7_6Db{1U; z8MJagd_ktWpc_QG_5VB|-^%3hr}6i`I1>{fXow6MFe*4Nq6jZ6d$`V!erLsj9g55) zFL$wf>vmwF1%y&}6IQU2wcUE&0N-du#C%lgL2h~GsVe=+7dXb;%v`y zv4IUk$MaEBH*@Uv8P6hD{-2ACm9TBFSI|_%w&J0Zu$Ri8Cv*#>XX! zq}4gdVZiY4={*b@AwrQFz#Smp5WG6yw`+y-7!<{$2mdo98lO{WwA-HPLJBCa(HX1R zDp%)neRs$WMm=Y6!msH;%1}a5BO}8}3}w*LPhHcFs<-heyq*Sdm*^aiKU^zk)ux>B+5_xy~|aS23LU81Myt9 z+a>ZmR~)>2nf0X`k*H$$^NfZ_OV!xuB3r@}!#i=Iz`3v|Np#N<%V2EENg!Tf38|I8 zhfr_A*ZM7qMP@1XkOTwWeE_z-lOG#;)(XTFZIVMeaXY}}l;}gKp3n*`6#D~4m7!?(Y7DjmydQ82QWKBn*sn{9G^_1ElRe0C zB{lIFhm%x~`Vv5==q^t;YUqFEW7P=QIZ(;sJENyJCdMyBRHO2MzaSsa3~0 zc@S`aZIG(c{0@Lc0MhAe%IJph<|~b}Ho#GZ8M(O%XgDe;;jGfQxGWb+qmFD% z8U;j(vCN4hA&pHxYillPG5oF|^>hB);`X1O5n8MhNo5n=a>F<&?Wtc)=}MZAmZSx1pmq#VE(ht=A&$nY7)5@NVz-P5&F-2}1 zh5p9n6aE?27~1stD=h{89FonoP26VyYcwTgKXHP{<^YRmdv8 z(aALxY|q(HM>z)VO;|Lcz>ZZc5^sI@yo(V%g0wAwGUx!zo`Bw{-;DF6yy*;B3l_0G zr?RBL3*Q=K08_Fm!Z&_jxpR8tQO%+iy*4hrBV&;(92ZhdNGm+qjuj-r~5#(GXX=sBpyL@82~v=Gnj^0RbI^ z)NoOj(FhK1MU>Uj;n+NH3KHx~lrP04ng!YR7uM zFS7_`Yq$>RM#trG_G>n+@YmO{6p=hpSjwQ5_Xg{K8NAiAfDq3LUHr8WPtMygYT^` zZNTApZIqw8oYwH0gg)w$^trA=Nn{OI^R3}%#Vr|zx7JoibsMr;OHE?sYB38)ZvsSG zEe$dUf*oX64@g!8b5p@ml?5owTsImprbF3 zO5z=W^}msgP1ghvMlBL)m^`ujKUgjJvSt7(ymNZIs-I25l!jQBu#dMJ@K=BL8Z-dQ zk(}a2IKp)t7cti2)m*iYxFo4Vn)+5o^nx-t;v-LpV#5PHqQ5}BW8l_M>g)gT!g@R{ zvf+@@fCzxGR!er*x-`laBeqXFdzQ+a9DYx5PaNuacq8{*3=E*Qo6~o1OR3QR$;?&Q z=@9D}afCV!M7X@xOb688&hsAsmV;b^8fFWw4xC5`!Jni@zyboA_L#fHZfs{^h^SGT zhZmRv!|R|Wppz4ZaP&6(EjxsaOXlIVyYNDjKWOl# z2#s|kaOX73iRQ=ybx-|tuBWR8pkx+?E7TP9+yhn@&}qqG(+x&K+>T8ayRMx*XaaDz z>x$AZ;V^hDHJ>}K#dOhrPvG8VsbMXlK@0}DPh%$5ha1A)^oGW#={P8Nw{H@9jkfu{FtNYO?e@nTcQwumxz0pw&eN);Tw1i|1uvs1e6z_ z^HgFb)Yk~KHYPvO0Y{~bz&!4XMY=3dI0d7-kh+#T&HjZM+MK)Kl1Q~H<>Q~|pxndz6 zaXb2~H-9yv$Xx&WP8b)&OsrYoV4127f(UxOL0x!JvnT}FPz+YHxGW_$U4Czon7IU~ zpKaqQ-VS@R%rIk6q#`eZd%$|nGf-XfOE|Wl4M(9C3kfoSuXUR~D?;g$Z$L{;qYVDe z232s+j98>WkwIa-NMMBz=3@#*jgfm|_Kfg+0A#np*&>;)XxHdUMJvVT8%K!sh|S>aq(=SS zKQ3b|8BUae|3dU*qnX6(Bd|C0edUq{80S6y@600LLHuK`t=N-bxZ!c8(4>2Ig~k(O z=JZ2|T5SV>xdHh1h5me?W|2^#DV@Iz4f-CtJd3(_e_)Ws&_(U#V!*DKI96^vusi&8 zG`)PmmI(A^ONOJFa}cxp*Hm)Ga8%P0lmm6;77dnBFZCIVcF8C?9QryCr~DZjVBQ@% z+bHtJzt!XLWm7l9e5{d%e2bB`yp&&P&c(&)hLc1d6hX7z#$7A^$WUa+={*BhK_aBl z(IlrW6$byF%O#L8WdREs{6-H=e0mG63eA4s2Qwd8`41;3%sh_3#fLlsU0CoTvdfk( z$|5tA8X>aQc^QSZ1>cy|lCpH7ttO5JgHxvXBlU;AW`*kED9i!tNwAjU&k{0mlN9J` z>+sOfAu$FbZy}9#4CzhfmlxooM_+8kIC{t2!AOl?9qz56MTY;x60tzjGsnF0vcR+5 zF7INEF5gTu9uEa=V>Eg{_4;yU>qwgNK@KnBerPw@6(@x~8lugxw~xW0QLFbdH@K$h zY3ik}YW&+zm$I%hF>ZAa_`v)51zA{}J_sjY+c|ua$W?Gay}FpB@*a3{y$LoZMJP|a zkg(tA(p3>fkb!^TOX431#J~R&O!>O;sCw%af{tMVeM2KT>hLSL3{a$|+`ej5geTc_ z`v9I|KG&URJl0Q6N6lW;U-Tw6-LU_lNYdPS=4kl%tw=*_hI?I{+*-t`QQ2B;}(Bd1`L*gkNY%;SBrhwJ`a36Jy6A8@?jyM z_&iYbg1g=;)`s%petOgp%9iB&>hF8zaZhl2@ZiX@7rdX}KIiYjQQW7BsO#cFQBF~> zVqWBXf4zwRM|rXTV*BD_Qc;g}v4A4I$e)Vw#dAp^u74uVi|3=_eiNfKvCB7afeB7f0;MS4^$d|Y#P9{9Lgzt8S{9@ysrc%X{k zSMqsaAMEqMJ`a3+J+SXQ@bMk{eU|U@K`#Zt-C$E6sS$txV2lfb$bP?^<}%UFD_cw{=Uzgb8c<| zSldtc_m4c9nP;BonP;AP=9!l>=UlG&hfUXfh(zKRQj5h0S5jd$(I^sv2><`JfmQm$ zmAYYZ1a-JfxfBVP%Rpph;ZNajyGz5Z(yZ4ekyC1z@V3B|rP3jm2{X-^;)KZ9^v~)@ zR3q|QV2cM!3=@4Sv2xA$pwt$x4CVCUs%?#7)uTaCmJ>N6 z#D`LE2pz)mbN!euN<(B|ZF;yKRRy9RCK480I7XG}MaXRE2#ZC^m+6eOFRD<9W;6Bu zA>Xt89+FLM3x*07i&YXOM{p`sGN(FHIYKI>DF6wkMzGkdi?AGY9+8RZ)ByjRatTNm z4Ti-8GOt3tqC$n77Nz$-R8a=%M@1c~RAJF2az0k!u}FwBFQ8&Yktjc>!ZQuznwZ-F zq+9?lR0(=x$XDPrT%~~AFm7B2xi{#WilPWc2u4u`k@^ZTpn7=m&?Qx74Pj-HMT|o~ z>LIZ=D3gQinWSCvXb-pF3^SSK3#y)mTq-U_Fr~zk=bV(`3>{@?3wgzOFim2d+G2PqRbpNm;yGU?#p5;3)bUVo7^N?(n~0eFP^Y`;qilrjjK z_V77HF7iM{UT6R2T@IN_NUYfcijIby!bLy?W8+b9#rEq$eAWD*vT|Kni?pV3QH5+VcOARgxYdN!Tb{jFJTxDwTmS57`e(RcZLHF; zmEb*`LQ*kk!1+`tDc*ay{yK8t@;$;Aii;6sBG(UAxNVTI`F#Zg9y^U?ck$SWy(V%5 zYhOTFG+Q$x5B3XF7t zFT|=#Q(G6seR8;aO>JOX;C(#6NQj5OVn`b`x2_Pl%*n7yl6w_Y+PY|iLDhWzYzbPE zEX9!^4Rp?Uw`MlLP1{URCsvPr%ov3(}>0a^)ZT;A<#1 zQlmmA{VJ4$6Vp>P$G|9IGFXp-_CJQ4g+415LGoYEDOk#Uu@BV^iS$F{DRNs;4MwA` z!z$&J(_Ul(QC(o8*6R={qfnlDFKM3{FcvC_PQ3XX&MYK-u*Al{DS~5GTx!<~V|#;1 zB~E)$Wl>^FoZSvP>nG2m$%a$^I53C;cL*xMP5$cwTSg-1+YzVtz4l>E^e*j?fZYjV zUy_jhFOp$JsPvfj=Z_75~T#{Hg9x%(nP17t_?_lPS!*p zd{Jo50Zzb_s>Fj(Ty!q^d=8o`z{zlnMA|8FHriSOk9{)FMKU&pn>uPRW4JV$>ZT*B zP8CYXh!#(017aw`^FPjnr6SQB`hYZMVzY9q6|J0=7O@;5E@^x;Az|Qj%>&o<(=N>O zuVxnnD8`^EMb2@kSw~Wf637Va>W@oI1oxA_P`1BT)}>ZW{o(#%W005J%l4JnzR6<) z$Ve-PmLwW;Z9$cZd}bko^_F0Ii7@(3zIf68-gv6+n z$r!J}Nfrt*2g5~_kA3+lACNx4o$Z?KV`WHPk;@NB@+g;&Zw9p(YVK{7B(`;a*9`|U zVbCl6Nr}+Az z>)I{o@G?2Guy-hiuzZ@h9;J4^x8Rd-YQM*eLM#1oz_P-#p=^lcYLRcI)3~($62a2H47goSSYDuxunW8kpzwKAMEe>OC6v)Gdo-U=G9lFcUan34L;Z7mA`5 z+dg^k(w3Fs)l^X6jh#sehpN!|z~7g6(RFmnVEw`(G&veNYbBq!@@X8cHl`@;8RutR zdCoi+ zYBKAmgXir0fMH@A7Vxfq?Bm|I-9_uWE9H7=9 z!5XRF1cK%PGo6cY92uYa{mB{uIndB2?RJZBL4#iDDxVPsv3+cStiHBUYf2|G`RHh1 zKqRqX`U|kQgK=tKc{;X2Q~2(^FivFW5H(u*wdCi?+tuLbj4e}=60fjG-F}V5AbC-q zy{JI3jehisPgR9-2qI^mMgL17{K%hK8blEt7*mAk7~QZo7Nq1Oe$Lkk>V`vvqCEQ% zI@AAT;3!E~!#PYrUHJm5@A`#;Z#;c0G@^A9Mes&@`(|t?FNCSY@4%?(c`AUS`4@LX z5unN+#C9U|)b4L{5ujUVTPq*>5qr&>auepUJ!CO6pkxWIH;k7!aYe4S>3ho|q82UO zrUlq*6%YJ20Ix8BzdN_87vBxUVaZIv#IZbk?CV+mOz6^ zRdpw7Mij3$f-!PCpUhDY6^!A^vzytbWo?#)SX`=oP#KDJ>JC36i)@M_njy9_Ly{d7 zoGBHiq8)MIo&PPU1;x8qV*9au0qSt)0RjHj%)~ar_yvQPQ8Cd*p5&!*X6G50f00=* zme1!3bSyOP&F`OIMZ(XJ6QEu;X+U-Gm&zl7?3&I-YjalUYr>XBr+51_Bc)j-!)JE0 zy%`+&!+y_oXTA_(voo&TyUnVEM6QU0VZ+R@=cgl}&@e5mLT|}5U65xk<{&1gh4OV% z_Ac>XP}%tSk3n}yHIx)oXzmjCD}c(d21)sWFIY4Om6}@4a#hF~3^T7kY#VCw=jYg2 zHTZ*P#}>KQbDu!$v#@mVtqiX zsda3j$ixr=WE(mgR9H$qM@t;uE7*P<*JGnVU=+g>dXVUIKd6QXCHPQ9lUG?QMhSk7 zdMAS&A?rN$g64$11kg793*7U6pwgQ1*GVGl{yNJ3JT2w`@dGJVB;0)tkgg=u3`&GC zWdko7u1e+*?o(GIB3;vwJUiYJ>Twccl`Xnr4jsG(A6u}nl_)w3A6yF5sxHZ`Ixikl zB4TtIt8!<<77*BqLqR?DNlIs_BY!A~G96aBnoRkER167Wg_cgy(7?NT>tXHV zxPuYblt)|+Gb$EDt~;&DI$yf!_SO|oR{Rhv^o-Ww%^L?Ro(Y`HR;tepZY|^ zC}%pxu4F4+8n;xbtgBNSag-baJ%mzr;^TH!Pk1a)TIzmgDNaU0>yoF}B67t|rDeE~ zoxQow3`qnP6CU`xc!r5HE}t_mP3<$DtgsTpgTV*^_{CRvIL>w?Rw{Z_JeS%y81snx z*+8q20t{s@xpS1H#K!TD1sK68FJtzEEZ(yTzSJxSTrK+STEyiQPr}N(D7)YxV;Nz> zrzJSr7D{w1Z`)O0`JUl29|VnJ%z}#YFw1k56+eDf8KMi%iP{<_F|5#tISyD1Q^U4~ zamxkVTVsv~ST^#IGi5r0^^u^W1XR!}1QG7&Dnp$K)zd}VMdmwr41ffCgK>d8J1F>7@%#8P=UjS&`={=%-w-C~4Qu zXNr}~3f##CToQLQ8-rF)yy_H`GE6!I6gF%jRZY9j+*;&YmIy?);=P{&5pg*lRzl+9 z{~a}~|TY<8tFy9t((Ck3q-Xq+yl?p~*xj!IwaDkKenDDRZAG z8qTo=1{Cm;!=P;%tx6eg(eOi42(r_2DT&u#+Ln1uLgb7hJd+Ep{UDwzcxtr*t+X~? z8^WoCCqxNlQ#;S+?aYE!$U4xlFt!}}h`S`<{cU$d6Oy0X7-q1k3_hC;xFOwqRsche z{{@#&#Uoakbn-*-Y~4;L-CyL6C$HjuONm6GXkWM5+$Qyy)iuqZs1L|{aPf=QO1Rjn zBuA$s|KJ+cj(*Q?*_U$H)Gfx=794VlCWqk-lA`T;kbQVo^J76BxySsFZBg8)Mw(v2 zckV-Ah#tT8u4Sa<()plTzYi#)9e*p@+pu)973@&0$HSv=mBYT&$@k22sF$>^H#t&}xQe2~ zp(}JE&#!w{{J3oyoi66K`U*N3pdq0A^msc%|7YCXhY{b*ajEI@!1@P*vJd;$YU^M#I8vh8UO@MSE; zu<~PpBANL@3mk>J3fs*{CFst}ft8{`vF}E#=ccOg5DY_HmaSx!MOyMwJUrFymn~;s zb$uVb$9f4XZ4Jy~t7>Yf5UF#)BS=jRy|0h5Q2JRLmzJ%N%Dfkuo$SOa-UiY=M>99y z%(ExMckfWCC>hi<8K9ES-S%au)b#2cjsCQJ)KbYYEaxTLh8DNYD_cX z&fQ{{3MmRGYRsdT1D97JybOAmcm$X9we)%hJ#mk}Bp}y-F&-+>vn9Wp#wD(uUN&hO z&y<%IkX0g8Cdoxf9kouMB3k4h)6IQ- zbkt&0;)?WRaJ;ttatHNH!jk}i>k@%+s{WZ^z>@R>@Gyo}g3v zQ4cx8?A}7b)h%=$Zh(@jmz%T!v8NiQ1Wd>Ah#MU0GsoqzS8uJRlknVQTpcvvKFB~sSxMJm=EY!W z3W5keuRU>&_MVf|F7Y5L4fqn4Vik;_I*HKWxIF1$99i!Z_!KSVbfe?0>umqsfDZRc z-`R;;z4Wd-HqdGkvpZQX4?B%PJESgO!~}q#>DOQ`5beLgaJi&81+2hrJByZK09`6< zLfL3P_R8r%pUU;AMR5EZnGb}g(vd~;-->Zd9*a@rNm(?I18+cxTJO-;0!33Cj zSpb!dgJWzH&tnzrjir!VL0b-J%GUzJ-ansY8Vq~4p)8M+&_EzAHD88RjmS7~la|~5 z4lhn@1hd#2a$aVu8M2g`I{?;EpGN^Lg?Agmv4dMz<;QEYH_K^}6p{jL9810E2a(*vpHZFMvsptZq@#c=8n%X*6tnW>$B6X#T zRzaLNOZwuAYq`rXRnXa44S$`8cP16!s#%yxA*eiZNsn(g@J95Oj9S7y`DU=qyE!dvA;NXd^hYT4&xci-yB3@Vvb8& z(bUgRz7>?s^2!{$2#}i3KBlT^K^Q4ob!(h`se9L|tvEV6^?31SXW-ZGh3$YF15O!K zb6_xeJ;sY9@-T(b3E$gc1)-9QfF=wSq`JAGq>@u9>*2WD|NOO_no@Hs#SY;5d58}5P9VOa$ zvH_!g(L7d(FbcU-m%z%9!bfc~aQjULtBklXv~rYWNB#c9tfE5BrKVi5l5+?g)8;5D9D-Rv?y|dD1>q2= z(9E#sop^Q}Ofqtz`Bz(T;WA-`!3v$xC?Del<%0u?d<0Dy-i8S16A_m#%}XHp?Ph^*faJ_Bh@5=dYXzZMmJRXg_#cWAjzPGn^lAI) zB51%f&8ody6^U!EUx&I8IJg15?F%>px8iyNA0=%ahka9x|F<^G0W*G_;pmG1A3Z&3 z5Q~9C+V5uu!Rxtvu2$C(OW~9m39eSkpp)E44w~0|33%ewXRqpo+ZrD(MN&4){wI|h z$oY9kN|S!f+Zy2W>ZA8*1?Dp~R>CIIRV`*bda{g2sivuGZuZGdjO|4$B6w;Kx5QN# z)U4f9!WDghSuA_5w5I*=S9`bM3$P;}z64|*7CD)WJs-^3kjbl zUU3UnJ%ylp5N*oYr=hbdW#D%?`}8iuDwoX$%@y~gp%7*OWP;jSen@mHmw}5tO{M|g z8~s~em~jCs693|(-)M}( zlh99~X-aaLT5cPMbl|&nOUSJ;-u{5lFAGAmvs^rAJOPrR^A;8b)l@BajB6Saj~m2c z*l|c3{E@vqC)%QZxehh`B(Lc;rmhPdnP)zO=0v6f)@)Am2Dr6Wjih0WL05n&6aa>2 zARM{WKqd8fFvrN}n1h85-GFlb$p{qc>H_@jm=0F?!%b!@K1}SGZOX>kD%`N4#)&9g zve?FGk7=UnqEiB;%G8L!`UZvR_|x9{0(mI|RG3FOh>}&yHA)Q=Z}V9ZL&`W7n*}tx z-JuPSZG@Yui>sL(Zd5GBAiKDI312R_h}7hNx!Q+7GL?ZZ$&J)mK?t=a{&+h&%g|c? z>h&9u_mj#{s|+sgc+|BF`*!3o=`0i4GqEDY8mCy*Q3_K0MO||^s3Ny>E-~KY6oifvl z1&$0#@9yH@K*vK!WN6IX0UrJSr0KS_aV2DG?X+8MiK>Xp7)p}o{a{6aK0;5DDfLy6 zOGJqyvI5}n8(>G{0=&K<`<@~?dB{xI@shQYa3;b93VvyGYFjdkulbKEa$nFoS~19n zK?(;ufa}6|%?SRCFOhNy<6=`zx`@@w#YP#sz$1+T&mu8O2H2gHEXt2}8JwA`dJF|2 z(^}~jtQu|zdphQOQglz%WL#PUDNUlq7F13QU7)5I?9f7+NT)@BC(WNMR zQQw1@QX$W(?0do%G}5}N#{*ct=mH}l^O$F#3m#maq6@ewD~ALs6UL-*3%*mK_@3@Y z9!B`)0kbTnkaHn|TAYaCg}kd^{+WHDLj*bwzK&#&wYd1!${;>Iqw*-OZW>pDsA{C& zS{bQrhD5ScUGToIP7P3Qy1QEF*%0M{8>TYvi6Z1FNcJv+;LNA-+Ja|=FEvsGDv}t+ z`G{Y)Rw)piPktRLLr#Ec^VF#`p~4f>RKz}Ld?z)PU0K7li}I@y8D`ygJIprny#5@BC_yTJQYKgj*4#l{Ml z@yc4mwIQDLjzY(sI-0J)$YI1s8SLpKuTzLiGhx$ee8(Tex_Ht{P3&!Znd<5}PU|*q z>xA1pjaJF`GI3l|la-w82|fk;#8zg+qTMI05A2NWN;4HBFhE(TW1mgHRKceSu+pJZ zQd@5VHCmwIXhpntGhExL_M{c8cg`VG)L-o0Z^$rXfD`V0AKL_&y#1r2czEd2#ZP$d z*l*tdWo!+AS14t0y|e?U)JQng*&0k`o#|?&!-KWrXGq&%vmk9( z{D;IhjF~0#*J%fgU}A@5d%n|O))lH0vrpTI))I+U!aC62G*G8;Gj5=rS~#%}T5buL zCpPd2S*2!vvJ0=DFA)WlEQH-01TMG10X{W$6`8SQ@4L~R1=q(uA^enp)`|c}5N0Q& z^PNq6$X2Ntos<)@>V7k79&^|+J_S6eT55K7=2G8i6ffoVk|thXPj0arL6AoSw3dvA z2(Y8%sx_M+r&8DC`G+&!{_(UL$}$eurhuCx3>+Z%`9P8Vx~xQ!GVW_@HR}Q-HB^d{ z_4z)dsOI|nZ-;t+(vmhqj-0fy+;Igvt(An?=#B)5jw>?*Ol!~1!69RM{E~-BL?--8CV{xB zr-#KHWl1LLT#B z-HJ6EUmgrlP$|e)FwPU`k3%1akxHIjOc@A>zB=ydC`Uvc1`lO`;Y4u~;UW4Lr7Emt zc-&$lyykBNiIn#~5zplv!V$%G}tnc@T^X43-_Rt8X<#CSUwLPsE!M}Q6Kn5JW- zGEaxcENcT#IY6a76)L$CZwI0_t$mW;#g}azSsN~4i**V!n1dCGsT4-x&xas#LexGK zguk;LsVVj!)3L@dD4WKK8zb>jPN0ZVgB+8GF35&iOBKHiT6_~ET8RcE(pipkna+NaS?+J&Kqna+6 zgX%bd$lvOR;FtrLLxiC?9)1z3hD1hSjnOIza)nUbScS0lAB1vts|{jKGzsD&=YcIq zbnVEBD2-Ga%j5*7c|6zy!D?N3#i(*+k*JO@bdfbBT*EB8FETO=(oPVS8SEN zS*NZnQOek&y=@=SaV~0D*=r?skLp7%!jV*#6eausfOpP{WS+qO=3|?q$a8e17~`xG zk9kfLd(Kqzm4gKp<#FbQrzC}sDVZcnG2_6z)}B9JKQGyd-CQgbY~_KV;cvSq)sNac z_d_^tj2Yx5{Gf3eTItc7ZWl`|duY%fjhNS3gar+EqHS~p!iTchJgu5UCbkwdLE3*gM?U@&?50RQx!9*`MLEzVh^2HDZRN@{MR zQ$q{N40Ar4^Wn`Kezz;2BB}k8VilUXX}mmkkteu-P*H!hAaktPCepjYS_A%f5Eb8W$F!66Er=5)-o@G#h;gPi`q6YW`O3N2^30|4RU;HgoHt3Hww0oYPW9i5g$6jq=Dj6(gCq$$7u5+3c) z#TIIFeai2M-D9P~#A($)+-^L@1YvNTZ18Jw5psu8Nk?DErAd9l1_4wKF@d4v5>E4i z%v0_X>e44I^v$_w8$L)@N(#cOq7a;aT}-mYd2CY;)=!E5+FEVLQ~V0Ss^r^5fKKwG z3RPbm1vDN;x|tqrgQ2lK0U!2e%ww-VZ>Wh|sjInETa}a!FPfCGSF1j~e)|*9E5)Ah zshql!bFIQS;RV=2{kUJ|`8GjpthO&+oJ=6GwK)N}R)lZy2hbj^{R9qR$M~ZbBIFqQ zCt>4Ih)duGf0C~hGr+|WU*oGBI^lskKn6G$X&;>!gRgq*z;o}>;vA1wCY2gZldry| zM>A>O%J#g;xiT#VIqsxkA|y54)RM)AQZ(tw$ZTrYv9qj*STXqfVhK+IV()4!2h=m&=hvxm%a@!iy0!}Q{1QVb#1kYeQ;}Mz6 zZ6Z*N@Y1bRE86&-0D}*^7(y$F?_F}5wm+I9;cCrRhH_<IBe3m2SuM9*euJl$aysJeWatFcc8Iy2&83AcATG#HW+?A z`P>uRA3ZEZ!uFVh3qO3V-AgX^b7Nogj0)Wq3E2$GhTVlu;j-_+ z^ysprAv5+nYa97pYXyWgDW}rmE#_VS{75_4`l%HaR$6&uQ?y~KJ_0=PjlmLAT6%b+ z2RR0g>@w78pbwZ%Nk{zg%Kc^XK@eP)dEQldj8XHi?eG6u@kFHpT;GSUpSX%bXl;c7 zlpfuSIgNe|3__wSYTX`SP!25*F9dIznc5{=J@KuLywipdiN|rd6(4fKQAhqKR0`+G zoRPgIl2lXCe-|EcCPGcv znCcoPiB}He4LE5!>H>(k^b32>7s_bVDH3Uv6xT1}0?PO@K$&J-nKPVQNZJEnTxtU% zbV+g9(N1!|U=-I+(~(s|YdU-?sU01lj!K4B*7+5P6SbXvg!J6Gu(gmtrv{cBYx98&Tw3sS)|^)k_w4DJ^rm1t6=ke>u!L4>yPcExXMO?AcLBI zHwT!XTyPl+eEOBAEEx>fcD6gP9S2eVfu3O(O?kcuZrxY!F#P&1{Ok`${yqZP0#0sq zh|b&*x)p-Bf#GXe4doha*5=wr$M8r7ci~?ZVXDEy2hvV*P5^pP$Q`Lc_sM>00j$4p zP%#qx50#)hxaZ##ZD+#;ZP%#I&f*Pj`ovi>rg-lF^Yhpcno@B1AIO6AlV)8L;VAJn)feb=TXv zWAD8R8$9jF85cgKdOtZmlW7AhawW;t<4}6WtDT(Hla;TY8Rwe}zPxz9^}78PkyV6~ zx!BI4I1A(32RiP*eOkMnsHeZ6$`K!coyVBeh!O>OWKXr>RpF|a3?>%KG&ft75=*m)aKOL*5LHCBa|^|sn#I%OsPAvszNjuT4` z%bSOlh6*A=PZ=UvOpYjz)M}fpkcnJVri_#iL$J)Is9$9Qzo24>^~83?dB{cgV&u=v z?7e=$FZk#}Og8V{iHK)e(Cf4I+wJ#g3q_?Mm!eI7XMp2HqE z?16WP2M(VH-XQ~hxSNMPaA*&VlF@nK+;brmKS4bcRX8ciOHm#_86GM>JCd6pGgl8c{YkBQ3dZ?pd4_CrS<_J8PycToIQo(JCP z(;!+c4rhlw@J{oHh&6 Cen}kw literal 32216 zcmeHvdwf;Jwf~yg`<$~+a!581FklP=q!2OUfkccp;^c7=6>EBHZG5#yq=*)6w6-@| zw37fOSk$BVz(?g+X^Xd7V{PlB{@Tr>h%K~Ht5sX=5%8@w+D^7E3KsTHLMG(ThMDF}aYAG?r~i~8+yD@HP20jpCg5^V zsT0QgNS=?dOAq=iW(ZyQ4>|@b;O{-$5Vy4TVX{Z_?vSR!%!Juqsa3p_Dw(W^JQB?j zhhr>BSAYn}Y9uoguPdJsc!=E^2Hn3{LK5wnR(^*^Qp`>D5%jAi!<7Y5)SO$8sTJhO z{Opodx{YYG3W-$CIl2nVme{0`O`zea8m@u3A)sk}l4N8r$NAM*%v-Hrqy>K@)x+CoLW#fG$bEtZ$VFB_Xv!_2BXdcro zgd$7Af_T-$PPPPjYzei5Rgvx@D(zQ@5V+0gVpIq-7|v`R@x+QM+a)a+%4ecNf2qkP zpk)x2J#q}}layg&l_j>&m5?a*|5c7CsR&wXOGjd;32EpMAc_WD#Lwajfs5Ab^2h*2 zut=w9ha@DOb!`D6hgJ3wm54U^9M}!daH!!@HI;>2NE>P{Rmj@KPZeF}Y%d$&k_Cty zu5B2H<(AZwl5HMIIzy9F=NN@rVbXST$uQ=_hRsje+<}&XX!#WnPiR4y!f<67NKPe9 zwZe4p>|r=2%y=aNV3c8mg|l+V1f^@xG;o^8FjZ!)YrJ5{WGhZG8pV=Tq>+Q6nZ0(j zVUePRqDUYz8F?|0Zan|)HK_5aCKSmAhFba<9t*im^FgFUNgtG@?dQLG|H-;N zWU^@v>Ea`u-ihAy&2FYp{pCORf&xv=y|)`=Lm)%xEy7RuA9j%mMm_e2M_@7cJ&eXM zR}*Q@LRdVL9C7)(8&PVSdkKqVzR#^UXrnZo%LIT*Z$awcb_W+`J^!dewr8*KL4LxI{x@u_4LInI5H9_qPz;QFi`& zk+jQ(Fjy3H7D;sFT8y)JXiZiwh6GMmV!aWSzvzdFWnXzU5{aR(aNri9 zBNV>$WNmuq4g?JaGh>kn8Y5=MEXdn1p;jI#DkoPDH0Lu^)@dgsGwi?K40@5v1%gPr zZRtC^R4qiLeoBVP)V|b6(qbFqR$+}GTHGXR#jxt@42CE1C&_j28MN)8iUx}#kq9Dh zi`z^0zx~oR2(i{3g)G+rTuBA_0-6|GEXW*0O5-dao8={<_T;g)t6^BI$)lMRST^|4 ziiEEBKXNfiGjY@DD+g?qPwIE@O;F)yT}#kN7dMThQm?(mfHe2Cv6fCo-UH35=-44) z0>it=-`-H^2C}W`F^IG#so$Z=cDg6hng?TXlTV57T)GkUlP-AAYH6rc)|14NK5UD! zeMrr|6us29yn&>mSts1XTC!p_5Gjg^49NnD3@e)qm5=-I2%|;WQ2{e4{9-}^J{_>h zi~(#Aoqy*c@TDi84QWWNmh$=)gM=uE%2qjBeEPB56&hYj@@ve}`m)*0X+?_ezAB9E zw#PSwz6`a*T6RT24YICmaw(nZz=l$$K-FOd3PXpm}syB z>)G(?N*f&Gjqz|V$Gb`CNKds=`qmVfft!W{J^%4}{+j(sh zCY7_k`o{$+KeN!p3#Ck)Snhi+M%9bueaqBWkp4(p{EciBxYmCTvsEVO(i%CdYwtZ5pvg%qWM z-%ffMh9Z0S%QXRAAzD5Ul^Kj!;vyDnKPPP6WV)I* zC++^j0q~wlB0G2s9Zq*&`5NIwB{%kg5vNo?HIG-5)Lpj}ka6p@wg(tz#iJbjGpD}? z)k!WkSCst?W>$%g=gF(2T_j7f+YA7|Nc;z+%MEq6`rz~_yBHa5F4l!X+QAbIx)c4W z{F`%uDLR&eNRglKLWiQM=`+^1<%Zj9^7WMAuP)+VH=OXd$a3zWgmN|hJVX*|pb>U& z=za5}eUh5h1q+#<0Wy@Xb{;MvX}a!ZiqhQwJBjb1k)w~=$-M}g_8iyI3oeVK>PpB1 zxCY1O2ev__>%{nx0KZSzV8zh zB*ihBCnpO9TR&I{M#@S|KBY8`9PLlw&hJzDk1tmEMv%DTi9X6`_srv80i&RHzt1`( z`!ZCSP7j+5efw-wWocUJ5k$v2bN_fnyN|x<{B=u48LTh#SQd7l!mZ~{eSoveuiFUU zfANfqLc)T5pXKvG!c>wC1OrbGLG2A%0S5Z$J8ux@XKv`t#4)cp@}gW$=6%T}Fm!jpGzAQ5$*xXU;T(Rlv8&6zGDPc%EFPubg!ZhH%n<;~i?Ur-JNU5nvlRr2X zL*nhk&M@=P1*LEaeFq|qSib^&09;H$iM=&BheJ06J&W=ExF9lg@v~VEvnK=tuPQXq zwpi1|xb%uB`7co_U}~)p&+|S$I)^hQ6NvsjHlS{f3?9 z79@)vuF}iaacj5y*naM14kzfBsf(% z8gUYLv⁡XH7KHaGjWMu7t#+alG)bBx+~?4`3e5 zH#B}@Y6IJYE=F1{HSw9!Lca_jq^pkDvYCVeO&cVMlG1uemeH9K6f$~FiGmKrx8Vp! z*My53DkcVOAZrQ#TbPHPM5mx79~*}NHeJ%z@XC-nv?0~?@y zx{&5|LmJ|;%`Wg(ONTn4A#)6H!Xs`DI-x}Pd}}#D8E?cAn9l=AKfD9W2Ts8uJJU9e zTODAdsP@@|RRr$=e5d{iP88y~`?Prvg4Bv8NM#*=K|L{%^^#l2c6RLpPi3(_EZ zvC31$qI5m*7<9-7-;t+X@fqAcDGDCs@tH(_UPr2yvfZml@rj03Hipf#v+Wyqv0JN; z$P`^X(?O&}F48OqBFnZ|wNa=$;-w;oX#c%gH!w-_T43kHs{NNJ9yD5cIO|2!LYqF* zr^%#^v}YiSv`nW@K?^)Xa;UTE9!)*+n@sV^3G6uD9B|is0#~-Yve9rob0__|Buy#y zToTIl1}t6ZPOBu9Kx71b8zURB!lNwKn{sxnCfYi^0*4OFp2%>x^fYWIL}MXcl&IQV z5(P9#|KbV5ZOY==qv@d8cH%IVq)z4whA|h9dst1ZNW}z_XTASEGwt6FDj=5*N3N`c zsR#2M@3s}>p1Hul9I@5da!r3ba*x^*h%Cx^i4#H0#X0Vi z;!#Iwvz^U+OU*>Z3^7QE|4p1cm1@HeByx^Ug8CfJ5IrWVJMA9qt23&adz- zJx=6FK`~Y#>cLv4o5ts2GecgPv$k^~J_@m@5_#sEmO;U}Kis^>Of}4kBm#Fr;MIns zIC3Ej7fiQT9ME72E6qj#oMMjLA$l51jfXQD;*drQVeKGTiD3z%@S_nFQLXD=7-Fnt zM6($>inMI!vfCV3GLoxb8=?dh$0e{8*SHbElh24UFPVXFCRjw=)={M0cN@WN?; zEw{3zT!VXIdrW@n2b+bK0at{eaCaHtu*EunnIDsRc*HH$Tl&#t5P0D2dBpJL9f{&p zBE#?L(tQ)NWXQ_Y%x;9H2LryYbbWQfiH2NX2WQYOz06x$6PQD=C8gK_B_ z@;Cv{pT`EkbEw3%?5=-<7REkRf$NHF;A)?4SVb;PnnyI-j<0}7dGM>9wudZMNAgDn z6%-g2gI}sMS0goyQj)gX)3fG+60Z4L;59SO;5>)n_Uw^LIl&CS5UwgzXIGXQ3YZBd z%a(>hp7C~0s;0fXhTMQr(uy=uDALYS@mvDWNf=2OBeN$Em&H-AgT<9ajI8D8Z!{--Lt^O?REy#l-u12F13Yj1q^`=d7@tE|euMGlbmM5_ z)6|A@)8q!w38042cn4x2;3WvJaL!QR*+hH>Lsj~6$cg*eysqgT*fAj}FgW3@CI|+5w^4!; z0Sdg>1a@#ihFr)_V$2w~Zp)F*R<7bwn`kL;*9?d(C{9)3kN_2WMxQbu{-h4`B2<=If z{IZ4XFNn1S-Uux<4W#;9S2{Fro-` zevWc@8)e(&a;Mn}j(vdgWHK2N3RS<9NqRbt_{-WdJeA&ES~^raNpIs zxx_RaxDI!M3{$A$8|QZ5E%*HouW_kB$uerq&=gb_xmvc2e#IEg)2&2pUzA@5TfKI! zsTlvwB9zm3ZsHA_(Qx@pY!F({qTyWB)W$Cnekg$^e=y(S6n1gsOd9=S@^fb8s*kV zBv$n;0oo>>AqBDpc{<|5blu*7elU<>j8mGmMCavso*E44VgpFJy!4N})zBr!$h1K& zsxUnGpkn&qYZFmMMOP*B-A~=&FTwsd$0tMJ5h<{f)3T$llMz6=^@#vks-zxK?$ylI zP>rF?va7_G;`NPm-Lr@}YXANcoITHYVGFEhvn{Bc^Cl3*1x~P!8{v&cpT?E1bIda} zg!#G18WeNhfPz*xG(xh>O)i*l;EWSsdkD&}!niWzXuY|4y~qo1=0-|VqO}M&aD~7Q znJ80dJi`S*29r2lpC3WpH?1MO%AdOgS{iuO;~~TiabVeym{hCI!$yJGBk}Rgyvi-0 zYH|$ro|0HybW%bEX0N3O5kz?3yrKIs+$4stRM`Ce%JTvY0GPn35zBvJG|=(KMOtF2 zDb$?*jE~ep)%j41=+fQ}D|i zZiTYqPMpojo1ZBt&={0WYiw#0=PmYL!oTr4g9+*6cqOu+m1Q0jnVkQMri{1ijoNDv z>B(O*6RTZ7V3Ts%xXe&u)Kt!ucQsZ}aZ>AfQ5*{H-5>}CMY^md$onsWc_n9kyAW_g z>|bnny5-tFTVyY_K5?TWQ7G%OT}}${&V<8)__QG^4*Hg-LRK|%M%TZ>oXYQ7kDYm) z<>xxPOs7r^*^r8DhZ;)MrpENM{Hnu_fpQ^evb&qGvf~_GL>nz7*)m#>dr5mxn=*XN)wTD+;PMKW6 zmvbN}$rS*P3ax*}ZM&`G7%3kMgx#G8+sIhuz2dd~Si)%S(Sh8_z&E zhv^nsRJ`>J0eMFdm*f?zfu?DCtECiqPysRf%k_aR!}7#y@HfAK;HWvPn!*aNfD)gn zR|KeJlv*qDQ2u0k(#ep}0?P!`hsRptV14*A0r`!l+@O}^^{g^;2Aq?!S~9kTS{nLL zAu2~SquUv0p6zhGhm{A-sG82MU&nkrn3FZ1RLo6FYO(9S_!dD+hVr|}XfWRqgu@s( z8}7g}k>iYQM>CH;m18>k_P*Foj1G#VhWR;^>4u*{mKJAiF>;*-E_D<=*eKi@5XSI&3CMKY910J^-GU{>acST(UL)7rS%xb2&|f1xsC-0o z3-s5=@whNFS|w$Uv|Vbj@Ga;nwo{^G*pfO3e&`y?`TWQ;5c0Li711ogq?ojn$IeV| z4R9i+W5aptjcwEl%!%emOEPr+Z;F~KyVCbz=}BmQl(Jq9)QtXJY8tu(3~} zSHde7C~ z{>gwab%J)0DZ(R|R>HD`iK}2gpC`vG$80CLsfpIDz^jn3R%7jBOyO74q{+($fUJ1b z1X%wkzLd0qMz~^9kgo793_Cc6hpHR?bQF31XP?8cV7;6J!$ai?a2q)*z+}PD{MT{( zmm+i6OWJFJ;bF%qe21$_Zk?55kqjkwlzr<-j_Tn{4_u(v$`@wPcuZt~G0?tXMY4r} zqer6;xbjIp`c_RiC&5G_4_YF_UdS0h9Fo;&D?j@IqQsZZH8}2}0~s$cmg#>XF}4pL zeVkvSh_|HwrNs6O|HR!zh~jdDN10)gjbMv>>?C>zs};A1WDO10k1goqGiE+EtNCv@ z)qhjBSCxqk8%p2XwOpq-!NSX#8!<4x8`N&}?=YXAUx`M|S$0M2K{a)Ic@=1>6^oGK z1@{tmF=lQPvI!lVFDfF3-*Id8dS8)GgA-Z|&(dy7$IKjGq*_hlWy24U;f_(h8XA^- z>z5apb|?F^xLRngd6OR`NtgDs{3sF{4LU#cwt2pw%sMy$3=r9c6yV@NBE)J_+ZoFy zbMtUioc83Zp;xb55qN>+l=?4y7CE>zz^Bl^OL-G72v(X^AVD#Fz#*R_J7@z7hnW@hv$ht$p1-1A*l8V3khjqk6bfM(xV zU_#6O#_zQw7S_vi)36+XqBwqF09g7>&4f`uivn(^2)Mi(*0l zw%mTA41}dvaQ^nC0V}?iiz`IIQjrV>i5(r3M=W`mw*x;lx>4^DcD(uy1u=R7A8zpq z7~FaZJ-)kAlUk*&zcIreRJa13^h2U%Y>uBMI5whBm5jFzAUVGSG-FKi#YSIF1`|B3G1J*&%x(t2}K?G-44sfg1jUd!c zy*?jR?6&+GP@sZK_pVkIf|e!cSr#!6#%0W(r*>^|Md@ALMpJo9OISB9(uzyUU!qomd?eSB#!fG@^blRkqfByHtL5+L!f1y7kO zk)y=tOxCA~Ex)@jTK}-m8|;TAd^-ow_$2Mrz5qVoupotUox8Jlw>j}Sg*jaaM;oY} z3@{uH4v{{{itD0mFzhq}5&TrcNhT1{xd8=VA&Egzy)czZLF5lWbFz3si^7X&Y0QN@ zLA1rE0q$>74hhfkDgz`0$M9_fz{C0i9LICFv$_pAnQwI(Rw4!+?d2RG8iPsd*kJ{Q zT++HcxAnVGBXKMZX9#KtWPJSQQDS5PW^p4X0od^_e<&V;!989Y)d8)0JphYK`S3k` zCQzv*e0dJA$|xKu?yMZI==0Am#3Ci1IR5_Mw29k_Zap=%fN4-9{J3uOz%?a)`pb*v zV|=FG(oNccBL_i#g4Gb3;}YYb)Z4u@gG#1P;?;Bg*qv9kf$sa$p+7>qn7Rdv4|qo|}HZ^34RxIFw#1d8%~4hsveO)ns4@u{6V z0+n>?CU4-lOy5{%bjUIx%%T+S*OnVK;)Dx+Zrf%A=8UqH;VAMTheaygxg49++Y`5= zj0uSyD5^1-X$T?{m21ndA6Jk)g`VO#*3FUS8XSnlP)jWMdMo`DN84fZ)}w8< zqVJGr28Bo~tiWky7zkBfvLH`lf_t*)){I!-xe{&dhN`g84UqnJ`2xk{R3DNK>&sN& z<1Z(IN+vVZs%tqL{zTP0-exmh7=BW&@7&x8vN(0{P)@-qT>(O6`MWJRxRRi<1Z*IV zOG+rb3s0YBr!p>iQ};&()t|`;sp3W7vBUCMB)VAP2&fuac;o0B3(79w|W&B(_`1H@~2H6hCo4R zDOv;_mvu*>*qtM%`@Bc~0QC|RVK_cKUR{YJy9+fido;P#TW@BU;Uf9K|tQ+cW&YaB3MztXQHr(QX@!sV(E8@>454V zKXmgN$WKuX_|AOz{D9edeHpaym||7;nGHd7?;AHjyB0d)=vI!MjucA_3nPK7CBqha!SGLUWq zy}g<|UCZ6oCl}v@bxAo7x(ScG-$bu{=%kG~)iS|6b@%{Y^J}boY72=09;$P{nBPERd$!**Io3qTuxUsbc`7kV~!wr7R>jfvKY zkwKAivUxKGM>x6(t`C*U}(l@bmyn&9DWO3OU0@J zuCO)mwR9WLqmH+(z!b-O%)<>zii(eB=un!_{BZvc;iqn)1dZ>WlYYd|m0Q2HtzAK# zyYLH<6Ce#xJN_zD1&y{D5^Kd(9=tdF(|Un~mI84CkXebxYESCpfNMDkK_Se;!(p1Y ztTtuZrAvP?%;+f+IV?nm{3_i`SbB)AfBZe9xb^xB<ql(YC(TRL@(WyhzLc1d(zJ`7 zQ!zT2#9jEh*leYKesXV|=#7Wb1tJ$cIdmR6a;bbkK!ppT1-@BcHI6XHzlkdg(<&}` zhb>oFvHr|?<^+7^OsN2UN_qS=jGqJqQ%c%2Tu zC#@@J__I^zQTy=R*7ol?v*GvpMqKocaK!OcL|g{=D+MV|cx1iN-qPE*Ye7eS%3vKb zuHcb!9dsYyVTecESGD3Vz&-hHb#{8g#GRLP23}AfggZ2bb1QES$@SDjEiE0{ewbCC zo1i}z)IT)9uN!grp~MLo3c?@zV8Go}FS)hC{uPBuzO~RL)p}~>tpU2kdie*WLj3+d zgo9HhaM)-)?xH^E?@ZjVP7xh>C;MvHFg(8h&704_N|bx55yOhE zakxO=n)ZLBkJhGIG-z9VuSjt08=M|B`Bo^^3=9-Qgqyotw4vmDLxRTN&KvjedtZPC zbo8;lsIU^57sF>i-?h7^?l~R11-ZxXXbC);aHP2%a%}Io_hl4OQ}{{|BtHYWI+=6Y zx)5a~F5nbeI~o^Pa2>Ry)E3Hm+L_HS@0TY2Z5x`o^lM1Lj|E;Yom+s)A{rU;YBiPQ zQuA zdigB^^GX&UfT({txw0Q@s#nQOBX|8iZ?6nV5*H1389M_pN5iMy8q&qcDXHrdAm6r% z}|FV`Ie}?8sL%c21MH9V?Ovn6nO(kk%dJ|5|g2{~qJ? zC)ase(zhN$g6{)Xxhv3{7?(>0(0<0+Dl!GjO6ny95p_KM0)~fXy^=3-SJeBp*Sf|V zN}M*lA2u^c`r^Plq{`Q=ZPi$Jr(SsgQ?VY_P)hl<-|{kTwZsclW$T7N{gw-lw7xOm zV{228u#ns&nJELk5QbO8hStrwuZYF%JGXBS6lUaBj=crwAIx~2{_O%X2H$m)k9RZj zK#}Y4=*dcAdrKPRvf>%Ikp~4HqIdKCuAuVy8(yL|I^@<;pY<2RUwe_8EG?FkHX=YH zkM7Ob*EgdL8czF+DcWOv+Y=9F+p#yi?i)NDh2VKt1)iY#BD%?JEFXukBFf>nQi&rU zU)D`RK{p5{K&A)!yHX+4D}5Iv<4Zja3+0wqsepQGyDkONjW5^NV+ZGh|F7Mu=IpEcCs zWY-0di-(uh2A|riLg#!mwY0v6xw) zK!kK2z7J|sx**-LlgNeoyk1KBa&d%&Nan$Mxs>}WkbpXp+Injcq|({cL>> z<5yw0FbKW$5=#>~&7_p!lmTnY0of2m%2>efm&nnzgVYGgh__)H3JOm##{aefs-YV` z0t7~M2cuOa`(|r#a=<>s^|{ul(dE2Y<0jozC^1~cf?9y|RkI4i9U+*^plll2Jrobg zq@(0=n@(b^MiumLIA zW8w;zilv07o4?HgW%lH=sen=pev|eiT`@-mPEEliZxU+Vo@IttszJ!?7&eGUh?>3y z;pqhLQ*lUDDyz+qA`jj~nwW#Es!{o&6(;lkZY{Au^4eaXuc^iS6VKvFayLNa?oiTn zcz`hZt;w}x*%@D8U&JO5MB2+dZW{&(J`d1}i(7|k>87S@CWg?YIhWMw08yeZTDh2r zo?hE+c;+?y!3?hQlZIMlA3;su!S5`oJn_fa~?wmev3dtV>?0X zg9cV%YrYUyA&22{$vt{HfA|@=UT!`U#m_`lT*tXPq)^9Yf8el9aF8R8$s5vxwL|$_ z2@ygsrwe9L0){_+1NOxj@NnqFUXOG2Un|Z=iY$7DN_DtqkBzvacs!m&4hg#%HA z(`RkP(%z_oFkEWX2mD-SK@b^6j=x|BQm6Laovx-FQ@HOb-#sQ9izLHC?Em}D0;Z(7 z`#%Rt49YmxWNWOe$_Il8WK0W5@c5-rhL7&$Q9~tXoG_l z|H&{8+M}K?zyt=EES9nO`U`9DgoD4?*u~bdNS(#s{H{Jm;OWs4+KpYYGrugvwLCbLT0ev-Re%#Q{c<*F##}gdd`r+-_x&oL8Ex^ za@?oJAZXOhRK(T1 zSDV!6;_QEA>g!c6`10kaV9z6 zUMoI`&Rz+w*Y6wTAr|?C9T;(^wFA!)T;>Fv_+!5qlol`pAGBZw`?^oObAa_o z$f~eLdbUezA7#?$B)J4+O1&J-@`;h1N)3{rw!(MAItVdCxN46SIk4Qr>CH!VGK^IEXLGtaVxl72>>unL*&sOs!VZ+6>xIV&5;PX^= z`uL+>`z({8B`MZV3ry^QDd?`+SUlURG4LNghR9&p$@2+!fNEE4fTLM4%pOZnc)qKEcodG>bcFGe*eHT<=HeS01H-`^E+g*j zDD1C1Y*wCV?f4r|O%lH}F@Q%ItY}8cm1rmL?Q6zoN$S(P2ABq@4*5F5&MnEX-sx9T zMRwI=2Ddc0PvZ3wpT`Fj{!0xXT2|f5;`1YZUgv9S*__@Cl@;cpCIHSvQP+419@|~O zKZm6GXEABm^W&I-fgjW|J77($Y~rSjT1ENCI5?^K)Xx5O#xf#Nlc#HB0e%s?J=LpR zcU^*Nw`)9WRNQ`Z`&y zNS$f9Zo_l@%MTUX;mcbqJ;jv+vJHIjWekB!8`3E7MW_SWX~Y-nU+yao_3&tAnK2Xw z$`ouhbm3t`iaspVAT^9B{Eh#g><;;)jUDY}nau1A)^JYK#Jvmw{`(A*A4y8V(1^|= z!8BB))>icrIyXkiDn?XZsF@Ote3dgMpw~Yg5+-Pbx>w=F4S_Fof0fYVb66bh&&0-pD$*zFEu6^ zUH2?-HW$kV%;V!xj*h>JAM1zslNWDi}EoK z=USMsC|o&WE6z?V0?0+)wbN}kv6omKT>+q z%0gTcMiawRIoyB+C|-AS(n8~B&88qjzrC4549`6WZiHl)qbt<18S5onVE(vYhISP2 z4_LSl4gpd*$}V78WSC;-Gw4&#zmNP<1zLDc6gZWF-=)=-3yG=u)Gw@*Ckzg5BwzLO zpyH^>r%+5C78!!_FB3~b*2oknq?syi_h#dc{E&IqclDNd832t zp_tV3a6p&CfH46e(|r9XO27UJkL)BI4HW96)5TgIIO>O?nzf2*K6O_XEcG2#JUY`C zPAh_+MFuX~FL-!oz-1x4mY$OC0vx}=a2z@(ZMH+el^!qhV&DMA84j$z)8}K5tP)A0 z)^{t}@GO4qyI@@p!y{Q-H zWjwoLL?Fn&DlpJ;%d&!-$nthpv~h`t#dEsa2fP46Ho;y`eAk4blIoP~@q=N$3o(G2 zhRf~OTXK`adt!0wea~qP79+Kbj~d83Ka5NGq^Gj0UmSz*dT}=1H`B{wI4;pcm*zE6 zqz$A2@K41)^?bD<&rh~ZQeaqE$!m|mIkbps?BngoEbzZ5D{h917N&5hQ8hQMvSdCJ zWzP^U{U~JPy`k)lG(H^nohNWU!gXzXKegE)!X#I4h!NWIYU#YU3rmV3x9HnTW!w{8 z7mBfI!c>^BSm_23Me2!J2f_^hlp#zO#s38iNv$-tk?o|(x#Quqqt@NX^UlM=b7`%n zjJOKjgOlUnu)m~%-}&>6+|sS8w5JWU?nDV;iCX9Yy+Mof9Le|Ny)0MPQBMr6%&4qi=RTP_ZY zu+=0Ewz;b)oA|IA_$fF3*MU$*9{1EWP)UVcobqUKhPrXxMJRakVK1Qb?C3u&zg61` zHNi~8IR@7yQRj5P?|V^`c(g)ckr$^P-cLQm8)!9u;f{Oj&_%FOrr%+6?tk#Cz#&gv zh4m6eC=8YHN@Q1fWVK@Nf`^Fy+8px1Dwv|z|R_^wRG5PjjagCXYAf8~`3GZTO~ccyby2udEX z3jZ0*^QHzMIDc4f_nx_Q)CKsbf^3;^nDO(U=X4+J^T0k2eDXZdgH6XS1D`z8_gTEp z1N%G>dSJL1JP-VxOZb@ekAP2r3`d38U@8Z(5ZQZq;m6#efYaz6us45VkJ|XKvzr({ zq{VV#z2ZFP+`Amp|EE0eKW>i)84KZ|i|E#<9M{MEsfZrEv%phL2sp1=crnUHMR}Zk zDnep;U*D^IZB(|$OW@s6Ire*8zjyn+`Q!SSKh}Hi{$hIEKDH-rAKMf2;Yq^6|D;bm z&M|*nj`fNC8|xGIKPr+RXR*J&91&tY_V$0wAL|?Q$Nr1!gBbZF=KIbApTtq$XY)P} z?BRjoe9Jj_KM=2&&qX|kM|m;I%HtjIquNDmbVP*2J3_nzY>Vh0 z)jp 8'hDF) && (kbd_code_hid < 8'hE8)) begin - if (is_pressed) - report [0] = report [0] | (1<<(kbd_code_hid & 8'h07)); - else - report [0] <= report [0] & (~(1<<(kbd_code_hid & 8'h07))); - end - else begin - if (is_pressed) begin - isr = 1; - if (report [ 1 ] == 0) - report [ 1 ] <= kbd_code_hid; - else if (report [ 2 ] == 0) - report [ 2 ] <= kbd_code_hid; - else if (report [ 3 ] == 0) - report [ 3 ] <= kbd_code_hid; - else if (report [ 4 ] == 0) - report [ 4 ] <= kbd_code_hid; - else if (report [ 5 ] == 0) - report [ 5 ] <= kbd_code_hid; - else if (report [ 6 ] == 0) - report [ 6 ] <= kbd_code_hid; - else - isr = 0; + else kbd_code = 0; + last_data[row_counter*4 + 2] <= COLS_SHADOW[2]; + end*/ + + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 1/*2*/)) begin + check_column (1); + /* + if (COLS_SHADOW[1] != last_data[row_counter*4 + 1]) begin + case (row_counter) 0: kbd_code = 8'hE1; 1: kbd_code = 8'h4C; 2: kbd_code = 8'h06; 3: kbd_code = 8'h19; // LEFT SHIFT, C, V, DELETE + default: kbd_code = 1; + endcase + if ((COLS_SHADOW[1] == 0) && (last_data[row_counter*4 + 1] == 1)) is_pressed = 1; + else is_pressed = 0; + end + else kbd_code = 255; + last_data[row_counter*4 + 1] <= COLS_SHADOW[1];*/ + end + + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 3/*6*/)) //begin + check_column (3); + + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 4/*6*/)) + check_column (4); + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 5/*6*/)) + check_column (5); + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 6/*6*/)) + check_column (6); + else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7/*6*/)) + check_column (7); + /* + if (COLS_SHADOW[3] != last_data[row_counter*4 + 3]) begin + case (row_counter) 0: kbd_code = 8'hE0; 1: kbd_code = 8'hE7; 2: kbd_code = 8'hE2; 3: kbd_code = 8'h2C; // LCTRL, LALT, SPACE, RGUI + default: kbd_code = 1; + endcase + if ((COLS_SHADOW[3] == 0) && (last_data[row_counter*4 + 3] == 1)) is_pressed = 1; + else is_pressed = 0; + end + else kbd_code = 255; + last_data[row_counter*4 + 3] <= COLS_SHADOW[3]; + */ + //end + + else + kbd_code = 255; + + // START PACK I2C_HID REPORT + if (kbd_code_hid != 0 /*kbd_code != 255*/) begin + isr = 1; + //report[15:8] <= 0; + //report[63:56] <= 0; + if ((kbd_code_hid > 8'hDF) && (kbd_code_hid < 8'hE8))/*((kbd_code > 8'hDF) && (kbd_code < 8'hE8))*/ begin + //kbd_code = kbd_code & 8'h07; + if (is_pressed) + //report [7:0] <= report [7:0] | (1<<(kbd_code_hid & 8'h07));//(1< 5) || (I2C_RX < 1)) @@ -166,55 +116,39 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, end end - - else begin // I2C_TO_HOST + else begin if (I2C_OUTPUT_TYPE == 3) begin - //if ((I2C_COUNTER < 2) || (I2C_COUNTER > (2 + 10 - 1))) - // I2C_TX_REPORT <= 0; - /*else */if (I2C_COUNTER == 2) begin - if (ring_rd != ring_wr) - ring_rd = ring_rd + 1; - report_data_radr = ring_rd * 10; - end + if ((I2C_COUNTER < 2) || (I2C_COUNTER > (2 + 10 - 1))) + I2C_TX_REPORT <= 0; + else if (I2C_COUNTER == 2) + I2C_TX_REPORT <= 10; + else if ((I2C_COUNTER == 3) || (I2C_COUNTER == 5)) + I2C_TX_REPORT <= 0; + else if (I2C_COUNTER == 4) + I2C_TX_REPORT <= kbd_report[0]; else - report_data_radr = report_data_radr + 1; - //else if (I2C_COUNTER == 2) - // I2C_TX_REPORT <= 10; - //else if ((I2C_COUNTER == 3) || (I2C_COUNTER == 5)) begin - // I2C_TX_REPORT <= 0; - // if (ring_rd != ring_wr) - // ring_rd = ring_rd + 1; - // report_data_radr = ring_rd * 10; - //end - /*else if (I2C_COUNTER == 4) - I2C_TX_REPORT <= kbd_report[0];*/ - //else begin - // I2C_TX_REPORT = report_data_rd; - // report_data_radr = report_data_radr + 1; - //I2C_TX_REPORT <= kbd_report[I2C_COUNTER - 5]; - //end + I2C_TX_REPORT <= kbd_report[I2C_COUNTER - 5]; + //I2C_TX_REPORT <= kbd_report[ (8 * (I2C_COUNTER - 4) + 7) : (8 * (I2C_COUNTER - 4) + 0) ]; end - //else - // I2C_TX_REPORT <= 0; + else + I2C_TX_REPORT <= 0; end - last_wr = I2C_WR; end // I2C NEW BYTE TX/RX - END else if ((last_wr == 1) && (I2C_WR == 0)) begin // I2C_NEW_BYTE_NEGEDGE_FOR_UART - UART_WR = 1; + UART_WR <= 1; if (I2C_READ == 0) - UART_TX_DATA = I2C_RX; + UART_TX_DATA <= I2C_RX; else - UART_TX_DATA = I2C_TX; - last_wr = I2C_WR; + UART_TX_DATA <= I2C_TX; end // I2C_NEW_BYTE_NEGEDGE_FOR_UART - END else if ((last_trans == 0) && (I2C_TRANS == 1)) begin // I2C_START_CONDITION OR REPEAT START (UART FF) i2c_input_data_type = 0; // UNKNOWN DATA IN - uart_double_ff = 1; UART_TX_DATA = 8'hFF; UART_WR = 1; - last_trans = I2C_TRANS; + uart_double_ff = 1; + KBD_FREEZE = 0; end // I2C_START_CONDITION (UART FF) - END else if ((last_trans == 1) && (I2C_TRANS == 0)) begin // I2C_STOP CONDITION (OR REPEAT START DETECTED) @@ -230,49 +164,82 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, I2C_OUTPUT_TYPE = 3; else if (i2c_input_data_type == 5) rststate <= 4'h0; // RESET COMMAND - + /*if (I2C_INPUT_LEN == 0) + KBD_FREEZE <= 0; + else if (I2C_INPUT_LEN == 2) begin + if ((I2C_INPUT_DATA[0] == 1) && (I2C_INPUT_DATA[1] == 0)) // I2C_HID_DESC_REQUEST + I2C_OUTPUT_TYPE = 1; + else if ((I2C_INPUT_DATA[0] == 2) && (I2C_INPUT_DATA[1] == 0)) // HID REPORT DESC REQUEST + I2C_OUTPUT_TYPE = 2; + else if ((I2C_INPUT_DATA[0] == 3) && (I2C_INPUT_DATA[1] == 0)) // INPUT REPORT REQUEST (ADR) + I2C_OUTPUT_TYPE = 3; + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 5) begin // OUTPUT REPORT SET (LEDS) - WRITE TO OUT ADR + if ((I2C_INPUT_DATA[0] == 4) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 1) && (I2C_INPUT_DATA[3] == 0)) begin + KBD_LED_STATUS <= I2C_INPUT_DATA[4]; + KBD_FREEZE <= 0; + end + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 6) begin // INPUT REPORT REQUEST (KBD PRESS INFO) + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 16) && (I2C_INPUT_DATA[3] == 2) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0)) + I2C_OUTPUT_TYPE = 3; + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 9) begin // OUTPUT REPORT SET (LEDS) - WRITE BY CMD + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 32) && (I2C_INPUT_DATA[3] == 3) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0) /*&& (I2C_INPUT_DATA[6] == 1) && (I2C_INPUT_DATA[7] == 0)*//*) begin + KBD_LED_STATUS <= I2C_INPUT_DATA[8]; + KBD_FREEZE <= 0; + end + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 4) begin + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 0) && (I2C_INPUT_DATA[3] == 1)) + rststate <= 4'h0; // RESET COMMAND + end */ + //else + // I2C_OUTPUT_TYPE = 0; // if ((I2C_OUTPUT_TYPE == 1) || (I2C_OUTPUT_TYPE == 2)) I2C_OUT_DESC_MASK = 8'hFF; else I2C_OUT_DESC_MASK = 8'h00; end // END OF I2C_READ == 0 - else begin - if (((I2C_OUTPUT_TYPE == 3) /*|| (I2C_OUTPUT_TYPE == 0)*/) && (I2C_INPUT_LEN > 1)) begin + //KBD_FREEZE <= 0; // UNFREEZING KBD AFTER ANYONE I2C RECEIVING + //if (((I2C_OUTPUT_TYPE == 3) && (I2C_INPUT_LEN == 10)) || ((I2C_OUTPUT_TYPE == 0) && (I2C_INPUT_LEN > 1))) begin // HARD + if (((I2C_OUTPUT_TYPE == 3) || (I2C_OUTPUT_TYPE == 0)) && (I2C_INPUT_LEN > 1)) begin // SOFT // DEACTIVATING INTERRRUPT IF HOST READ INPUT REPORT (LEN 10) AFTER INTERRUPT OR EMPTY DATA (>=2 BYTES) AFTER RESET - //if (ring_rd == ring_wr) - INT = 1; - int_tmr = 0; - - //if (ring_rd != ring_wr) - // ring_rd = ring_rd + 1; + // AND UNFREEZING KEYBOARD + INT <= 1; + //KBD_FREEZE <= 0; + //IS_EMPTY_REPORT = 1; end - I2C_OUTPUT_TYPE = 3; - I2C_OUT_DESC_MASK = 0; end - last_trans = I2C_TRANS; end // I2C_STOP CONDITION (OR REPEAT START DETECTED) - END - else if ((last_uart_active == 1) && (UART_ACTIVE == 0)) begin - if (uart_double_ff == 1) begin - UART_WR = 1; - UART_TX_DATA = 8'hFF; - uart_double_ff = 0; - end - last_uart_active = UART_ACTIVE; + else if ((last_uart_active == 1) && (UART_ACTIVE == 0) && (uart_double_ff == 1)) begin + UART_WR = 1; + UART_TX_DATA = 8'hFF; + uart_double_ff = 0; + I2C_INPUT_LEN = 0; end - else if ((last_uart_active == 0) && (UART_ACTIVE == 1)) - last_uart_active = UART_ACTIVE; else if (UART_WR == 1) - UART_WR = 0; - - else if (int_tmr[19] != 1) - int_tmr = int_tmr + 1; + UART_WR <= 0; - else if ((int_tmr[19] == 1) && (I2C_OUTPUT_TYPE == 3) && (I2C_TRANS == 0)) begin - if (ring_rd != ring_wr) - INT = 0; + else if ((last_isr == 0) && (ISR == 1) && (INT == 1)) begin // INTERRUPT FROM KEYBOARD + /*if ((ring_wr + 1) != ring_rd) + ring_wr = ring_wr + 1; + ring_report[ring_wr * 8 + 0] <= kbd_report[ (8 * 0 + 7) : (8 * 0 + 0) ]; + wr_cnt = 1;*/ + INT = 0; + I2C_OUTPUT_TYPE = 3; + I2C_OUT_DESC_MASK = 8'h00; end /*else if (wr_cnt != 0) begin ring_report[ring_wr * 8 + wr_cnt] <= kbd_report[ (8 * wr_cnt + 7) : (8 * wr_cnt + 0) ]; @@ -280,7 +247,10 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, // if (wr_cnt == 0) // START ISR end*/ - + last_wr <= I2C_WR; + last_trans <= I2C_TRANS; + last_uart_active <= UART_ACTIVE; + last_isr <= ISR; end end @@ -292,8 +262,6 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, assign LED2 = KBD_LED_STATUS[0]; assign LED3 = KBD_LED_STATUS[1]; assign LED4 = KBD_LED_STATUS[2];//KBD_FREEZE;//UART_ACTIVE; - //assign LED3 = UART_ACTIVE; - //assign LED4 = uart_double_ff; //assign ACK = I2C_READ;//I2C_WR; //I2C_ACK; assign COM_TX = UART_TX_LINE;//COM_RX; diff --git a/i2c_keyboard/uart.v b/i2c_keyboard/uart.v index b672f3a..8e54b1e 100644 --- a/i2c_keyboard/uart.v +++ b/i2c_keyboard/uart.v @@ -8,9 +8,7 @@ module uart ( input CLK, input RESET, input TX_SIGNAL, input [7:0] TX_BYTE, // IF BYTE IS TRANSMITTING, ATTEMPT TO TRANSMIT OTHER BYTE HAS NO EFFECT // MODULE WORKS AT POSEDGE -parameter CLK_DIV = 13; // 921600 -//parameter CLK_DIV = 5000; // 2400 -//parameter CLK_DIV = 104; // 115200 +parameter CLK_DIV = 13; reg TX_sig_last; reg [3:0] tx_bit_counter; reg [3:0] tx_clk_counter; // MUST CONTAIN CLK DIV