diff --git a/i2c_flash/.sconsign.dblite b/i2c_flash/.sconsign.dblite new file mode 100644 index 0000000..2ed364d Binary files /dev/null and b/i2c_flash/.sconsign.dblite differ diff --git a/i2c_flash/apio.ini b/i2c_flash/apio.ini new file mode 100644 index 0000000..1faba9f --- /dev/null +++ b/i2c_flash/apio.ini @@ -0,0 +1,3 @@ +[env] +board = icestick + diff --git a/i2c_flash/hardware.asc b/i2c_flash/hardware.asc new file mode 100644 index 0000000..cd0dc99 --- /dev/null +++ b/i2c_flash/hardware.asc @@ -0,0 +1,5969 @@ +.comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) +.device 1k +.io_tile 1 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 0 +000000000000000000 +000100000000000000 +000000110000000000 +000000000000000000 +000000000000001100 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 0 +000001110000000000 +000000001000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 2 +000000000000000000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001000000000000000000000010 +000000000000000000000000000111100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 7 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001001000000000000000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 3 +000000000000001000000010100101001000000010000001000000 +000000000000000001000111101101111010000000000000000000 +111000000000001111000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +010000000000000111000110010101111000001100110000000000 +010000000000000000000010000000000000110011000000000000 +000000000000011000000000011001100000000011000000000000 +000000000000100001000010001101000000000000000000000000 +000000000000000000000000000101000000000001000100000000 +000000000000000000000000000001100000000011001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 3 +000000000000000000000111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +111000000000000001100011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000001100011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001111000000000110010100000 +000000000000000000000000000111101011101000110000000000 +000000000000000101100000001101111010010100110110000010 +000000000000000000000000000011101001111100110000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 3 +000000000000000000000110010101100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +111000000000000000000000000001100000000000001000000000 +000000000000000000000010110000101101000000000000000000 +010000000000000000000011000101101000001100111000000000 +110000000000000000000100000000101111110011000000000000 +000000000000100000000110010101101000001100110000000000 +000000000001011101000010000000101101110011000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001111011000010000000000000 +000000001000000000000000000101111011000000000000000000 +000000000000000000000111000000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +010000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010001000000000 +.logic_tile 7 3 +000000000000000000000110110101100000000000001000000000 +000000000000000000000010100000100000000000000000001000 +000000000000000001100000010000000000000000001000000000 +000000000000000000000010000000001101000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000010110000001000000000000000000000 +000010100000000000000010100000001000111100000000000000 +000001000000000000000100000000000000111100000000000000 +000010000000000000000000000000000000000010000000000000 +000000000010000000000000001001000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 4 +010000000000000000000000010101100000000000001000000000 +000000000000000000000011110000000000000000000000001000 +111000000000000000000110000001100000000000001000000000 +000000000000000000000010100000101110000000000000000000 +010000000000000000000110000001101000001100111000100000 +010000000000000101000000000000101100110011000000000000 +000000000000000001100000000101101000001100110000000000 +000000000000000101000000000000001110110011000000000000 +000000000000000000000000010000000000000000000000000000 +000000000010000111000010000000000000000000000000000000 +000000000000000000000000000101000000000001000100000000 +000000000000000000000000000101100000000011001000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000111000000000000000000000000000000000000 +010000000000000000000000000011000000000001000100000000 +000000000000000000000000000101100000000011001000000000 +.ramt_tile 3 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010001101100000000001000001100000 +000000000000000000000100001001000000000011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 4 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000001000000000010001111000001100110000000000 +000000000000000001000011010000010000110011000000000000 +110000000000000001100110000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000001000000000001011100000000000000000000000 +000000000000000001000000000101100000000011000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000011100101000000000010001000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000010000100000000 +001000000000000000000000001101000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 4 +000000000000000000000110100101100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000000000000000000000000000000000001000000000 +000000000000000101000010100000001001000000000000000000 +110000000000000101100000000000001001001100110000000000 +110000000000000000000000000000001001110011000000000010 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000101000000000000000000000001 +000000000000000000000000001001000000000011000000000000 +000000000000001000000011100000000000000010000000000000 +001000000000000101000000000101000000000000000000000000 +000000000000000000000000000001101100000111110100000000 +000000000000000000000000000111011101000011110000000100 +.logic_tile 8 4 +000000000000000001000000011101011000001001000000000000 +000000000000000001000010000001101001000101000000000000 +000000000000000011100000010000000000000000000000000000 +000000000000001001000010100000000000000000000000000000 +000000000000000000000000000000001101001100110000000000 +000000000000000000000010010000011001110011000000000000 +000000000000000000000000000001001001100100000000000000 +000000000000000000000000000001011000010100000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.ramb_tile 10 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 5 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 12 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +.logic_tile 6 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000010000000 +000000000000000000000000000000000000000000000000100100 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000001010000000000000011100000000000000010000001 +000000000000000000000000001101000000000001000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000010000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 6 +000000000000000000000000001101100000000001010000000000 +000000000000000000000000001101001011000001100000000010 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000110000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000010101000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 +.logic_tile 9 6 +000000000000000000000110001001000001000010100000100000 +000000000000001011000011011001101000000010010000000000 +111000000000001001100000011001000001000001010000100000 +000000000000001111000010000111101010000001100000000000 +110000000000000000000000000001100001000001010000100000 +000000000000000000000010110001001000000001100000000000 +000000000000001000000010100000000000000000000100000000 +000000000000001111000100001101000000000010000000000000 +000000000000000000000011001000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000110000000000000001011000000000010000000000000 +000000000000010000000111100000000000000000000100000000 +000000000000100000000000001111000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000001101000000000010000000000000 +000000000000100000000000001000000000000000000100100000 +000000000001010000000000001101000000000010000000000000 +.logic_tile 12 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 6 +000010000000000010 +000001010000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 7 +000000000000000000000110000111100000000000001000000000 +000000000000000000000110000000100000000000000000001000 +111000000000000000000110010111100000000000001000000000 +000000000000000000000010000000001001000000000000000000 +110000000000000000000110010001101000001100111000000000 +010000000000000000000010000000101001110011000000000000 +000000000000000001100000000001101000001100110000000000 +000000000000000000000000000000101001110011000000000000 +000000000000000000000000010101000000000010000000000000 +000000000000000000000010100111101011000000000000000000 +000000000000000000000000001111111001000010000000000000 +000000000000000000000000001111011001000000000000000000 +000000000000000101100000000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +010000000000000101100000010000000000000000000100000000 +110000000000000000000010101101000000000010001000000000 +.logic_tile 6 7 +000000000000001000000000011001100000000011000000000000 +000000000000000001000010001001000000000000000000000000 +111000000000000001100000010001101010001100110000000000 +000000000000000000000010000000110000110011000000000000 +010000000000001001100010101111100001000011000000000000 +010000000000000001000000000111101010000011110000000000 +000000000000001101100000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000001011111010010110100000000011 +000000000000000000000000001011001000011010100000000000 +000000000000000101100000000000000000000000000100000000 +000000000000000000000010100101000000000010001000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010001000000000 +010000000000000101100000000000000000000000000000000000 +110000000000000000000010100000000000000000000000000000 +.logic_tile 7 7 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000001000000000000000000000001 +111000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 7 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000101000001 +010000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 7 +000010100000001000000111110000000000000000000000000000 +000010000000000011000111110000000000000000000000000000 +111000000000000001000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000100000000000000011101000001000001010100000000 +100000000000000000000010101011101000000001100000000000 +110000000000000000000000000001000001000001010100000000 +110000000000000000000000001111101000000001100000000000 +110000000000000000000000001001000001000001010100000010 +110000000000000000000000000011101000000001100000000000 +000000000000000000000111010000000000000000000000000000 +000000000000000101000110100000000000000000000000000000 +000000000000001001000000000011100001000001010100000000 +000000000000000101100010100111101000000001100000000000 +000000000000000000000000000001000001000001010100000000 +000000000000000000000000000111001000000001100000000000 +.ramb_tile 10 7 +000000000001000111100000010001000000000000 +000000000000000000100011000111000000000000 +111000000000000011100000001000000000000000 +000000000000000000100000000001000000000000 +010000000000000000000000000011000000000000 +010000000000001011000000000011100000000000 +000000000000000000000000001000000000000000 +000000000000000000000011010111000000000000 +000000000000000111100010001011000000000000 +000000000000000101000100001111000000000001 +000000000000001000000000001000000000000000 +000000000000000011000000001111000000000000 +000000000000000101000000001101000000000000 +000010000000000000000000000111000000000000 +110000000000000101000010101000000000000000 +010000000000000101000010101101000000000000 +.logic_tile 11 7 +000000000000000000000010010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +111000000000000001000000001111001100000010000000000001 +000000000000000001000000001111001001000000000000000000 +010000000000000011100010000000000000000000000000000000 +100000000000000000100100000000000000000000000000000000 +110000000000000000000000000101000001000001010100000000 +110000000000000000000000000111101000000001100000000000 +110000000000000000000000000111100001000001010100000100 +110000000000001111000000001001101000000001100000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000111100001000001010100000000 +000000000000001101100000000101001000000001100000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000011010000000000000000000000000000 +.logic_tile 12 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001001100000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +.io_tile 13 7 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001000 +001100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +010011010000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 8 +000000000000000000000000000000000000000010000000000000 +000000000000000000000010001001000000000000000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 8 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 8 +000000000000001000000111001001000000000001000001000000 +000000000000001111000000000001100000000000000010000000 +111000000000000000000010000000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +110000100001000000000000000001100000001100110001000000 +110001000000010000000000000000101000110011000000000000 +110000000000000011100000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000100000000 +000000000000000000000100001011000000000010001000100000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +.logic_tile 9 8 +000000000000000000000000011111011000100000000000000000 +000000000000000000000010011001111010000000000001000000 +111000000000000000000010011000000000000010000100000000 +000000000000000000000111110101000000000000000000000000 +110000000000001111100000001000000000000000000100000001 +100000000000001001100000000011000000000010000000000000 +000000000000001000000000011000000000000000000100000001 +000000001110001001000010011101000000000010000000000000 +000000000000100000000000010000000000000000000100000001 +000000000001000000000010101011000000000010000000000000 +000010100000001000000000000000000000000000000100000000 +000000000000000101000000000101000000000010000000100000 +000000000000000000000000010000000000000010000100000000 +000000000000000000000010011001000000000000000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000010101111000000000010000000000000 +.ramt_tile 10 8 +000010000000000000000010000011100000000010 +000000010000000000000000000111100000000000 +111000000000001011100000000000000000000000 +000000010000001011100000000101000000000000 +000000000000001000000000000001000000000000 +000000000000010011000010010011100000000000 +000000000000000000000000001000000000000000 +000000000000000000000000000111000000000000 +000000000000001000000110101101000000000000 +000000000000000101000000001111100000000000 +000000000000000000000010101000000000000000 +000000000000000000000100001111000000000000 +000000000000000101100000001001100000001000 +000000000000000000000000000111000000000000 +010000000000101101100110110000000000000000 +010000000000010101000010101011000000000000 +.logic_tile 11 8 +000000000000000000000010001111000000000001010100000000 +000000000000000000000000000001101110000001100010000000 +111000000000000000000010001101000000000001010100000000 +000000000000001001000100001001101100000001100011000000 +010000001000000000000011000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000100000000010101111100000000001010100000010 +000000000000010111000100000101101100000001100000000000 +000000000000001011000110100011000000000001010100000100 +000000000000000111100000000011101110000001100000000000 +000000000000001101100000010011100000000001010100000000 +000000000000000101010010101001101100000001100000000000 +000000000000000011000000011011100000000001010100000000 +000000000000000000100010101101001110000001100000000000 +000000000000000000000110101011100000000001010100000000 +000000000000000001000000000111001100000001100000000000 +.logic_tile 12 8 +000000001010001101100110100001000000000000001000000000 +000000000000000101000000000000000000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000001110001101100110110000001001001100111000000000 +000000000000000101000010100000001010110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000111000000000000001001001100111000000000 +000000000000000000100000000000001011110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000000000000000000001000001100110000000000 +000000000000010000000000000000001001110011000000000000 +.io_tile 13 8 +000001110000000010 +001000000000011000 +000000000000000000 +000000000000000001 +000000000000000101 +000000000001001000 +001100000001100000 +000000000000000000 +000000000000000000 +000010110000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000001011000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000000000000000000011100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000000010000000001000000001000000000 +000000000000000000000010000000001111000000000000000000 +000000000000000000000011000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001110000000000000000000 +001000000000000000000000000000001000111100000010100001 +000000000000000000000000000000000000111100000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 +.logic_tile 5 9 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000111000000000011100001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000001000000010000101101000001100111010000000 +000000000000000111000000000000001011110011000010000000 +000000000000000001100000000101101001001100111000000000 +000000000000000011000000000000001100110011000000000010 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000010 +000000000000001111100000001000000000000010000000000000 +000000000000000101100000000001000000000000000000000000 +000000000010000000000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +.logic_tile 6 9 +000000000001011001000010111011100000000000100000000000 +000000000000100001100110001101101010000000000000000000 +111000000000000001100110000001000000000000010001000000 +000001000000001011000000001001001011000000000000000000 +000000001010001011100010111001100001000010000000000000 +000000000000000001100110100101001000000000000000000000 +000000000000000011100111000000001011001100110000000000 +000000001100001111100010110000001010110011000000000001 +000000000000000000000010100001100000000000000000000000 +000000000000000000000010011011100000000001000000000000 +000000000000001000000010000111100001000000100000000000 +000000000000010001000100000001101001000001000000000000 +000000000000000111100000000101000000000000000001000000 +000000000000000000000000000111001011000000010010000000 +000000000000000000000110100011101001111100000100000000 +000000000000000000000000001011011011111100010010000000 +.logic_tile 7 9 +000000000000001000000010100011100000000000000000000000 +000000000000000001000100001011100000000001000001000000 +111000000000000001100011000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000111100010110001100001000000100000000000 +000000000000000000100110000011101100000000000000000000 +000000000000001000000011010101100001000000100000000000 +000000001010000001000110000111101000000000000000000000 +000000000000000000000000010101100000000000000000100000 +000000000000001101000011011011100000000001000000000000 +000000000000001000000110000001001010001100110000000000 +000000000000001111000010110000000000110011000000000000 +000000000000000000000110000101101011111100000100000000 +000000000000001101000000001101011101111100010000000000 +000000000000000000000000000001001111111100000100000000 +000001000000000000000010111001011111111100010000000000 +.logic_tile 8 9 +000000000000100011100000000001111001101100000000000000 +000000000001010011100011110111101101110100000000000001 +111000000000000101100110100101111011010000000001000000 +000000000000000000000011000011101111001000000000000000 +010000000000001000000000000101000000000001000000000010 +010000000001010001000000000001000000000000000000000000 +000000000000000101100110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000001101000000000001000000000000 +000000000000000000000000001001000000000000000000100000 +000000000000000000000000010101111101000100100000000000 +000000000000000000000011100011011001000000000010000000 +000001000000000011100000000001111001000011010000000000 +000000100000000000000000000111101101000010110000000000 +000000000000000000000000010000000000000000000110000001 +000000000000000000000011100001000000000010000010000010 +.logic_tile 9 9 +000000000000001101000000000001100000000001000000000000 +000000000000000111000010010001000000000000000000000001 +111000000000001101100010111011101010100100000000000000 +000000000000000001000010101101001010101000000000000000 +010000000000001101100110000000000000000000000000000000 +010000000000001111000000000000000000000000000000000000 +000000000000001101100011101011001110101000000000000000 +000000000000001111100110101001101010011000000000000000 +000000000110000001100011101011011001011000000000000000 +000010100000000001000010000101101011101000000000000000 +000000100000010001100000000011011000100100000000000000 +000000000000100001000000000001011010101000000000000000 +000000000000000011100000001011011100000010000000000000 +000000000000000000100000000001001011000000000000000010 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000010000000 +.ramb_tile 10 9 +000010100000000000000000000000000000000000 +000001010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 9 +000000000000000011000000001001000000000001000000000000 +000000000000000000100000001101000000000000000000000010 +111000000000000011000011000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +010000001100000011000110000000000000000000000000000000 +110000000000000000100000000000000000000000000000000000 +000000000000000000000011001101000000000001000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001111100000000001000000000001 +000000000000000000000000001001100000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000001000000111101000000000000000000100000001 +000000000000001111000100000111000000000010000000100001 +.logic_tile 12 9 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111000000001000000000000000000000000000010000101000000 +000000000000000000000000000001000000000000000000000000 +110010000000000000000000000000000000000000000000000000 +100001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 9 +000000000000000000 +000100000000000000 +000001111000000000 +000000001000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 10 +000001000000000101000010101001000000000001100000000000 +000000000000001001100011100001101100000001010000000000 +111000000001001111000110000001000001000010000000000000 +000000000000100001000000000001001011000000000000000000 +000000000000001000000110000011100001000000000000000000 +000000000000010001000011101001101111000000010000100000 +000000000000001001000110010011011011010010110000000000 +000000000000000001100010000111101010010001110000000000 +000000000000001001100000010001100000000010000000000000 +000000000000000101000010001001100000000000000000100000 +000000000000001000000110100011100000000001000000100000 +000000000110001001000000001111000000000000000000000100 +000000000000001000000000011001101011000010000000000000 +000000000000000101000010000111011011000011000000000000 +000000000000000101100010100101011100111100110100000000 +000000000000000000000000000011101010010100110000000000 +.logic_tile 6 10 +000000000000001011100110010101111100111011110000100000 +000000000000001111100010000111111000111111100000000000 +000000000000001111100010100001101100110011110000000000 +000000000000000001000110011101001000010010100000000010 +000000000000000000000000001001100000000000000000000000 +000000000000001101000010110111100000000001000000100001 +000000000000000000000110000001111011000100000000000000 +000000000000000000000010010011111100000000000000000000 +000000000000001101000000000101100000000010000000000000 +000000000000000101100000000111100000000000000000000000 +000000000000000011100110101001000000000000000000000000 +000000000000000000100000001011000000000001000000000010 +000010000000000101000000000101001001000011100000000000 +000000000000000000100000001001011000000011110000000000 +000000000000000101000110101111100000000000000000000000 +000000000000000000000000000001100000000001000000000000 +.logic_tile 7 10 +000000000000001001000111011101100001000000100000000001 +000000000000001111000010100101001001000000000000000000 +111000000000000101100000010111000000000000000000000000 +000000000000000000000010000001000000000001000000000000 +000000000000001001100111011001100000000000000000000000 +000000000000000001000110000011000000000001000000000000 +000000000000000101000000001011000000000000010000000000 +000000000000001101100011011101101100000000110000000000 +000000000000000011100000010101011110010110000000000001 +000000000000000000000010001001001011110110000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000011100000000101001000110011110100000000 +000000000000000000000000000001111010010011110000000100 +000000000000000000000000001000000000000000000100000000 +000000000000000111000000000011000000000010000000000000 +.logic_tile 8 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 10 +000000000000000000000000001101100000000000000000000001 +000000000000000000000000001101000000000001000000000000 +111010000000000000000000000011100000000001000010000000 +000001000000000000000000001011100000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000110000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000001111100000000001000010000000 +000000000000000000000000000111100000000000000000000000 +000000000000000111000000011000000000000000000100000000 +000000000000000000000010010101000000000010000000000000 +.ramt_tile 10 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 10 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +111000000000000000000000001000000000000000000110100001 +000000000000000000000000001011000000000010000000000010 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 10 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000001000000000000000000100100000 +010000000000000000000000001111000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +.io_tile 13 10 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000100000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000000000001100000 +000000000000000000 +000000000000000000 +000000000000011000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 11 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000011000000000000000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +.logic_tile 5 11 +000000000000000011100110010001000000000001000000000000 +000000000000001101000011110011000000000000000000000000 +111000000000001011100000001001000000000010110001000100 +000000000000000001100000000001101010000000110000000000 +000000000000001011100000001101100000000010010000000000 +000000000000001111100000001111001010000010100000000000 +000000000000001001100110000101111000011100000000000000 +000000000000000001100000000001001100001100000000000000 +000000001111000101100000010101000001000000100000000000 +000000000010000101000010001001001011000000000000000000 +000000000000000000000000000001000000000001000000000000 +000000000000000000000000001111000000000011000000000001 +000000000000001000000110100000000000000000000000000000 +000000000000000001010011010000000000000000000000000000 +000000000000000000000111100011001110111100110110000000 +000000000000000000000100000111111001111110110000000000 +.logic_tile 6 11 +000000000000000011100000011101000000000001000000000000 +000000000000000001100011110101100000000000000000000000 +111010100000000101000110010001001010000001110000000000 +010100000000000000000010000111001101000000110000000000 +000000000000001101100000010101011100110011110000000000 +000100001110001001000011110011001111010010100000000000 +000000000000000101000000000111100001000000000000000000 +000000000000000101000000000011001010000000010000000000 +000000000000001001000010110011111011110000000000000000 +000000000000000001000010101111001001111000000000000000 +000000000000000001100000001001000001000000000000000000 +000000000000000000000000001001101000000000010000000000 +000000000000001000000110100001000000000000000000000000 +000010000000000001010000000011000000000001000000000000 +000000000000001001100000001011000000000011000100000000 +000000000000000001100000000111100000000010000010000000 +.logic_tile 7 11 +000000000000000101000010101011100000000000110000000000 +000000000000000000100100001001001101000000100000000000 +111000000000000101100000001101011101010000000000000000 +000000000000000000000011001101001000000000000000000000 +000000000000001101000110101011101010000011100000000000 +000000000000000001100100000111101100000011110000000000 +000000000000001111000010100101100000000010000000000000 +000000000000001111000111000001000000000000000000000000 +000000000000000111000000010101100001000000100000000000 +000000000000001101100010011101001011000000000001000000 +000010100000000001100011101101100000000000100010000001 +000000000000001111100100000101101100000000000001100000 +000011100000000001100000000001000000000001000101000000 +000010000000000000000000000111100000000011000000000010 +000000000000000001100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 8 11 +000000000000000000000000000001111001001100000000000100 +000000001110000000000000001111011000101101010000000000 +111000000000001011000110010001100001000001100000000000 +000000000000001111000010101101001001000000000000000001 +000000000000000000000000011011000000000000000000000000 +000000000000000000000010001001100000000001000000000000 +000000000000001000000000001001100000000000000000100000 +000000000000001111000000001001000000000001000000000000 +000000000000000000000111000101011001000000010000100000 +000000001000000000000100000111111000000100010000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000001000111001001100000000000000000000010 +000000000000000000000100000001100000000011000000000000 +000000000000000000000000001000000000000000000100000000 +000010000000010000000000000101000000000010000000000000 +.logic_tile 9 11 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 +110000001100000000000100000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101100001000010010100000000 +000000000000000000000000001001101001000010100000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +010000000001000000000010010000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001100000000000000000100010 +000000000000000000000000000101000000000001000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000101000010 +000000000000000000000000001101000000000010000000000000 +.logic_tile 12 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000111000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000100100100 +000000000000000000000000001111000000000010000000000000 +.io_tile 13 11 +000000000000000010 +000101110000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000110010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000011010000000000 +.io_tile 0 12 +000010000000000010 +000110110000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 12 +000000000000100000000000010011101011010111000100100000 +000000000001000000010011001001011110111111000000100001 +111000000000001000000000000000000000000000000000000000 +000000001100001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +001100000000000000000000000000000000000000000000000000 +010000000000000101100000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +.logic_tile 6 12 +000000000000000111000110000011000000000000000000000000 +000000000000010000000100000111100000000001000010000010 +111000000000000001100111100000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000001000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001101000000001101101111110000000000000000 +000000000000000001000010110001011001110001010000000000 +000000000000001000000110100001000000001100110000000000 +000000000000000101000000000000001100110011000000000000 +000000000000000000000110000101100000000001000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000001100110110011111010111100000100000000 +001000000000100000100010100111111011011100010000100000 +000000000000000000000000001011000000000001010110000000 +000000000000000000000000000101001111000001100000000000 +.logic_tile 7 12 +000000000000001111000110001011000000000000000000000000 +000000000000000101000011110101100000000001000000000100 +111000000000000101000110001101111000000011010000000000 +000000000000001101000010101001101000000011110000000000 +000000000000000000000000000111001011000001110000000000 +000000000000001101000000000001101100000000110000000001 +000000000000000101000111101001100000000000000011100000 +000000000000000000000000000001100000000001000000000000 +000000000000000000000111100001000001000000100000000000 +000000000000000000000100001001101110000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000111000001000010000000000101 +001000000000000000000011110001101100000011000000000000 +000000000000000001100000000000000000000000000100000000 +000000000000000000100000000001000000000010000000000000 +.logic_tile 8 12 +100000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 12 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.io_tile 13 12 +000001111000000010 +000011111000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 13 +000010000000000010 +000101010000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000100000000 +000000000000000000000000000101000000000000000000000100 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 13 +000000001100000011100000000000000000000010000000000000 +000000000000000000000000000111000000000000000000000000 +000010100000000000000000000000000000000010000000000000 +000000000000000000000010011101000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100000001001000000000000000000000000 +000000000000000000000000001001000000000001000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +.logic_tile 7 13 +000000000000000111000110001001001101100000000000000000 +000000000000001001000000001101101001000000000000000100 +111000000000000000000000011111100000000000000000000000 +000000000000000000000010001111100000000001000000000000 +000000000000000001100110010011000000000001000000000000 +000000000000001001000010001101000000000000000000000000 +000000000000001000000111101111100000000010000000000000 +000000000000000111000100001011100000000000000000000000 +000000000000000101000000011111001000000000000000000000 +000000000000000000000010101011111110100000000000000000 +000000000000000000000110010011000001000000010000000000 +000000000000000000000110011101101010000000000000000010 +000000000000000101000000011111111010000100000000000000 +001000000000000000000010100111001000000000000000000000 +000000000000000101000110010101000000000001010100000000 +000000000000000011000011000001001010000001100000000000 +.logic_tile 8 13 +000000000000001001100111000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +111000000000000000000111000101100000000000000000000000 +000000000000000000000100001001100000000001000001000000 +000000000000000000000000001001100000000010000000000000 +000000000000000000000000001101100000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000001011100110001010100000000 +000000000000000000000000001011011000110011110000000000 +.logic_tile 9 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000000000000000010 +000100000000000000 +000000000000000000 +000011110000000001 +000000000000000010 +000000000000110000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.logic_tile 1 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000000000000000000000110010011100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +111000000000001000000110010011100000000000001000000000 +000000000000000001000010000000101011000000000000000000 +110000000000000011100000000101101001001100111000000000 +110000000000000000100010000000101011110011000000000000 +000000000000000000000010010101001000001100110000000000 +000000000000000000000010000000001011110011000000000000 +000000000000000000000000001111111001000010000000000000 +000000000000000000000000001111111001000000000000000000 +000000000000000000000110110000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000110100000000000000000000100000000 +000000000000000000000000001001000000000010001000000000 +010000000000000000000110110000000000000000000100000010 +010000000000000000000010101001000000000010000000000000 +.logic_tile 5 14 +000000000000000000000110110001111000010110100000100001 +000000000000000000000010100111101010011010100000000001 +111000000000001001100111011001100000000011000000000000 +000000000000000101000110001011100000000000000000000000 +010000000000000001100110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000001000000000001111000001000011000000000000 +000000000000000101000000001001001010000011110000000000 +000000000000000000000000000011111100001100110000000000 +000000000000000000000000000000100000110011000000000000 +000000000000000001000110001001000000000010000000000000 +000000000000000000100000000111101001000000000000000000 +000000000000000011100000000000000000000000000100000000 +000000000000000000000000000101000000000010001000000000 +010000000000001000000000001000000000000000000100000000 +010000000000000001000000000111000000000010001000000000 +.logic_tile 6 14 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000010100000000000000000001000000000 +000000000000001101000000000000001010000000000000000000 +000000000000000101000000000000000000000000001000000000 +000000000000000101000010000000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000011000000000000001011000000000000000000 +000000000000000101000000000000000000000000001000000000 +000000000000000000000010100000001101000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000010000000000000000001100000000000000000000 +.logic_tile 7 14 +000000000001000001100010100101000000000000001000000000 +000000000000000000100100000000000000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000001101000000000000001000001100111000100000 +000000000000001011000000000000001010110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000001101000000000000001000001100111000000000 +000000000000000101000000000000001111110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001100110011000010000000 +000000000000000000000110100000001000001100111000000000 +000000000000000000000000000000001100110011000000000000 +000000000000000000000000010000001000001100111000000000 +000000000000000000000010010000001001110011000000000000 +.logic_tile 8 14 +000000000001011000000110000011100000000000000000000000 +000000000000000001000011101001000000000001000000000000 +111000000000001011100111111011111101000010000000000000 +000000000000000101000110101011101100000000000000000000 +000000000000001101000110000000000000000010000010000000 +000000000000000001100011101101000000000000000000000000 +000000000000000011100110000101100000000000000000000000 +000000000000000000000000001101100000000001000000000000 +000000000000001000000000000000000000000010000000100000 +000000000000001001000000000001000000000000000000000000 +000000000000000001100110010001111010110000000100000000 +000000000000001101000010000111101010110001010000000000 +000000000000001000000000000001111000110000000100000000 +000000000000001001000000000011101010110001010000000000 +000000000000000000000000000001111010110000000100000000 +000000000000000000000000000111001001110001010000000000 +.logic_tile 9 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 15 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000010010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 15 +000000000000001000000011100000001001001100110000000000 +000000000000001011000100000000001111110011000000010000 +111000000000001000000010101000000000000010000000000000 +000000000000000001000000001011000000000000000000000000 +000001000000001011100110000000000000000010000000000000 +000010100000001011100000000111000000000000000000000000 +000000000000000000000000011001100000000000000000000000 +000000000000000000000011001101000000000001000000000000 +000000000000001000000000000001101000110000000100000010 +000000000000000001000000000001111011110001010000000000 +000000000000000000000110100011001011110000000100000000 +000000000000000000000000000101001101110001010000000011 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111001001100000000000000000000000 +000000000000000000000010101001100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001101100000000000000000000000 +000000000000000000000000001001000000000001000000000000 +.logic_tile 9 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 17 +000001011000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 17 +000000000000000000 +000000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.ram_data 10 7 +0000000000000000000000000000000000000000000000000000000000005555 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +.sym 1 $abc$5798$n31$2 +.sym 2 $abc$5798$n158$2 +.sym 4 $0\UART_WR[0:0]$2 +.sym 6 $abc$5798$n247$2 +.sym 7 CLK$2$2 +.sym 8 $abc$5798$n141$2 +.sym 830 CLK$2 +.sym 836 CLK$2 +.sym 944 $0\UART_WR[0:0] +.sym 1289 COM_TX$2 +.sym 1403 I2C.is_read +.sym 1517 COM_DSR$2 +.sym 1522 $undef +.sym 2234 $abc$5798$n286_1 +.sym 2236 $abc$5798$n288 +.sym 2237 $abc$5798$n549 +.sym 2238 UART.tx_clk_counter[0] +.sym 2240 UART.tx_clk_counter[1] +.sym 2371 $abc$5798$n291 +.sym 2372 $abc$5798$n292 +.sym 2374 UART.tx_clk_counter[3] +.sym 2376 UART.tx_clk_counter[2] +.sym 3319 $abc$5798$n383 +.sym 4598 $abc$5798$n549 +.sym 4599 $abc$5798$n288 +.sym 4600 $abc$5798$n291 +.sym 4601 $abc$5798$n292 +.sym 4610 $false +.sym 4611 UART.tx_clk_counter[0] +.sym 4612 $false +.sym 4613 $true$2 +.sym 4616 UART.tx_clk_counter[1] +.sym 4617 UART.tx_clk_counter[0] +.sym 4618 $false +.sym 4619 $false +.sym 4622 $abc$5798$n286_1 +.sym 4623 $abc$5798$n288 +.sym 4624 $false +.sym 4625 $false +.sym 4634 $abc$5798$n549 +.sym 4635 $false +.sym 4636 $false +.sym 4637 $false +.sym 4644 $abc$5798$n246 +.sym 4645 CLK$2$2 +.sym 4646 $abc$5798$n31$2 +.sym 4683 $true +.sym 4720 UART.tx_clk_counter[0]$2 +.sym 4721 $false +.sym 4722 UART.tx_clk_counter[0] +.sym 4723 $false +.sym 4724 $false +.sym 4726 $auto$alumacc.cc:470:replace_alu$1336.C[2] +.sym 4728 UART.tx_clk_counter[1] +.sym 4729 $true$2 +.sym 4732 $auto$alumacc.cc:470:replace_alu$1336.C[3] +.sym 4733 $false +.sym 4734 UART.tx_clk_counter[2] +.sym 4735 $true$2 +.sym 4736 $auto$alumacc.cc:470:replace_alu$1336.C[2] +.sym 4739 $false +.sym 4740 UART.tx_clk_counter[3] +.sym 4741 $true$2 +.sym 4742 $auto$alumacc.cc:470:replace_alu$1336.C[3] +.sym 4751 $abc$5798$n286_1 +.sym 4752 $abc$5798$n292 +.sym 4753 $false +.sym 4754 $false +.sym 4763 $abc$5798$n286_1 +.sym 4764 $abc$5798$n291 +.sym 4765 $false +.sym 4766 $false +.sym 4767 $abc$5798$n246 +.sym 4768 CLK$2$2 +.sym 4769 $abc$5798$n31$2 +.sym 5612 $abc$5798$n323_1 +.sym 5613 $abc$5798$n7 +.sym 5614 $false +.sym 5615 $false +.sym 7176 $abc$5798$n171 +.sym 7178 $abc$5798$n608 +.sym 7684 $abc$5798$n278 +.sym 7685 $abc$5798$n279 +.sym 7686 $abc$5798$n27 +.sym 7688 I2C.scl_cnt[2] +.sym 7689 I2C.scl_cnt[3] +.sym 8409 $abc$5798$n251 +.sym 8410 UART.tx_activity +.sym 8529 $abc$5798$n246 +.sym 8899 $abc$5798$n271 +.sym 8900 $abc$5798$n272 +.sym 8901 $abc$5798$n235 +.sym 8902 $abc$5798$n25 +.sym 8903 I2C.sda_cnt[3] +.sym 8904 I2C.sda_cnt[2] +.sym 9145 $abc$5798$n79 +.sym 9146 $abc$5798$n71 +.sym 9147 $abc$5798$n584 +.sym 9148 $abc$5798$n369 +.sym 9149 $abc$5798$n585 +.sym 9150 $abc$5798$n607 +.sym 9179 $true +.sym 9216 $abc$5798$n607$2 +.sym 9217 $false +.sym 9218 $abc$5798$n607 +.sym 9219 $false +.sym 9220 $false +.sym 9222 $auto$alumacc.cc:470:replace_alu$1311.C[2] +.sym 9224 $false +.sym 9225 $abc$5798$n585 +.sym 9228 $auto$alumacc.cc:470:replace_alu$1311.C[3] +.sym 9230 $false +.sym 9231 $abc$5798$n608 +.sym 9234 $abc$5798$n171$2 +.sym 9236 $false +.sym 9237 $abc$5798$n609 +.sym 9244 $abc$5798$n171$2 +.sym 9253 $abc$5798$n367 +.sym 9254 $false +.sym 9255 $false +.sym 9256 $false +.sym 9266 $abc$5798$n424 +.sym 9267 $abc$5798$n353 +.sym 9268 $abc$5798$n342_1 +.sym 9269 $abc$5798$n425 +.sym 9270 $abc$5798$n609 +.sym 9271 $abc$5798$n341_1 +.sym 9272 $abc$5798$n354_1 +.sym 9273 I2C.i2c_bit_counter[3] +.sym 9389 $abc$5798$n381 +.sym 9390 $abc$5798$n29 +.sym 9391 $abc$5798$n382_1 +.sym 9392 $abc$5798$n385 +.sym 9393 $abc$5798$n384_1 +.sym 9394 COM_DSR$2 +.sym 9396 I2C.wr +.sym 9512 I2C.i2c_state_machine +.sym 9640 I2C.SCLD +.sym 9758 $abc$5798$n9 +.sym 9759 $abc$5798$n276 +.sym 9761 $abc$5798$n170 +.sym 9762 $abc$5798$n275 +.sym 9763 $abc$5798$n238 +.sym 9764 I2C.scl_cnt[1] +.sym 9765 I2C.scl_cnt[0] +.sym 9794 $true +.sym 9831 I2C.scl_cnt[0]$2 +.sym 9832 $false +.sym 9833 I2C.scl_cnt[0] +.sym 9834 $false +.sym 9835 $false +.sym 9837 $auto$alumacc.cc:470:replace_alu$1327.C[2] +.sym 9839 I2C.scl_cnt[1] +.sym 9840 $true$2 +.sym 9843 $auto$alumacc.cc:470:replace_alu$1327.C[3] +.sym 9844 $false +.sym 9845 I2C.scl_cnt[2] +.sym 9846 $true$2 +.sym 9847 $auto$alumacc.cc:470:replace_alu$1327.C[2] +.sym 9850 $false +.sym 9851 I2C.scl_cnt[3] +.sym 9852 $true$2 +.sym 9853 $auto$alumacc.cc:470:replace_alu$1327.C[3] +.sym 9856 I2C.scl_cnt[0] +.sym 9857 I2C.scl_cnt[1] +.sym 9858 I2C.scl_cnt[2] +.sym 9859 I2C.scl_cnt[3] +.sym 9868 $abc$5798$n278 +.sym 9869 $false +.sym 9870 $false +.sym 9871 $false +.sym 9874 $abc$5798$n279 +.sym 9875 $false +.sym 9876 $false +.sym 9877 $false +.sym 9878 $abc$5798$n170 +.sym 9879 CLK$2$2 +.sym 9880 $abc$5798$n27 +.sym 10358 $abc$5798$n247 +.sym 10515 $abc$5798$n610 +.sym 10516 $abc$5798$n612 +.sym 10518 $abc$5798$n288_1 +.sym 10519 UART.tx_bit_counter[2] +.sym 10520 UART.tx_bit_counter[3] +.sym 10611 $abc$5798$n288_1 +.sym 10612 $abc$5798$n286_1 +.sym 10613 $abc$5798$n283_1 +.sym 10614 UART.tx_activity +.sym 10617 $abc$5798$n288_1 +.sym 10618 $abc$5798$n286_1 +.sym 10619 $abc$5798$n283_1 +.sym 10620 UART.tx_activity +.sym 10633 $true +.sym 10634 CLK$2$2 +.sym 10635 $false +.sym 10637 $abc$5798$n595 +.sym 10639 $abc$5798$n594 +.sym 10640 UART.tx_bit_counter[0] +.sym 10642 UART.tx_bit_counter[1] +.sym 10716 $abc$5798$n283_1 +.sym 10717 UART.tx_activity +.sym 10718 $false +.sym 10719 $false +.sym 10885 $true$2 +.sym 11005 $abc$5798$n269 +.sym 11006 $abc$5798$n268 +.sym 11007 $abc$5798$n168 +.sym 11009 $abc$5798$n7 +.sym 11010 I2C.sda_cnt[1] +.sym 11011 I2C.sda_cnt[0] +.sym 11041 $true +.sym 11078 I2C.sda_cnt[0]$2 +.sym 11079 $false +.sym 11080 I2C.sda_cnt[0] +.sym 11081 $false +.sym 11082 $false +.sym 11084 $auto$alumacc.cc:470:replace_alu$1330.C[2] +.sym 11086 I2C.sda_cnt[1] +.sym 11087 $true$2 +.sym 11090 $auto$alumacc.cc:470:replace_alu$1330.C[3] +.sym 11091 $false +.sym 11092 I2C.sda_cnt[2] +.sym 11093 $true$2 +.sym 11094 $auto$alumacc.cc:470:replace_alu$1330.C[2] +.sym 11097 $false +.sym 11098 I2C.sda_cnt[3] +.sym 11099 $true$2 +.sym 11100 $auto$alumacc.cc:470:replace_alu$1330.C[3] +.sym 11103 $abc$5798$n268 +.sym 11104 $abc$5798$n271 +.sym 11105 $abc$5798$n272 +.sym 11106 $false +.sym 11109 I2C.sda_cnt[0] +.sym 11110 I2C.sda_cnt[1] +.sym 11111 I2C.sda_cnt[2] +.sym 11112 I2C.sda_cnt[3] +.sym 11115 $abc$5798$n272 +.sym 11116 $false +.sym 11117 $false +.sym 11118 $false +.sym 11121 $abc$5798$n271 +.sym 11122 $false +.sym 11123 $false +.sym 11124 $false +.sym 11125 $abc$5798$n168 +.sym 11126 CLK$2$2 +.sym 11127 $abc$5798$n25 +.sym 11128 COM_TX$2 +.sym 11251 $abc$5798$n269_1 +.sym 11252 $abc$5798$n278_1 +.sym 11253 $abc$5798$n347_1 +.sym 11254 $abc$5798$n92 +.sym 11255 $abc$5798$n365 +.sym 11256 $abc$5798$n348_1 +.sym 11257 $abc$5798$n265 +.sym 11258 I2C.i2c_bit_counter[1] +.sym 11287 $true +.sym 11324 $abc$5798$n363$2 +.sym 11325 $false +.sym 11326 $abc$5798$n363 +.sym 11327 $false +.sym 11328 $false +.sym 11330 $auto$alumacc.cc:470:replace_alu$1324.C[2] +.sym 11332 $abc$5798$n365 +.sym 11333 $true$2 +.sym 11336 $auto$alumacc.cc:470:replace_alu$1324.C[3] +.sym 11337 $false +.sym 11338 $abc$5798$n367 +.sym 11339 $true$2 +.sym 11340 $auto$alumacc.cc:470:replace_alu$1324.C[2] +.sym 11342 $abc$5798$n584$2 +.sym 11343 $false +.sym 11344 $abc$5798$n369 +.sym 11345 $true$2 +.sym 11346 $auto$alumacc.cc:470:replace_alu$1324.C[3] +.sym 11352 $abc$5798$n584$2 +.sym 11355 $abc$5798$n609 +.sym 11356 $false +.sym 11357 $false +.sym 11358 $false +.sym 11361 $abc$5798$n365 +.sym 11362 $false +.sym 11363 $false +.sym 11364 $false +.sym 11367 $abc$5798$n363 +.sym 11368 $false +.sym 11369 $false +.sym 11370 $false +.sym 11374 $abc$5798$n345_1 +.sym 11375 $abc$5798$n326_1 +.sym 11376 $abc$5798$n324_1 +.sym 11377 $abc$5798$n266 +.sym 11378 $abc$5798$n327_1 +.sym 11379 $abc$5798$n325_1 +.sym 11380 $abc$5798$n343_1 +.sym 11381 $abc$5798$n268_1 +.sym 11448 $abc$5798$n609 +.sym 11449 $abc$5798$n71 +.sym 11450 $abc$5798$n345_1 +.sym 11451 $false +.sym 11454 $abc$5798$n29 +.sym 11455 $abc$5798$n267 +.sym 11456 $abc$5798$n354_1 +.sym 11457 $false +.sym 11460 $abc$5798$n242 +.sym 11461 $abc$5798$n268_1 +.sym 11462 I2C.is_ack +.sym 11463 $false +.sym 11466 $abc$5798$n345_1 +.sym 11467 $abc$5798$n342_1 +.sym 11468 $abc$5798$n609 +.sym 11469 $abc$5798$n321 +.sym 11472 $abc$5798$n242 +.sym 11473 I2C.i2c_bit_counter[3] +.sym 11474 $false +.sym 11475 $false +.sym 11478 $abc$5798$n342_1 +.sym 11479 $abc$5798$n171 +.sym 11480 $false +.sym 11481 $false +.sym 11484 $abc$5798$n325_1 +.sym 11485 I2C.is_ack +.sym 11486 I2C.i2c_bit_counter[3] +.sym 11487 $abc$5798$n242 +.sym 11490 $abc$5798$n353 +.sym 11491 $abc$5798$n425 +.sym 11492 $abc$5798$n424 +.sym 11493 $abc$5798$n171 +.sym 11494 $true +.sym 11495 CLK$2$2 +.sym 11496 $false +.sym 11497 $abc$5798$n329_1 +.sym 11498 $abc$5798$n386_1 +.sym 11499 $abc$5798$n328_1 +.sym 11500 $abc$5798$n387 +.sym 11501 $abc$5798$n376 +.sym 11502 $abc$5798$n418 +.sym 11503 $abc$5798$n377 +.sym 11504 I2C.is_ack +.sym 11571 $abc$5798$n321 +.sym 11572 $abc$5798$n171 +.sym 11573 $false +.sym 11574 $false +.sym 11577 I2C.i2c_state_machine +.sym 11578 $abc$5798$n242 +.sym 11579 $abc$5798$n243 +.sym 11580 $false +.sym 11583 $abc$5798$n383 +.sym 11584 $abc$5798$n384_1 +.sym 11585 $abc$5798$n342_1 +.sym 11586 $false +.sym 11589 $abc$5798$n171 +.sym 11590 $abc$5798$n325_1 +.sym 11591 $abc$5798$n386_1 +.sym 11592 $abc$5798$n384_1 +.sym 11595 $abc$5798$n242 +.sym 11596 $abc$5798$n243 +.sym 11597 I2C.wr +.sym 11598 $false +.sym 11601 UART.tx_activity +.sym 11602 I2C.i2c_state_machine +.sym 11603 $false +.sym 11604 $false +.sym 11613 $abc$5798$n381 +.sym 11614 $abc$5798$n382_1 +.sym 11615 $abc$5798$n385 +.sym 11616 $abc$5798$n387 +.sym 11617 $true +.sym 11618 CLK$2$2 +.sym 11619 $false +.sym 11620 $abc$5798$n321 +.sym 11623 $abc$5798$n427 +.sym 11624 $abc$5798$n489 +.sym 11625 $abc$5798$n389 +.sym 11626 I2C.byte_counter[1] +.sym 11627 I2C.byte_counter[0] +.sym 11694 $abc$5798$n341_1 +.sym 11695 $abc$5798$n383 +.sym 11696 $abc$5798$n389 +.sym 11697 $abc$5798$n267 +.sym 11740 $true +.sym 11741 CLK$2$2 +.sym 11742 $abc$5798$n29 +.sym 11743 $abc$5798$n599 +.sym 11744 $abc$5798$n600 +.sym 11749 $abc$5798$n258 +.sym 11750 $abc$5798$n583 +.sym 11847 $abc$5798$n9 +.sym 11848 $false +.sym 11849 $false +.sym 11850 $false +.sym 11863 $true +.sym 11864 CLK$2$2 +.sym 11865 $false +.sym 11940 I2C.SCLF +.sym 11941 I2C.SCLD +.sym 11942 $abc$5798$n276 +.sym 11943 $abc$5798$n238 +.sym 11946 I2C.scl_cnt[0] +.sym 11947 I2C.scl_cnt[1] +.sym 11948 $false +.sym 11949 $false +.sym 11958 I2C.SCLD +.sym 11959 I2C.SCLF +.sym 11960 $abc$5798$n27 +.sym 11961 $false +.sym 11964 $false +.sym 11965 I2C.scl_cnt[0] +.sym 11966 $false +.sym 11967 $true$2 +.sym 11970 $abc$5798$n275 +.sym 11971 $abc$5798$n278 +.sym 11972 $abc$5798$n279 +.sym 11973 $false +.sym 11976 $abc$5798$n276 +.sym 11977 $false +.sym 11978 $false +.sym 11979 $false +.sym 11982 $abc$5798$n275 +.sym 11983 $false +.sym 11984 $false +.sym 11985 $false +.sym 11986 $abc$5798$n170 +.sym 11987 CLK$2$2 +.sym 11988 $abc$5798$n27 +.sym 11989 $abc$5798$n596 +.sym 11993 $abc$5798$n603 +.sym 12309 $abc$5798$n247 +.sym 12469 $abc$5798$n31 +.sym 12597 UART.tx_activity +.sym 12598 $abc$5798$n283_1 +.sym 12599 $false +.sym 12600 $false +.sym 12626 $abc$5798$n553 +.sym 12627 $abc$5798$n613 +.sym 12628 $abc$5798$n611 +.sym 12658 $true +.sym 12695 UART.tx_bit_counter[0]$2 +.sym 12696 $false +.sym 12697 UART.tx_bit_counter[0] +.sym 12698 $false +.sym 12699 $false +.sym 12701 $auto$alumacc.cc:470:replace_alu$1339.C[2] +.sym 12703 UART.tx_bit_counter[1] +.sym 12704 $true$2 +.sym 12707 $auto$alumacc.cc:470:replace_alu$1339.C[3] +.sym 12708 $false +.sym 12709 UART.tx_bit_counter[2] +.sym 12710 $true$2 +.sym 12711 $auto$alumacc.cc:470:replace_alu$1339.C[2] +.sym 12714 $false +.sym 12715 UART.tx_bit_counter[3] +.sym 12716 $true$2 +.sym 12717 $auto$alumacc.cc:470:replace_alu$1339.C[3] +.sym 12726 UART.tx_bit_counter[0] +.sym 12727 UART.tx_bit_counter[1] +.sym 12728 UART.tx_bit_counter[2] +.sym 12729 UART.tx_bit_counter[3] +.sym 12732 $abc$5798$n610 +.sym 12733 $false +.sym 12734 $false +.sym 12735 $false +.sym 12738 $abc$5798$n612 +.sym 12739 $false +.sym 12740 $false +.sym 12741 $false +.sym 12742 $abc$5798$n251 +.sym 12743 CLK$2$2 +.sym 12744 $abc$5798$n31$2 +.sym 12747 $abc$5798$n568 +.sym 12750 $abc$5798$n227 +.sym 12751 $abc$5798$n606 +.sym 12752 $abc$5798$n16 +.sym 12825 $false +.sym 12826 UART.tx_bit_counter[0] +.sym 12827 $false +.sym 12828 $true$2 +.sym 12837 UART.tx_bit_counter[0] +.sym 12838 UART.tx_bit_counter[1] +.sym 12839 $false +.sym 12840 $false +.sym 12843 $abc$5798$n595 +.sym 12844 $false +.sym 12845 $false +.sym 12846 $false +.sym 12855 $abc$5798$n594 +.sym 12856 $false +.sym 12857 $false +.sym 12858 $false +.sym 12865 $abc$5798$n251 +.sym 12866 CLK$2$2 +.sym 12867 $abc$5798$n31$2 +.sym 12992 $abc$5798$n283_1 +.sym 12993 UART.TX_sig_last +.sym 13083 $false +.sym 13084 $false +.sym 13085 $false +.sym 13086 $false +.sym 13114 $abc$5798$n6 +.sym 13119 I2C.SDAD +.sym 13188 I2C.sda_cnt[0] +.sym 13189 I2C.sda_cnt[1] +.sym 13190 $false +.sym 13191 $false +.sym 13194 $false +.sym 13195 I2C.sda_cnt[0] +.sym 13196 $false +.sym 13197 $true$2 +.sym 13200 I2C.SDAD +.sym 13201 I2C.SDAF +.sym 13202 $abc$5798$n25 +.sym 13203 $false +.sym 13212 I2C.SDAF +.sym 13213 I2C.SDAD +.sym 13214 $abc$5798$n269 +.sym 13215 $abc$5798$n235 +.sym 13218 $abc$5798$n269 +.sym 13219 $false +.sym 13220 $false +.sym 13221 $false +.sym 13224 $abc$5798$n268 +.sym 13225 $false +.sym 13226 $false +.sym 13227 $false +.sym 13234 $abc$5798$n168 +.sym 13235 CLK$2$2 +.sym 13236 $abc$5798$n25 +.sym 13243 I2C.SDAF +.sym 13311 $abc$5798$n16 +.sym 13312 $false +.sym 13313 $false +.sym 13314 $false +.sym 13360 $abc$5798$n363 +.sym 13362 $abc$5798$n350_1 +.sym 13363 $abc$5798$n344_1 +.sym 13364 $abc$5798$n367 +.sym 13365 $abc$5798$n77 +.sym 13366 I2C.i2c_bit_counter[2] +.sym 13367 I2C.i2c_bit_counter[0] +.sym 13434 $abc$5798$n71 +.sym 13435 $abc$5798$n92 +.sym 13436 $abc$5798$n584 +.sym 13437 $false +.sym 13440 $abc$5798$n266 +.sym 13441 $abc$5798$n269_1 +.sym 13442 $abc$5798$n79 +.sym 13443 $false +.sym 13446 $abc$5798$n345_1 +.sym 13447 $abc$5798$n348_1 +.sym 13448 $abc$5798$n171 +.sym 13449 $false +.sym 13452 $false +.sym 13453 $false +.sym 13454 $true$2 +.sym 13455 $abc$5798$n584 +.sym 13458 $abc$5798$n242 +.sym 13459 I2C.i2c_bit_counter[1] +.sym 13460 $false +.sym 13461 $false +.sym 13464 $abc$5798$n242 +.sym 13465 I2C.i2c_bit_counter[0] +.sym 13466 I2C.i2c_bit_counter[1] +.sym 13467 $false +.sym 13470 $abc$5798$n79 +.sym 13471 $abc$5798$n269_1 +.sym 13472 $abc$5798$n266 +.sym 13473 $false +.sym 13476 $abc$5798$n340_1 +.sym 13477 $abc$5798$n365 +.sym 13478 $abc$5798$n343_1 +.sym 13479 $abc$5798$n347_1 +.sym 13480 $true +.sym 13481 CLK$2$2 +.sym 13482 $false +.sym 13483 $abc$5798$n323_1 +.sym 13484 $abc$5798$n320_1 +.sym 13485 $abc$5798$n336_1 +.sym 13486 $abc$5798$n340_1 +.sym 13487 $abc$5798$n322_1 +.sym 13489 I2C.SDA_DIR +.sym 13490 $abc$5798$n20 +.sym 13557 $abc$5798$n29 +.sym 13558 $abc$5798$n267 +.sym 13559 $abc$5798$n9 +.sym 13560 $abc$5798$n20 +.sym 13563 $abc$5798$n328_1 +.sym 13564 $abc$5798$n327_1 +.sym 13565 I2C.i2c_state_machine +.sym 13566 $abc$5798$n323_1 +.sym 13569 $abc$5798$n242 +.sym 13570 I2C.is_ack +.sym 13571 $false +.sym 13572 $false +.sym 13575 $abc$5798$n29 +.sym 13576 $abc$5798$n267 +.sym 13577 $abc$5798$n171 +.sym 13578 $abc$5798$n268_1 +.sym 13581 $abc$5798$n29 +.sym 13582 $abc$5798$n267 +.sym 13583 $false +.sym 13584 $false +.sym 13587 $abc$5798$n20 +.sym 13588 $abc$5798$n9 +.sym 13589 $false +.sym 13590 $false +.sym 13593 $abc$5798$n324_1 +.sym 13594 $abc$5798$n329_1 +.sym 13595 $abc$5798$n327_1 +.sym 13596 $abc$5798$n266 +.sym 13599 $abc$5798$n9 +.sym 13600 $abc$5798$n20 +.sym 13601 $false +.sym 13602 $false +.sym 13606 $abc$5798$n423 +.sym 13607 $abc$5798$n338_1 +.sym 13608 $abc$5798$n378_1 +.sym 13609 $abc$5798$n330_1 +.sym 13610 $abc$5798$n243 +.sym 13611 $abc$5798$n242 +.sym 13612 I2C.is_read +.sym 13680 $abc$5798$n325_1 +.sym 13681 $abc$5798$n171 +.sym 13682 $false +.sym 13683 $false +.sym 13686 $abc$5798$n329_1 +.sym 13687 $abc$5798$n324_1 +.sym 13688 $abc$5798$n267 +.sym 13689 $abc$5798$n29 +.sym 13692 $abc$5798$n329_1 +.sym 13693 $abc$5798$n323_1 +.sym 13694 $abc$5798$n330_1 +.sym 13695 $abc$5798$n418 +.sym 13698 $abc$5798$n596 +.sym 13699 $abc$5798$n341_1 +.sym 13700 $abc$5798$n327_1 +.sym 13701 $false +.sym 13704 $abc$5798$n330_1 +.sym 13705 $abc$5798$n418 +.sym 13706 $abc$5798$n377 +.sym 13707 $abc$5798$n327_1 +.sym 13710 I2C.is_ack +.sym 13711 $abc$5798$n171 +.sym 13712 $abc$5798$n325_1 +.sym 13713 $false +.sym 13716 $abc$5798$n329_1 +.sym 13717 $abc$5798$n324_1 +.sym 13718 $false +.sym 13719 $false +.sym 13722 $abc$5798$n376 +.sym 13723 $abc$5798$n378_1 +.sym 13724 $false +.sym 13725 $false +.sym 13726 $true +.sym 13727 CLK$2$2 +.sym 13728 $false +.sym 13729 $abc$5798$n98 +.sym 13730 $abc$5798$n331_1 +.sym 13731 $abc$5798$n420 +.sym 13732 $abc$5798$n267 +.sym 13733 $abc$5798$n359_1 +.sym 13735 $abc$5798$n358_1 +.sym 13736 $abc$5798$n18 +.sym 13803 $abc$5798$n29 +.sym 13804 $abc$5798$n267 +.sym 13805 $false +.sym 13806 $false +.sym 13821 $abc$5798$n242 +.sym 13822 $abc$5798$n418 +.sym 13823 $abc$5798$n341_1 +.sym 13824 $abc$5798$n267 +.sym 13827 $false +.sym 13828 $true$2 +.sym 13829 $abc$5798$n98 +.sym 13830 $false +.sym 13833 $abc$5798$n330_1 +.sym 13834 $abc$5798$n418 +.sym 13835 $false +.sym 13836 $false +.sym 13839 $abc$5798$n29 +.sym 13840 I2C.byte_counter[0] +.sym 13841 $abc$5798$n427 +.sym 13842 $abc$5798$n258 +.sym 13845 $abc$5798$n489 +.sym 13846 $abc$5798$n98 +.sym 13847 $abc$5798$n420 +.sym 13848 $false +.sym 13849 $true +.sym 13850 CLK$2$2 +.sym 13851 $false +.sym 13852 $abc$5798$n422 +.sym 13853 $abc$5798$n101 +.sym 13854 $abc$5798$n256 +.sym 13855 $abc$5798$n257 +.sym 13856 $abc$5798$n332_1 +.sym 13857 $abc$5798$n255 +.sym 13858 $abc$5798$n369_1 +.sym 13859 I2C.byte_counter[2] +.sym 13926 $abc$5798$n101 +.sym 13927 $false +.sym 13928 $false +.sym 13929 $false +.sym 13932 $abc$5798$n260 +.sym 13933 $false +.sym 13934 $false +.sym 13935 $false +.sym 13962 $abc$5798$n242 +.sym 13963 I2C.byte_counter[1] +.sym 13964 $false +.sym 13965 $false +.sym 13968 $abc$5798$n258 +.sym 13969 $false +.sym 13970 $false +.sym 13971 $false +.sym 13977 $abc$5798$n491 +.sym 13978 $abc$5798$n492 +.sym 13979 $abc$5798$n493 +.sym 13980 $abc$5798$n494 +.sym 13981 $abc$5798$n495 +.sym 13982 $abc$5798$n496 +.sym 14011 $true +.sym 14048 $abc$5798$n583$2 +.sym 14049 $false +.sym 14050 $abc$5798$n583 +.sym 14051 $false +.sym 14052 $false +.sym 14054 $auto$alumacc.cc:470:replace_alu$1316.C[3] +.sym 14056 $false +.sym 14057 $abc$5798$n599 +.sym 14060 $auto$alumacc.cc:470:replace_alu$1316.C[4] +.sym 14062 $false +.sym 14063 $abc$5798$n600 +.sym 14066 $auto$alumacc.cc:470:replace_alu$1316.C[5] +.sym 14068 $false +.sym 14069 $abc$5798$n605 +.sym 14072 $auto$alumacc.cc:470:replace_alu$1316.C[6] +.sym 14074 $false +.sym 14075 $abc$5798$n601 +.sym 14078 $auto$alumacc.cc:470:replace_alu$1316.C[7] +.sym 14080 $false +.sym 14081 $abc$5798$n602 +.sym 14084 $auto$alumacc.cc:470:replace_alu$1316.C[8] +.sym 14086 $false +.sym 14087 $abc$5798$n603 +.sym 14090 $abc$5798$n596$2 +.sym 14092 $false +.sym 14093 $abc$5798$n604 +.sym 14098 $abc$5798$n497 +.sym 14099 $abc$5798$n604 +.sym 14100 $abc$5798$n601 +.sym 14101 $abc$5798$n105 +.sym 14102 I2C.byte_counter[7] +.sym 14103 I2C.byte_counter[8] +.sym 14175 $abc$5798$n596$2 +.sym 14196 $abc$5798$n105 +.sym 14197 $false +.sym 14198 $false +.sym 14199 $false +.sym 14418 $abc$5798$n31 +.sym 14718 UART.tx_activity +.sym 14719 $false +.sym 14720 $false +.sym 14721 $false +.sym 14767 $true +.sym 14804 $abc$5798$n606$2 +.sym 14805 $false +.sym 14806 $abc$5798$n606 +.sym 14807 $false +.sym 14808 $false +.sym 14810 $auto$alumacc.cc:470:replace_alu$1306.C[2] +.sym 14812 $false +.sym 14813 $abc$5798$n594 +.sym 14816 $auto$alumacc.cc:470:replace_alu$1306.C[3] +.sym 14818 $false +.sym 14819 $abc$5798$n611 +.sym 14822 $abc$5798$n553$2 +.sym 14824 $false +.sym 14825 $abc$5798$n613 +.sym 14832 $abc$5798$n553$2 +.sym 14835 $abc$5798$n612 +.sym 14836 $false +.sym 14837 $false +.sym 14838 $false +.sym 14841 $abc$5798$n610 +.sym 14842 $false +.sym 14843 $false +.sym 14844 $false +.sym 14854 $abc$5798$n225 +.sym 14856 $abc$5798$n582 +.sym 14857 $abc$5798$n230 +.sym 14890 $true +.sym 14927 $abc$5798$n606$3 +.sym 14928 $false +.sym 14929 $abc$5798$n606 +.sym 14930 $false +.sym 14931 $false +.sym 14933 $auto$alumacc.cc:470:replace_alu$1342.C[2] +.sym 14935 $false +.sym 14936 $abc$5798$n594 +.sym 14940 $false +.sym 14941 $false +.sym 14942 $abc$5798$n611 +.sym 14943 $auto$alumacc.cc:470:replace_alu$1342.C[2] +.sym 14958 $abc$5798$n594 +.sym 14959 $abc$5798$n595 +.sym 14960 $false +.sym 14961 $false +.sym 14964 $abc$5798$n595 +.sym 14965 $false +.sym 14966 $false +.sym 14967 $false +.sym 14970 $abc$5798$n225 +.sym 14971 $abc$5798$n553 +.sym 14972 $abc$5798$n230 +.sym 14973 UART.tx_activity +.sym 14974 $abc$5798$n251 +.sym 14975 CLK$2$2 +.sym 14976 $false +.sym 15100 $abc$5798$n231 +.sym 15104 UART.tx_data[7] +.sym 15107 UART.tx_data[5] +.sym 15180 UART.TX_sig_last +.sym 15181 UART_WR +.sym 15182 $false +.sym 15183 $false +.sym 15186 UART_WR +.sym 15187 $false +.sym 15188 $false +.sym 15189 $false +.sym 15220 $true +.sym 15221 CLK$2$2 +.sym 15222 $false +.sym 15225 I2C.RECEIVED_BYTE[3] +.sym 15297 $abc$5798$n7 +.sym 15298 $false +.sym 15299 $false +.sym 15300 $false +.sym 15327 $abc$5798$n6 +.sym 15328 $false +.sym 15329 $false +.sym 15330 $false +.sym 15343 $true +.sym 15344 CLK$2$2 +.sym 15345 $false +.sym 15346 $abc$5798$n141 +.sym 15348 $abc$5798$n318 +.sym 15352 UART_WR +.sym 15456 I2C.SDA_IN +.sym 15457 $false +.sym 15458 $false +.sym 15459 $false +.sym 15466 $true +.sym 15467 CLK$2$2 +.sym 15468 $false +.sym 15469 $abc$5798$n276_1 +.sym 15470 $abc$5798$n272_1 +.sym 15471 $abc$5798$n211 +.sym 15473 $abc$5798$n241 +.sym 15474 $abc$5798$n270 +.sym 15475 $abc$5798$n274 +.sym 15476 I2C.RECEIVED_BYTE[7] +.sym 15543 $abc$5798$n242 +.sym 15544 I2C.i2c_bit_counter[0] +.sym 15545 $false +.sym 15546 $false +.sym 15555 $abc$5798$n345_1 +.sym 15556 $abc$5798$n171 +.sym 15557 $abc$5798$n79 +.sym 15558 $false +.sym 15561 $abc$5798$n345_1 +.sym 15562 $abc$5798$n171 +.sym 15563 $abc$5798$n77 +.sym 15564 $false +.sym 15567 $abc$5798$n242 +.sym 15568 I2C.i2c_bit_counter[2] +.sym 15569 $false +.sym 15570 $false +.sym 15573 $false +.sym 15574 $abc$5798$n363 +.sym 15575 $false +.sym 15576 $true$2 +.sym 15579 $abc$5798$n340_1 +.sym 15580 $abc$5798$n367 +.sym 15581 $abc$5798$n343_1 +.sym 15582 $abc$5798$n350_1 +.sym 15585 $abc$5798$n340_1 +.sym 15586 $abc$5798$n363 +.sym 15587 $abc$5798$n343_1 +.sym 15588 $abc$5798$n344_1 +.sym 15589 $true +.sym 15590 CLK$2$2 +.sym 15591 $false +.sym 15596 RAM_W +.sym 15666 $abc$5798$n242 +.sym 15667 $abc$5798$n243 +.sym 15668 I2C.SDA_DIR +.sym 15669 $false +.sym 15672 $abc$5798$n322_1 +.sym 15673 $abc$5798$n321 +.sym 15674 $false +.sym 15675 $false +.sym 15678 $abc$5798$n171 +.sym 15679 $abc$5798$n325_1 +.sym 15680 $false +.sym 15681 $false +.sym 15684 $abc$5798$n341_1 +.sym 15685 $abc$5798$n336_1 +.sym 15686 $abc$5798$n321 +.sym 15687 $false +.sym 15690 $abc$5798$n324_1 +.sym 15691 $abc$5798$n323_1 +.sym 15692 $abc$5798$n325_1 +.sym 15693 $abc$5798$n171 +.sym 15702 $abc$5798$n315 +.sym 15703 $abc$5798$n336_1 +.sym 15704 $abc$5798$n320_1 +.sym 15705 $abc$5798$n326_1 +.sym 15708 $abc$5798$n9 +.sym 15709 $false +.sym 15710 $false +.sym 15711 $false +.sym 15712 $true +.sym 15713 CLK$2$2 +.sym 15714 $false +.sym 15715 $abc$5798$n166 +.sym 15716 $abc$5798$n158 +.sym 15717 $abc$5798$n254 +.sym 15718 $0\UART_WR[0:0] +.sym 15719 $abc$5798$n156 +.sym 15721 $abc$5798$n152 +.sym 15722 wr_old +.sym 15789 $abc$5798$n29 +.sym 15790 $abc$5798$n325_1 +.sym 15791 $abc$5798$n324_1 +.sym 15792 $false +.sym 15795 $abc$5798$n29 +.sym 15796 $abc$5798$n331_1 +.sym 15797 $abc$5798$n418 +.sym 15798 I2C.RECEIVED_BYTE[0] +.sym 15801 $abc$5798$n325_1 +.sym 15802 $abc$5798$n171 +.sym 15803 $abc$5798$n321 +.sym 15804 $abc$5798$n423 +.sym 15807 $abc$5798$n331_1 +.sym 15808 $abc$5798$n333_1 +.sym 15809 $false +.sym 15810 $false +.sym 15813 $abc$5798$n7 +.sym 15814 $abc$5798$n9 +.sym 15815 $abc$5798$n18 +.sym 15816 $false +.sym 15819 $abc$5798$n9 +.sym 15820 $abc$5798$n18 +.sym 15821 $abc$5798$n7 +.sym 15822 $false +.sym 15825 $abc$5798$n338_1 +.sym 15826 $abc$5798$n267 +.sym 15827 $false +.sym 15828 $false +.sym 15835 $true +.sym 15836 CLK$2$2 +.sym 15837 $false +.sym 15840 I2C.SCLF +.sym 15912 $abc$5798$n242 +.sym 15913 I2C.byte_counter[0] +.sym 15914 $false +.sym 15915 $false +.sym 15918 $abc$5798$n18 +.sym 15919 $abc$5798$n9 +.sym 15920 $abc$5798$n7 +.sym 15921 $abc$5798$n332_1 +.sym 15924 $abc$5798$n321 +.sym 15925 $abc$5798$n341_1 +.sym 15926 $abc$5798$n359_1 +.sym 15927 $abc$5798$n422 +.sym 15930 $abc$5798$n242 +.sym 15931 I2C.is_read +.sym 15932 $false +.sym 15933 $false +.sym 15936 $abc$5798$n29 +.sym 15937 $abc$5798$n267 +.sym 15938 $abc$5798$n418 +.sym 15939 $false +.sym 15948 $abc$5798$n321 +.sym 15949 $abc$5798$n341_1 +.sym 15950 $abc$5798$n359_1 +.sym 15951 $false +.sym 15954 $abc$5798$n7 +.sym 15955 $false +.sym 15956 $false +.sym 15957 $false +.sym 15958 $true +.sym 15959 CLK$2$2 +.sym 15960 $false +.sym 15962 $abc$5798$n260 +.sym 15963 $abc$5798$n259 +.sym 15968 I2C.byte_counter[3] +.sym 16035 $abc$5798$n260 +.sym 16036 $abc$5798$n257 +.sym 16037 $abc$5798$n369_1 +.sym 16038 $abc$5798$n258_1 +.sym 16041 $abc$5798$n242 +.sym 16042 I2C.byte_counter[2] +.sym 16043 $false +.sym 16044 $false +.sym 16047 $abc$5798$n257 +.sym 16048 $abc$5798$n258_1 +.sym 16049 $false +.sym 16050 $false +.sym 16053 I2C.byte_counter[0] +.sym 16054 I2C.byte_counter[2] +.sym 16055 $false +.sym 16056 $false +.sym 16059 I2C.byte_counter[1] +.sym 16060 $abc$5798$n259 +.sym 16061 $abc$5798$n258_1 +.sym 16062 $abc$5798$n257 +.sym 16065 $abc$5798$n256 +.sym 16066 $abc$5798$n259 +.sym 16067 I2C.byte_counter[1] +.sym 16068 $false +.sym 16071 I2C.byte_counter[6] +.sym 16072 I2C.byte_counter[7] +.sym 16073 I2C.byte_counter[8] +.sym 16074 I2C.byte_counter[1] +.sym 16077 $abc$5798$n491 +.sym 16078 $abc$5798$n101 +.sym 16079 $abc$5798$n420 +.sym 16080 $false +.sym 16081 $true +.sym 16082 CLK$2$2 +.sym 16083 $false +.sym 16084 $abc$5798$n104 +.sym 16085 $abc$5798$n258_1 +.sym 16086 $abc$5798$n605 +.sym 16087 $abc$5798$n262 +.sym 16088 $abc$5798$n602 +.sym 16089 I2C.byte_counter[6] +.sym 16090 I2C.byte_counter[5] +.sym 16091 I2C.byte_counter[4] +.sym 16120 $true +.sym 16157 $abc$5798$n98$2 +.sym 16158 $false +.sym 16159 $abc$5798$n98 +.sym 16160 $false +.sym 16161 $false +.sym 16163 $auto$alumacc.cc:470:replace_alu$1321.C[2] +.sym 16165 $false +.sym 16166 $abc$5798$n258 +.sym 16169 $auto$alumacc.cc:470:replace_alu$1321.C[3] +.sym 16170 $false +.sym 16171 $false +.sym 16172 $abc$5798$n101 +.sym 16173 $auto$alumacc.cc:470:replace_alu$1321.C[2] +.sym 16175 $auto$alumacc.cc:470:replace_alu$1321.C[4] +.sym 16176 $false +.sym 16177 $false +.sym 16178 $abc$5798$n260 +.sym 16179 $auto$alumacc.cc:470:replace_alu$1321.C[3] +.sym 16181 $auto$alumacc.cc:470:replace_alu$1321.C[5] +.sym 16182 $false +.sym 16183 $false +.sym 16184 $abc$5798$n262 +.sym 16185 $auto$alumacc.cc:470:replace_alu$1321.C[4] +.sym 16187 $auto$alumacc.cc:470:replace_alu$1321.C[6] +.sym 16188 $false +.sym 16189 $false +.sym 16190 $abc$5798$n263 +.sym 16191 $auto$alumacc.cc:470:replace_alu$1321.C[5] +.sym 16193 $auto$alumacc.cc:470:replace_alu$1321.C[7] +.sym 16194 $false +.sym 16195 $false +.sym 16196 $abc$5798$n104 +.sym 16197 $auto$alumacc.cc:470:replace_alu$1321.C[6] +.sym 16199 $auto$alumacc.cc:470:replace_alu$1321.C[8] +.sym 16200 $false +.sym 16201 $false +.sym 16202 $abc$5798$n105 +.sym 16203 $auto$alumacc.cc:470:replace_alu$1321.C[7] +.sym 16208 $abc$5798$n263 +.sym 16214 $abc$5798$n110 +.sym 16281 $false +.sym 16282 $false +.sym 16283 $abc$5798$n110 +.sym 16284 $auto$alumacc.cc:470:replace_alu$1321.C[8] +.sym 16287 $abc$5798$n110 +.sym 16288 $false +.sym 16289 $false +.sym 16290 $false +.sym 16293 $abc$5798$n263 +.sym 16294 $false +.sym 16295 $false +.sym 16296 $false +.sym 16299 $abc$5798$n242 +.sym 16300 I2C.byte_counter[7] +.sym 16301 $false +.sym 16302 $false +.sym 16305 $abc$5798$n422 +.sym 16306 $abc$5798$n496 +.sym 16307 $abc$5798$n105 +.sym 16308 $abc$5798$n358_1 +.sym 16311 $abc$5798$n422 +.sym 16312 $abc$5798$n497 +.sym 16313 $abc$5798$n110 +.sym 16314 $abc$5798$n358_1 +.sym 16327 $true +.sym 16328 CLK$2$2 +.sym 16329 $false +.sym 16497 $abc$5798$n158 +.sym 17037 $abc$5798$n226_1 +.sym 17038 $abc$5798$n229 +.sym 17039 $abc$5798$n582 +.sym 17040 $abc$5798$n568 +.sym 17049 $false +.sym 17050 $false +.sym 17051 $abc$5798$n606 +.sym 17052 $true$2 +.sym 17055 $abc$5798$n231 +.sym 17056 $abc$5798$n232 +.sym 17057 $abc$5798$n568 +.sym 17058 $abc$5798$n582 +.sym 17209 $abc$5798$n232 +.sym 17210 $abc$5798$n229 +.sym 17211 $abc$5798$n226_1 +.sym 17212 UART.tx_data[3] +.sym 17213 UART.tx_data[0] +.sym 17214 UART.tx_data[6] +.sym 17215 UART.tx_data[1] +.sym 17283 UART.tx_data[5] +.sym 17284 UART.tx_data[7] +.sym 17285 $abc$5798$n227 +.sym 17286 $false +.sym 17307 UART_TX_DATA[7] +.sym 17308 $false +.sym 17309 $false +.sym 17310 $false +.sym 17325 UART_TX_DATA[5] +.sym 17326 $false +.sym 17327 $false +.sym 17328 $false +.sym 17329 $abc$5798$n247$2 +.sym 17330 CLK$2$2 +.sym 17331 $false +.sym 17334 UART_TX_DATA[3] +.sym 17335 UART_TX_DATA[5] +.sym 17336 UART_TX_DATA[6] +.sym 17338 UART_TX_DATA[7] +.sym 17339 UART_TX_DATA[0] +.sym 17418 $abc$5798$n6 +.sym 17419 $false +.sym 17420 $false +.sym 17421 $false +.sym 17452 $abc$5798$n211 +.sym 17453 CLK$2$2 +.sym 17454 $false +.sym 17455 $abc$5798$n333_1 +.sym 17456 $abc$5798$n12 +.sym 17457 I2C_TX[6] +.sym 17458 I2C_TX[3] +.sym 17459 I2C_TX[5] +.sym 17460 I2C_TX[0] +.sym 17461 $abc$5798$n22 +.sym 17462 I2C_TX[7] +.sym 17529 $0\UART_WR[0:0]$2 +.sym 17530 I2C.is_read +.sym 17531 $false +.sym 17532 $false +.sym 17541 $false +.sym 17542 $true$2 +.sym 17543 LED1$2 +.sym 17544 $false +.sym 17565 $0\RAM_W[0:0] +.sym 17566 $false +.sym 17567 $false +.sym 17568 $false +.sym 17575 $abc$5798$n152 +.sym 17576 CLK$2$2 +.sym 17577 $abc$5798$n141 +.sym 17578 $abc$5798$n219 +.sym 17579 $abc$5798$n317_1 +.sym 17581 $abc$5798$n318_1 +.sym 17582 $abc$5798$n316_1 +.sym 17583 $abc$5798$n319_1 +.sym 17584 $abc$5798$n315 +.sym 17585 I2C.RECEIVED_BYTE[4] +.sym 17652 $abc$5798$n242 +.sym 17653 I2C.i2c_bit_counter[0] +.sym 17654 I2C.i2c_bit_counter[1] +.sym 17655 $abc$5798$n77 +.sym 17658 $abc$5798$n242 +.sym 17659 I2C.i2c_bit_counter[0] +.sym 17660 I2C.i2c_bit_counter[1] +.sym 17661 $abc$5798$n77 +.sym 17664 $abc$5798$n265 +.sym 17665 $abc$5798$n276_1 +.sym 17666 $false +.sym 17667 $false +.sym 17676 $abc$5798$n278_1 +.sym 17677 $abc$5798$n276_1 +.sym 17678 $false +.sym 17679 $false +.sym 17682 $abc$5798$n242 +.sym 17683 $abc$5798$n77 +.sym 17684 I2C.i2c_bit_counter[0] +.sym 17685 I2C.i2c_bit_counter[1] +.sym 17688 $abc$5798$n242 +.sym 17689 I2C.i2c_bit_counter[0] +.sym 17690 I2C.i2c_bit_counter[1] +.sym 17691 $abc$5798$n77 +.sym 17694 $abc$5798$n6 +.sym 17695 $false +.sym 17696 $false +.sym 17697 $false +.sym 17698 $abc$5798$n241 +.sym 17699 CLK$2$2 +.sym 17700 $false +.sym 17701 $abc$5798$n317 +.sym 17702 $abc$5798$n203 +.sym 17707 $abc$5798$n234 +.sym 17708 RAM.last_we +.sym 17799 $0\RAM_W[0:0] +.sym 17800 $false +.sym 17801 $false +.sym 17802 $false +.sym 17821 $abc$5798$n166 +.sym 17822 CLK$2$2 +.sym 17823 $false +.sym 17830 LED2$2 +.sym 17898 $abc$5798$n255 +.sym 17899 $0\RAM_W[0:0] +.sym 17900 I2C.wr +.sym 17901 wr_old +.sym 17904 $abc$5798$n254 +.sym 17905 I2C.wr +.sym 17906 wr_old +.sym 17907 $false +.sym 17910 I2C.is_read +.sym 17911 $abc$5798$n255 +.sym 17912 $false +.sym 17913 $false +.sym 17916 wr_old +.sym 17917 I2C.wr +.sym 17918 $false +.sym 17919 $false +.sym 17922 LED1$2 +.sym 17923 $abc$5798$n254 +.sym 17924 I2C.wr +.sym 17925 wr_old +.sym 17934 I2C.wr +.sym 17935 wr_old +.sym 17936 $false +.sym 17937 $false +.sym 17940 I2C.wr +.sym 17941 $false +.sym 17942 $false +.sym 17943 $false +.sym 17944 $true +.sym 17945 CLK$2$2 +.sym 17946 $false +.sym 18033 SCL$2 +.sym 18034 $false +.sym 18035 $false +.sym 18036 $false +.sym 18067 $true +.sym 18068 CLK$2$2 +.sym 18069 $false +.sym 18150 $abc$5798$n242 +.sym 18151 I2C.byte_counter[3] +.sym 18152 $false +.sym 18153 $false +.sym 18156 I2C.byte_counter[3] +.sym 18157 I2C.byte_counter[8] +.sym 18158 $false +.sym 18159 $false +.sym 18186 $abc$5798$n492 +.sym 18187 $abc$5798$n422 +.sym 18188 $abc$5798$n260 +.sym 18189 $abc$5798$n358_1 +.sym 18190 $true +.sym 18191 CLK$2$2 +.sym 18192 $false +.sym 18267 $abc$5798$n242 +.sym 18268 I2C.byte_counter[6] +.sym 18269 $false +.sym 18270 $false +.sym 18273 I2C.byte_counter[4] +.sym 18274 I2C.byte_counter[5] +.sym 18275 I2C.byte_counter[6] +.sym 18276 I2C.byte_counter[7] +.sym 18279 $abc$5798$n262 +.sym 18280 $false +.sym 18281 $false +.sym 18282 $false +.sym 18285 $abc$5798$n242 +.sym 18286 I2C.byte_counter[4] +.sym 18287 $false +.sym 18288 $false +.sym 18291 $abc$5798$n104 +.sym 18292 $false +.sym 18293 $false +.sym 18294 $false +.sym 18297 $abc$5798$n422 +.sym 18298 $abc$5798$n495 +.sym 18299 $abc$5798$n104 +.sym 18300 $abc$5798$n358_1 +.sym 18303 $abc$5798$n422 +.sym 18304 $abc$5798$n494 +.sym 18305 $abc$5798$n263 +.sym 18306 $abc$5798$n358_1 +.sym 18309 $abc$5798$n422 +.sym 18310 $abc$5798$n493 +.sym 18311 $abc$5798$n262 +.sym 18312 $abc$5798$n358_1 +.sym 18313 $true +.sym 18314 CLK$2$2 +.sym 18315 $false +.sym 18396 $abc$5798$n242 +.sym 18397 I2C.byte_counter[5] +.sym 18398 $false +.sym 18399 $false +.sym 18432 $abc$5798$n242 +.sym 18433 I2C.byte_counter[8] +.sym 18434 $false +.sym 18435 $false +.sym 19391 UART.tx_data[1] +.sym 19392 UART.tx_data[3] +.sym 19393 $abc$5798$n227 +.sym 19394 $false +.sym 19397 UART.tx_data[0] +.sym 19398 UART.tx_data[2] +.sym 19399 $abc$5798$n227 +.sym 19400 $false +.sym 19403 UART.tx_data[4] +.sym 19404 UART.tx_data[6] +.sym 19405 $abc$5798$n227 +.sym 19406 $false +.sym 19409 UART_TX_DATA[3] +.sym 19410 $false +.sym 19411 $false +.sym 19412 $false +.sym 19415 UART_TX_DATA[0] +.sym 19416 $false +.sym 19417 $false +.sym 19418 $false +.sym 19421 UART_TX_DATA[6] +.sym 19422 $false +.sym 19423 $false +.sym 19424 $false +.sym 19427 UART_TX_DATA[1] +.sym 19428 $false +.sym 19429 $false +.sym 19430 $false +.sym 19437 $abc$5798$n247$2 +.sym 19438 CLK$2$2 +.sym 19439 $false +.sym 19440 RAM.r_data[0] +.sym 19442 RAM.r_data[1] +.sym 19444 RAM.r_data[2] +.sym 19446 RAM.r_data[3] +.sym 19526 RAM.r_data[3] +.sym 19527 I2C.RECEIVED_BYTE[3] +.sym 19528 $abc$5798$n141$2 +.sym 19529 $false +.sym 19532 RAM.r_data[5] +.sym 19533 I2C.RECEIVED_BYTE[5] +.sym 19534 $abc$5798$n141$2 +.sym 19535 $false +.sym 19538 RAM.r_data[6] +.sym 19539 I2C.RECEIVED_BYTE[6] +.sym 19540 $abc$5798$n141$2 +.sym 19541 $false +.sym 19550 RAM.r_data[7] +.sym 19551 I2C.RECEIVED_BYTE[7] +.sym 19552 $abc$5798$n141$2 +.sym 19553 $false +.sym 19556 RAM.r_data[0] +.sym 19557 I2C.RECEIVED_BYTE[0] +.sym 19558 $abc$5798$n141$2 +.sym 19559 $false +.sym 19560 $0\UART_WR[0:0]$2 +.sym 19561 CLK$2$2 +.sym 19562 $false +.sym 19563 RAM.r_data[4] +.sym 19565 RAM.r_data[5] +.sym 19567 RAM.r_data[6] +.sym 19569 RAM.r_data[7] +.sym 19637 $abc$5798$n334_1 +.sym 19638 I2C.RECEIVED_BYTE[3] +.sym 19639 I2C.RECEIVED_BYTE[5] +.sym 19640 I2C.RECEIVED_BYTE[6] +.sym 19643 RAM.r_data[2] +.sym 19644 $false +.sym 19645 $false +.sym 19646 $false +.sym 19649 RAM.r_data[6] +.sym 19650 $false +.sym 19651 $false +.sym 19652 $false +.sym 19655 RAM.r_data[3] +.sym 19656 $false +.sym 19657 $false +.sym 19658 $false +.sym 19661 RAM.r_data[5] +.sym 19662 $false +.sym 19663 $false +.sym 19664 $false +.sym 19667 RAM.r_data[0] +.sym 19668 $false +.sym 19669 $false +.sym 19670 $false +.sym 19673 RAM.r_data[1] +.sym 19674 $false +.sym 19675 $false +.sym 19676 $false +.sym 19679 RAM.r_data[7] +.sym 19680 $false +.sym 19681 $false +.sym 19682 $false +.sym 19683 $abc$5798$n141$2 +.sym 19684 CLK$2$2 +.sym 19685 $false +.sym 19760 $abc$5798$n278_1 +.sym 19761 $abc$5798$n270 +.sym 19762 $false +.sym 19763 $false +.sym 19766 $abc$5798$n22 +.sym 19767 I2C_TX[5] +.sym 19768 $abc$5798$n79 +.sym 19769 $abc$5798$n272_1 +.sym 19778 I2C_TX[7] +.sym 19779 I2C_TX[3] +.sym 19780 $abc$5798$n79 +.sym 19781 $abc$5798$n276_1 +.sym 19784 $abc$5798$n14 +.sym 19785 I2C_TX[0] +.sym 19786 $abc$5798$n79 +.sym 19787 $abc$5798$n270 +.sym 19790 $abc$5798$n12 +.sym 19791 I2C_TX[6] +.sym 19792 $abc$5798$n79 +.sym 19793 $abc$5798$n274 +.sym 19796 $abc$5798$n316_1 +.sym 19797 $abc$5798$n317_1 +.sym 19798 $abc$5798$n318_1 +.sym 19799 $abc$5798$n319_1 +.sym 19802 $abc$5798$n6 +.sym 19803 $false +.sym 19804 $false +.sym 19805 $false +.sym 19806 $abc$5798$n219 +.sym 19807 CLK$2$2 +.sym 19808 $false +.sym 19883 RAM.last_we +.sym 19884 RAM_W +.sym 19885 $false +.sym 19886 $false +.sym 19889 $abc$5798$n265 +.sym 19890 $abc$5798$n274 +.sym 19891 $false +.sym 19892 $false +.sym 19919 $abc$5798$n278_1 +.sym 19920 $abc$5798$n274 +.sym 19921 $false +.sym 19922 $false +.sym 19925 RAM_W +.sym 19926 $false +.sym 19927 $false +.sym 19928 $false +.sym 19929 $true +.sym 19930 CLK$2$2 +.sym 19931 $false +.sym 20042 I2C.RECEIVED_BYTE[1] +.sym 20043 LED2$2 +.sym 20044 $0\RAM_W[0:0] +.sym 20045 $false +.sym 20052 $abc$5798$n156 +.sym 20053 CLK$2$2 +.sym 20054 $false +.sym 21296 UART.tx_data[4] +.sym 21297 UART.tx_data[2] +.sym 21393 $abc$5798$n334_1 +.sym 21395 UART_TX_DATA[4] +.sym 21396 UART_TX_DATA[1] +.sym 21398 UART_TX_DATA[2] +.sym 21494 LED3$2 +.sym 21495 LED1$2 +.sym 21497 ram_adress[7] +.sym 21498 LED4$2 +.sym 21499 ram_adress[6] +.sym 21500 ram_adress[5] +.sym 21501 ram_adress[4] +.sym 21502 $undef +.sym 21503 $undef +.sym 21504 $undef +.sym 21505 $undef +.sym 21506 $undef +.sym 21507 $undef +.sym 21508 $undef +.sym 21509 $undef +.sym 21510 LED1$2 +.sym 21511 LED2$2 +.sym 21512 $false +.sym 21513 LED3$2 +.sym 21514 LED4$2 +.sym 21515 ram_adress[4] +.sym 21516 ram_adress[5] +.sym 21517 ram_adress[6] +.sym 21518 ram_adress[7] +.sym 21519 $false +.sym 21520 $false +.sym 21521 CLK$2$2 +.sym 21522 $abc$5798$n317 +.sym 21523 I2C.RECEIVED_BYTE[0] +.sym 21524 $undef +.sym 21525 I2C.RECEIVED_BYTE[1] +.sym 21526 $undef +.sym 21527 I2C.RECEIVED_BYTE[2] +.sym 21528 $undef +.sym 21529 I2C.RECEIVED_BYTE[3] +.sym 21530 $undef +.sym 21531 $true$2 +.sym 21596 $abc$5798$n195 +.sym 21599 $abc$5798$n226 +.sym 21601 $abc$5798$n185 +.sym 21603 I2C.RECEIVED_BYTE[5] +.sym 21604 $undef +.sym 21605 $undef +.sym 21606 $undef +.sym 21607 $undef +.sym 21608 $undef +.sym 21609 $undef +.sym 21610 $undef +.sym 21611 $undef +.sym 21612 LED1$2 +.sym 21613 LED2$2 +.sym 21614 $false +.sym 21615 LED3$2 +.sym 21616 LED4$2 +.sym 21617 ram_adress[4] +.sym 21618 ram_adress[5] +.sym 21619 ram_adress[6] +.sym 21620 ram_adress[7] +.sym 21621 $false +.sym 21622 $false +.sym 21623 CLK$2$2 +.sym 21624 $true +.sym 21625 $true$2 +.sym 21626 I2C.RECEIVED_BYTE[5] +.sym 21627 $undef +.sym 21628 I2C.RECEIVED_BYTE[6] +.sym 21629 $undef +.sym 21630 I2C.RECEIVED_BYTE[7] +.sym 21631 $undef +.sym 21632 I2C.RECEIVED_BYTE[4] +.sym 21633 $undef +.sym 21699 I2C.RECEIVED_BYTE[6] +.sym 21805 $0\RAM_W[0:0] +.sym 21807 I2C.RECEIVED_BYTE[1] +.sym 23308 UART_TX_DATA[4] +.sym 23309 $false +.sym 23310 $false +.sym 23311 $false +.sym 23314 UART_TX_DATA[2] +.sym 23315 $false +.sym 23316 $false +.sym 23317 $false +.sym 23318 $abc$5798$n247$2 +.sym 23319 CLK$2$2 +.sym 23320 $false +.sym 23401 I2C.RECEIVED_BYTE[1] +.sym 23402 I2C.RECEIVED_BYTE[2] +.sym 23403 I2C.RECEIVED_BYTE[4] +.sym 23404 I2C.RECEIVED_BYTE[7] +.sym 23413 RAM.r_data[4] +.sym 23414 I2C.RECEIVED_BYTE[4] +.sym 23415 $abc$5798$n141$2 +.sym 23416 $false +.sym 23419 RAM.r_data[1] +.sym 23420 I2C.RECEIVED_BYTE[1] +.sym 23421 $abc$5798$n141$2 +.sym 23422 $false +.sym 23431 RAM.r_data[2] +.sym 23432 I2C.RECEIVED_BYTE[2] +.sym 23433 $abc$5798$n141$2 +.sym 23434 $false +.sym 23441 $0\UART_WR[0:0]$2 +.sym 23442 CLK$2$2 +.sym 23443 $false +.sym 23446 $abc$5798$n323 +.sym 23447 $abc$5798$n325 +.sym 23448 $abc$5798$n328 +.sym 23449 $abc$5798$n330 +.sym 23450 $abc$5798$n333 +.sym 23451 $abc$5798$n336 +.sym 23518 I2C.RECEIVED_BYTE[2] +.sym 23519 $abc$5798$n323 +.sym 23520 $0\RAM_W[0:0] +.sym 23521 $false +.sym 23524 I2C.RECEIVED_BYTE[0] +.sym 23525 $abc$5798$n318 +.sym 23526 $0\RAM_W[0:0] +.sym 23527 $false +.sym 23536 I2C.RECEIVED_BYTE[7] +.sym 23537 $abc$5798$n336 +.sym 23538 $0\RAM_W[0:0] +.sym 23539 $false +.sym 23542 I2C.RECEIVED_BYTE[3] +.sym 23543 $abc$5798$n325 +.sym 23544 $0\RAM_W[0:0] +.sym 23545 $false +.sym 23548 I2C.RECEIVED_BYTE[6] +.sym 23549 $abc$5798$n333 +.sym 23550 $0\RAM_W[0:0] +.sym 23551 $false +.sym 23554 I2C.RECEIVED_BYTE[5] +.sym 23555 $abc$5798$n330 +.sym 23556 $0\RAM_W[0:0] +.sym 23557 $false +.sym 23560 I2C.RECEIVED_BYTE[4] +.sym 23561 $abc$5798$n328 +.sym 23562 $0\RAM_W[0:0] +.sym 23563 $false +.sym 23564 $abc$5798$n158$2 +.sym 23565 CLK$2$2 +.sym 23566 $false +.sym 23568 $abc$5798$n14 +.sym 23641 $abc$5798$n265 +.sym 23642 $abc$5798$n272_1 +.sym 23643 $false +.sym 23644 $false +.sym 23659 $abc$5798$n278_1 +.sym 23660 $abc$5798$n272_1 +.sym 23661 $false +.sym 23662 $false +.sym 23671 $abc$5798$n265 +.sym 23672 $abc$5798$n270 +.sym 23673 $false +.sym 23674 $false +.sym 23683 $abc$5798$n6 +.sym 23684 $false +.sym 23685 $false +.sym 23686 $false +.sym 23687 $abc$5798$n226 +.sym 23688 CLK$2$2 +.sym 23689 $false +.sym 23692 I2C.RECEIVED_BYTE[2] +.sym 23770 $abc$5798$n6 +.sym 23771 $false +.sym 23772 $false +.sym 23773 $false +.sym 23810 $abc$5798$n234 +.sym 23811 CLK$2$2 +.sym 23812 $false +.sym 23820 I2C.RECEIVED_BYTE[0] +.sym 23917 I2C.is_read +.sym 23918 $0\UART_WR[0:0]$2 +.sym 23919 $false +.sym 23920 $false +.sym 23929 $abc$5798$n6 +.sym 23930 $false +.sym 23931 $false +.sym 23932 $false +.sym 23933 $abc$5798$n195 +.sym 23934 CLK$2$2 +.sym 23935 $false +.sym 25556 SCL$2 +.sym 25709 I2C.SDA_IN +.sym 25777 $true +.sym 25814 LED1$2$2 +.sym 25815 $false +.sym 25816 LED1$2 +.sym 25817 $false +.sym 25818 $false +.sym 25820 $auto$alumacc.cc:470:replace_alu$1333.C[2] +.sym 25822 $false +.sym 25823 LED2$2 +.sym 25826 $auto$alumacc.cc:470:replace_alu$1333.C[3] +.sym 25827 $false +.sym 25828 $false +.sym 25829 LED3$2 +.sym 25830 $auto$alumacc.cc:470:replace_alu$1333.C[2] +.sym 25832 $auto$alumacc.cc:470:replace_alu$1333.C[4] +.sym 25833 $false +.sym 25834 $false +.sym 25835 LED4$2 +.sym 25836 $auto$alumacc.cc:470:replace_alu$1333.C[3] +.sym 25838 $auto$alumacc.cc:470:replace_alu$1333.C[5] +.sym 25839 $false +.sym 25840 $false +.sym 25841 ram_adress[4] +.sym 25842 $auto$alumacc.cc:470:replace_alu$1333.C[4] +.sym 25844 $auto$alumacc.cc:470:replace_alu$1333.C[6] +.sym 25845 $false +.sym 25846 $false +.sym 25847 ram_adress[5] +.sym 25848 $auto$alumacc.cc:470:replace_alu$1333.C[5] +.sym 25850 $auto$alumacc.cc:470:replace_alu$1333.C[7] +.sym 25851 $false +.sym 25852 $false +.sym 25853 ram_adress[6] +.sym 25854 $auto$alumacc.cc:470:replace_alu$1333.C[6] +.sym 25857 $false +.sym 25858 $false +.sym 25859 ram_adress[7] +.sym 25860 $auto$alumacc.cc:470:replace_alu$1333.C[7] +.sym 25976 RAM.r_data[4] +.sym 25977 $false +.sym 25978 $false +.sym 25979 $false +.sym 26016 $abc$5798$n141$2 +.sym 26017 CLK$2$2 +.sym 26018 $false +.sym 26137 $abc$5798$n6 +.sym 26138 $false +.sym 26139 $false +.sym 26140 $false +.sym 26171 $abc$5798$n203 +.sym 26172 CLK$2$2 +.sym 26173 $false +.sym 26322 $abc$5798$n6 +.sym 26323 $false +.sym 26324 $false +.sym 26325 $false +.sym 26326 $abc$5798$n185 +.sym 26327 CLK$2$2 +.sym 26328 $false +.sym 27370 I2C.is_read +.sym 27429 $abc$5798$n141 +.sym 27430 $false +.sym 27432 I2C.SDA_DIR +.sym 27463 I2C.i2c_state_machine +.sym 27519 LED4$2 +.sym 27522 LED3$2 +.sym 27549 LED2$2 +.sym 27552 LED1$2 diff --git a/i2c_flash/hardware.bin b/i2c_flash/hardware.bin new file mode 100644 index 0000000..1684a49 Binary files /dev/null and b/i2c_flash/hardware.bin differ diff --git a/i2c_flash/hardware.blif b/i2c_flash/hardware.blif new file mode 100644 index 0000000..b16690b --- /dev/null +++ b/i2c_flash/hardware.blif @@ -0,0 +1,1161 @@ +# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) + +.model top +.inputs CLK SCL SDA COM_RX +.outputs LED1 LED2 LED3 LED4 LED5 SDA ACK COM_TX COM_DCD COM_DSR COM_RTS +.names $false +.names $true +1 +.names $undef +.gate SB_LUT4 I0=$abc$5798$n225 I1=$abc$5798$n553 I2=$abc$5798$n230 I3=UART.tx_activity O=$abc$5798$n5 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000111111111 +.gate SB_LUT4 I0=$abc$5798$n226_1 I1=$abc$5798$n229 I2=$abc$5798$n582 I3=$abc$5798$n568 O=$abc$5798$n225 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=UART.tx_data[4] I1=UART.tx_data[6] I2=$abc$5798$n227 I3=$false O=$abc$5798$n226_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$5798$n594 I1=$abc$5798$n595 I2=$false I3=$false O=$abc$5798$n227 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$5798$n594 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART.tx_data[0] I1=UART.tx_data[2] I2=$abc$5798$n227 I3=$false O=$abc$5798$n229 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$5798$n231 I1=$abc$5798$n232 I2=$abc$5798$n568 I3=$abc$5798$n582 O=$abc$5798$n230 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010001100000000 +.gate SB_LUT4 I0=UART.tx_data[5] I1=UART.tx_data[7] I2=$abc$5798$n227 I3=$false O=$abc$5798$n231 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=UART.tx_data[1] I1=UART.tx_data[3] I2=$abc$5798$n227 I3=$false O=$abc$5798$n232 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=I2C.SDAF I1=I2C.SDAD I2=$abc$5798$n269 I3=$abc$5798$n235 O=$abc$5798$n7 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011010100110011 +.gate SB_LUT4 I0=I2C.sda_cnt[0] I1=I2C.sda_cnt[1] I2=$false I3=$false O=$abc$5798$n269 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$5798$n268 I1=$abc$5798$n271 I2=$abc$5798$n272 I3=$false O=$abc$5798$n235 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C.SCLF I1=I2C.SCLD I2=$abc$5798$n276 I3=$abc$5798$n238 O=$abc$5798$n9 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011010100110011 +.gate SB_LUT4 I0=I2C.scl_cnt[0] I1=I2C.scl_cnt[1] I2=$false I3=$false O=$abc$5798$n276 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$5798$n275 I1=$abc$5798$n278 I2=$abc$5798$n279 I3=$false O=$abc$5798$n238 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C.sda_cnt[0] I1=I2C.sda_cnt[1] I2=I2C.sda_cnt[2] I3=I2C.sda_cnt[3] O=$abc$5798$n25 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.scl_cnt[0] I1=I2C.scl_cnt[1] I2=I2C.scl_cnt[2] I3=I2C.scl_cnt[3] O=$abc$5798$n27 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$5798$n242 I2=$abc$5798$n243 I3=$false O=$abc$5798$n29 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11110001 +.gate SB_LUT4 I0=$abc$5798$n9 I1=$abc$5798$n18 I2=$abc$5798$n7 I3=$false O=$abc$5798$n242 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$5798$n7 I1=$abc$5798$n9 I2=$abc$5798$n18 I3=$false O=$abc$5798$n243 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$5798$n98 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$5798$n101 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$5798$n104 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$5798$n105 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[8] I2=$false I3=$false O=$abc$5798$n110 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$0\UART_WR[0:0] I1=I2C.is_read I2=$false I3=$false O=$abc$5798$n141 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=wr_old I1=I2C.wr I2=$false I3=$false O=$0\UART_WR[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.is_read I1=$0\UART_WR[0:0] I2=$false I3=$false O=$0\RAM_W[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.wr I1=wr_old I2=$false I3=$false O=$abc$5798$n152 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=LED1 I1=$abc$5798$n254 I2=I2C.wr I3=wr_old O=$abc$5798$n156 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000001011000000 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$5798$n255 I2=$false I3=$false O=$abc$5798$n254 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n256 I1=$abc$5798$n259 I2=I2C.byte_counter[1] I3=$false O=$abc$5798$n255 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$5798$n257 I1=$abc$5798$n258_1 I2=$false I3=$false O=$abc$5798$n256 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$5798$n257 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$5798$n258_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[8] I2=$false I3=$false O=$abc$5798$n259 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$5798$n254 I1=I2C.wr I2=wr_old I3=$false O=$abc$5798$n158 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00011000 +.gate SB_LUT4 I0=$abc$5798$n255 I1=$0\RAM_W[0:0] I2=I2C.wr I3=wr_old O=$abc$5798$n166 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111101000100 +.gate SB_LUT4 I0=I2C.SDAD I1=I2C.SDAF I2=$abc$5798$n25 I3=$false O=$abc$5798$n168 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=I2C.SCLD I1=I2C.SCLF I2=$abc$5798$n27 I3=$false O=$abc$5798$n170 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$5798$n265 I1=$abc$5798$n270 I2=$false I3=$false O=$abc$5798$n185 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n79 I1=$abc$5798$n269_1 I2=$abc$5798$n266 I3=$false O=$abc$5798$n265 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$abc$5798$n171 I3=$abc$5798$n268_1 O=$abc$5798$n266 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.is_read I2=$false I3=$false O=$abc$5798$n267 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n9 I1=$abc$5798$n20 I2=$false I3=$false O=$abc$5798$n268_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n71 I1=$abc$5798$n92 I2=$abc$5798$n584 I3=$false O=$abc$5798$n269_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n77 I2=I2C.i2c_bit_counter[0] I3=I2C.i2c_bit_counter[1] O=$abc$5798$n270 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=$abc$5798$n265 I1=$abc$5798$n272_1 I2=$false I3=$false O=$abc$5798$n195 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=I2C.i2c_bit_counter[1] I3=$abc$5798$n77 O=$abc$5798$n272_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=$abc$5798$n265 I1=$abc$5798$n274 I2=$false I3=$false O=$abc$5798$n203 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=I2C.i2c_bit_counter[1] I3=$abc$5798$n77 O=$abc$5798$n274 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011101011 +.gate SB_LUT4 I0=$abc$5798$n265 I1=$abc$5798$n276_1 I2=$false I3=$false O=$abc$5798$n211 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=I2C.i2c_bit_counter[1] I3=$abc$5798$n77 O=$abc$5798$n276_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110101100000000 +.gate SB_LUT4 I0=$abc$5798$n278_1 I1=$abc$5798$n270 I2=$false I3=$false O=$abc$5798$n219 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n266 I1=$abc$5798$n269_1 I2=$abc$5798$n79 I3=$false O=$abc$5798$n278_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$5798$n278_1 I1=$abc$5798$n272_1 I2=$false I3=$false O=$abc$5798$n226 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n278_1 I1=$abc$5798$n274 I2=$false I3=$false O=$abc$5798$n234 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n278_1 I1=$abc$5798$n276_1 I2=$false I3=$false O=$abc$5798$n241 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n283_1 I1=UART.tx_activity I2=$false I3=$false O=$abc$5798$n246 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=$false I3=$false O=$abc$5798$n283_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_activity I1=$abc$5798$n283_1 I2=$false I3=$false O=$abc$5798$n247 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n288_1 I1=$abc$5798$n286_1 I2=$abc$5798$n283_1 I3=UART.tx_activity O=$abc$5798$n251 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100010011110000 +.gate SB_LUT4 I0=$abc$5798$n549 I1=$abc$5798$n288 I2=$abc$5798$n291 I3=$abc$5798$n292 O=$abc$5798$n286_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$5798$n549 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_bit_counter[3] O=$abc$5798$n288_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$5798$n258 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$5798$n260 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$5798$n262 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$5798$n263 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=RAM.last_we I1=RAM_W I2=$false I3=$false O=$abc$5798$n317 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[0] I1=$abc$5798$n318 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[1] I1=LED2 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[2] I1=$abc$5798$n323 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[3] I1=$abc$5798$n325 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[4] I1=$abc$5798$n328 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[5] I1=$abc$5798$n330 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[6] I1=$abc$5798$n333 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[7] I1=$abc$5798$n336 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=RAM.r_data[0] I1=I2C.RECEIVED_BYTE[0] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=RAM.r_data[1] I1=I2C.RECEIVED_BYTE[1] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=RAM.r_data[2] I1=I2C.RECEIVED_BYTE[2] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=RAM.r_data[3] I1=I2C.RECEIVED_BYTE[3] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=RAM.r_data[4] I1=I2C.RECEIVED_BYTE[4] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=RAM.r_data[5] I1=I2C.RECEIVED_BYTE[5] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=RAM.r_data[6] I1=I2C.RECEIVED_BYTE[6] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=RAM.r_data[7] I1=I2C.RECEIVED_BYTE[7] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$5798$n363 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$5798$n365 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$5798$n367 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[3] I2=$false I3=$false O=$abc$5798$n609 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$5798$n315 I1=$abc$5798$n336_1 I2=$abc$5798$n320_1 I3=$abc$5798$n326_1 O=$abc$5798$n378 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000011111111 +.gate SB_LUT4 I0=$abc$5798$n316_1 I1=$abc$5798$n317_1 I2=$abc$5798$n318_1 I3=$abc$5798$n319_1 O=$abc$5798$n315 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$5798$n14 I1=I2C_TX[0] I2=$abc$5798$n79 I3=$abc$5798$n270 O=$abc$5798$n316_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101110000000000 +.gate SB_LUT4 I0=$abc$5798$n22 I1=I2C_TX[5] I2=$abc$5798$n79 I3=$abc$5798$n272_1 O=$abc$5798$n317_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100010100000000 +.gate SB_LUT4 I0=I2C_TX[7] I1=I2C_TX[3] I2=$abc$5798$n79 I3=$abc$5798$n276_1 O=$abc$5798$n318_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$5798$n12 I1=I2C_TX[6] I2=$abc$5798$n79 I3=$abc$5798$n274 O=$abc$5798$n319_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100010100000000 +.gate SB_LUT4 I0=$abc$5798$n322_1 I1=$abc$5798$n321 I2=$false I3=$false O=$abc$5798$n320_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$false I3=$false O=$abc$5798$n321 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n324_1 I1=$abc$5798$n323_1 I2=$abc$5798$n325_1 I3=$abc$5798$n171 O=$abc$5798$n322_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111001100000011 +.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n243 I2=I2C.SDA_DIR I3=$false O=$abc$5798$n323_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.is_ack I2=$false I3=$false O=$abc$5798$n324_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n20 I1=$abc$5798$n9 I2=$false I3=$false O=$abc$5798$n325_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n328_1 I1=$abc$5798$n327_1 I2=I2C.i2c_state_machine I3=$abc$5798$n323_1 O=$abc$5798$n326_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$false I3=$false O=$abc$5798$n327_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$5798$n329_1 I1=$abc$5798$n323_1 I2=$abc$5798$n330_1 I3=$abc$5798$n418 O=$abc$5798$n328_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$5798$n325_1 I1=$abc$5798$n171 I2=$false I3=$false O=$abc$5798$n329_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n331_1 I1=$abc$5798$n333_1 I2=$false I3=$false O=$abc$5798$n330_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$5798$n18 I1=$abc$5798$n9 I2=$abc$5798$n7 I3=$abc$5798$n332_1 O=$abc$5798$n331_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$5798$n259 I2=$abc$5798$n258_1 I3=$abc$5798$n257 O=$abc$5798$n332_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$5798$n334_1 I1=I2C.RECEIVED_BYTE[3] I2=I2C.RECEIVED_BYTE[5] I3=I2C.RECEIVED_BYTE[6] O=$abc$5798$n333_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[1] I1=I2C.RECEIVED_BYTE[2] I2=I2C.RECEIVED_BYTE[4] I3=I2C.RECEIVED_BYTE[7] O=$abc$5798$n334_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$5798$n171 I1=$abc$5798$n325_1 I2=$false I3=$false O=$abc$5798$n336_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n338_1 I1=$abc$5798$n267 I2=$false I3=$false O=$abc$5798$n380 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n331_1 I2=$abc$5798$n418 I3=I2C.RECEIVED_BYTE[0] O=$abc$5798$n338_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$5798$n340_1 I1=$abc$5798$n363 I2=$abc$5798$n343_1 I3=$abc$5798$n344_1 O=$abc$5798$n382 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=$abc$5798$n341_1 I1=$abc$5798$n336_1 I2=$abc$5798$n321 I3=$false O=$abc$5798$n340_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$5798$n342_1 I1=$abc$5798$n171 I2=$false I3=$false O=$abc$5798$n341_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n268_1 I2=I2C.is_ack I3=$false O=$abc$5798$n342_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$5798$n324_1 I1=$abc$5798$n329_1 I2=$abc$5798$n327_1 I3=$abc$5798$n266 O=$abc$5798$n343_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=$abc$5798$n345_1 I1=$abc$5798$n171 I2=$abc$5798$n77 I3=$false O=$abc$5798$n344_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$abc$5798$n9 I3=$abc$5798$n20 O=$abc$5798$n345_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111010111111 +.gate SB_LUT4 I0=$abc$5798$n340_1 I1=$abc$5798$n365 I2=$abc$5798$n343_1 I3=$abc$5798$n347_1 O=$abc$5798$n384 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=$abc$5798$n345_1 I1=$abc$5798$n348_1 I2=$abc$5798$n171 I3=$false O=$abc$5798$n347_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=I2C.i2c_bit_counter[1] I3=$false O=$abc$5798$n348_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=$abc$5798$n340_1 I1=$abc$5798$n367 I2=$abc$5798$n343_1 I3=$abc$5798$n350_1 O=$abc$5798$n386 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=$abc$5798$n345_1 I1=$abc$5798$n171 I2=$abc$5798$n79 I3=$false O=$abc$5798$n350_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$abc$5798$n354_1 I3=$false O=$abc$5798$n353 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$5798$n325_1 I1=I2C.is_ack I2=I2C.i2c_bit_counter[3] I3=$abc$5798$n242 O=$abc$5798$n354_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$5798$n321 I1=$abc$5798$n341_1 I2=$abc$5798$n359_1 I3=$false O=$abc$5798$n358_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$abc$5798$n418 I3=$false O=$abc$5798$n359_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$5798$n492 I1=$abc$5798$n422 I2=$abc$5798$n260 I3=$abc$5798$n358_1 O=$abc$5798$n396 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000011101110 +.gate SB_LUT4 I0=I2C.byte_counter[6] I1=I2C.byte_counter[7] I2=I2C.byte_counter[8] I3=I2C.byte_counter[1] O=$abc$5798$n369_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n493 I2=$abc$5798$n262 I3=$abc$5798$n358_1 O=$abc$5798$n398 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n494 I2=$abc$5798$n263 I3=$abc$5798$n358_1 O=$abc$5798$n400 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n495 I2=$abc$5798$n104 I3=$abc$5798$n358_1 O=$abc$5798$n402 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n496 I2=$abc$5798$n105 I3=$abc$5798$n358_1 O=$abc$5798$n404 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n497 I2=$abc$5798$n110 I3=$abc$5798$n358_1 O=$abc$5798$n406 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$5798$n376 I1=$abc$5798$n378_1 I2=$false I3=$false O=$abc$5798$n408 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$5798$n330_1 I1=$abc$5798$n418 I2=$abc$5798$n377 I3=$abc$5798$n327_1 O=$abc$5798$n376 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$5798$n329_1 I1=$abc$5798$n324_1 I2=$false I3=$false O=$abc$5798$n377 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$5798$n325_1 I1=$abc$5798$n171 I2=$abc$5798$n321 I3=$abc$5798$n423 O=$abc$5798$n378_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=$abc$5798$n381 I1=$abc$5798$n382_1 I2=$abc$5798$n385 I3=$abc$5798$n387 O=$abc$5798$n410 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111110010 +.gate SB_LUT4 I0=$abc$5798$n321 I1=$abc$5798$n171 I2=$false I3=$false O=$abc$5798$n381 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n383 I1=$abc$5798$n384_1 I2=$abc$5798$n342_1 I3=$false O=$abc$5798$n382_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$5798$n323_1 I1=$abc$5798$n7 I2=$false I3=$false O=$abc$5798$n383 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n243 I2=I2C.wr I3=$false O=$abc$5798$n384_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$5798$n171 I1=$abc$5798$n325_1 I2=$abc$5798$n386_1 I3=$abc$5798$n384_1 O=$abc$5798$n385 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=$abc$5798$n329_1 I1=$abc$5798$n324_1 I2=$abc$5798$n267 I3=$abc$5798$n29 O=$abc$5798$n386_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011111000 +.gate SB_LUT4 I0=$abc$5798$n596 I1=$abc$5798$n341_1 I2=$abc$5798$n327_1 I3=$false O=$abc$5798$n387 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$5798$n341_1 I1=$abc$5798$n383 I2=$abc$5798$n389 I3=$abc$5798$n267 O=$abc$5798$n415 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111011100001111 +.gate SB_LUT4 I0=$abc$5798$n330_1 I1=$abc$5798$n418 I2=$false I3=$false O=$abc$5798$n389 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$5798$n288_1 I1=$abc$5798$n286_1 I2=$abc$5798$n283_1 I3=UART.tx_activity O=$abc$5798$n546 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111011111110000 +.gate SB_LUT4 I0=$abc$5798$n286_1 I1=$abc$5798$n288 I2=$false I3=$false O=$abc$5798$n548 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$5798$n286_1 I1=$abc$5798$n291 I2=$false I3=$false O=$abc$5798$n550 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$5798$n286_1 I1=$abc$5798$n292 I2=$false I3=$false O=$abc$5798$n551 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=UART.tx_activity I1=I2C.i2c_state_machine I2=$false I3=$false O=COM_DSR +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=RAM.r_data[2] I1=$false I2=$false I3=$false O=$abc$5798$n1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=RAM.r_data[4] I1=$false I2=$false I3=$false O=$abc$5798$n3 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n7 I1=$false I2=$false I3=$false O=$abc$5798$n6 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n9 I1=$false I2=$false I3=$false O=$abc$5798$n8 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=RAM.r_data[1] I1=$false I2=$false I3=$false O=$abc$5798$n11 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n16 I1=$false I2=$false I3=$false O=COM_TX +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$5798$n31 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n609 I1=$false I2=$false I3=$false O=$abc$5798$n369 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n258 I1=$false I2=$false I3=$false O=$abc$5798$n583 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n365 I1=$false I2=$false I3=$false O=$abc$5798$n585 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n594 I1=$false I2=$false I3=$false O=$abc$5798$n593 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n101 I1=$false I2=$false I3=$false O=$abc$5798$n599 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n260 I1=$false I2=$false I3=$false O=$abc$5798$n600 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n263 I1=$false I2=$false I3=$false O=$abc$5798$n601 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n104 I1=$false I2=$false I3=$false O=$abc$5798$n602 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n105 I1=$false I2=$false I3=$false O=$abc$5798$n603 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n110 I1=$false I2=$false I3=$false O=$abc$5798$n604 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n262 I1=$false I2=$false I3=$false O=$abc$5798$n605 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n595 I1=$false I2=$false I3=$false O=$abc$5798$n606 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n363 I1=$false I2=$false I3=$false O=$abc$5798$n607 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n367 I1=$false I2=$false I3=$false O=$abc$5798$n608 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n610 I1=$false I2=$false I3=$false O=$abc$5798$n611 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$5798$n612 I1=$false I2=$false I3=$false O=$abc$5798$n613 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.is_ack I1=$abc$5798$n171 I2=$abc$5798$n325_1 I3=$false O=$abc$5798$n418 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$5798$n489 I1=$abc$5798$n98 I2=$abc$5798$n420 I3=$false O=$abc$5798$n390 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$5798$n321 I1=$abc$5798$n341_1 I2=$abc$5798$n359_1 I3=$abc$5798$n422 O=$abc$5798$n420 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011111000 +.gate SB_LUT4 I0=$abc$5798$n491 I1=$abc$5798$n101 I2=$abc$5798$n420 I3=$false O=$abc$5798$n394 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$5798$n260 I1=$abc$5798$n257 I2=$abc$5798$n369_1 I3=$abc$5798$n258_1 O=$abc$5798$n422 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n325_1 I2=$abc$5798$n324_1 I3=$false O=$abc$5798$n423 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$5798$n609 I1=$abc$5798$n71 I2=$abc$5798$n345_1 I3=$false O=$abc$5798$n424 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$5798$n345_1 I1=$abc$5798$n342_1 I2=$abc$5798$n609 I3=$abc$5798$n321 O=$abc$5798$n425 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011000011110101 +.gate SB_LUT4 I0=$abc$5798$n353 I1=$abc$5798$n425 I2=$abc$5798$n424 I3=$abc$5798$n171 O=$abc$5798$n388 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011101111110000 +.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n418 I2=$abc$5798$n341_1 I3=$abc$5798$n267 O=$abc$5798$n427 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$5798$n29 I1=I2C.byte_counter[0] I2=$abc$5798$n427 I3=$abc$5798$n258 O=$abc$5798$n392 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111101000000 +.gate SB_CARRY CI=$abc$5798$n606 CO=$auto$alumacc.cc:470:replace_alu$1306.C[2] I0=$false I1=$abc$5798$n594 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1306.C[2] CO=$auto$alumacc.cc:470:replace_alu$1306.C[3] I0=$false I1=$abc$5798$n611 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1306.C[3] CO=$abc$5798$n553 I0=$false I1=$abc$5798$n613 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$5798$n607 CO=$auto$alumacc.cc:470:replace_alu$1311.C[2] I0=$false I1=$abc$5798$n585 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1311.C[2] CO=$auto$alumacc.cc:470:replace_alu$1311.C[3] I0=$false I1=$abc$5798$n608 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1311.C[3] CO=$abc$5798$n171 I0=$false I1=$abc$5798$n609 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$5798$n583 CO=$auto$alumacc.cc:470:replace_alu$1316.C[3] I0=$false I1=$abc$5798$n599 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[3] CO=$auto$alumacc.cc:470:replace_alu$1316.C[4] I0=$false I1=$abc$5798$n600 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[4] CO=$auto$alumacc.cc:470:replace_alu$1316.C[5] I0=$false I1=$abc$5798$n605 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[5] CO=$auto$alumacc.cc:470:replace_alu$1316.C[6] I0=$false I1=$abc$5798$n601 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[6] CO=$auto$alumacc.cc:470:replace_alu$1316.C[7] I0=$false I1=$abc$5798$n602 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[7] CO=$auto$alumacc.cc:470:replace_alu$1316.C[8] I0=$false I1=$abc$5798$n603 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[8] CO=$abc$5798$n596 I0=$false I1=$abc$5798$n604 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=$abc$5798$n98 I3=$false O=$abc$5798$n489 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$5798$n98 CO=$auto$alumacc.cc:470:replace_alu$1321.C[2] I0=$false I1=$abc$5798$n258 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n101 I3=$auto$alumacc.cc:470:replace_alu$1321.C[2] O=$abc$5798$n491 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[2] CO=$auto$alumacc.cc:470:replace_alu$1321.C[3] I0=$false I1=$abc$5798$n101 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n260 I3=$auto$alumacc.cc:470:replace_alu$1321.C[3] O=$abc$5798$n492 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[3] CO=$auto$alumacc.cc:470:replace_alu$1321.C[4] I0=$false I1=$abc$5798$n260 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n262 I3=$auto$alumacc.cc:470:replace_alu$1321.C[4] O=$abc$5798$n493 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[4] CO=$auto$alumacc.cc:470:replace_alu$1321.C[5] I0=$false I1=$abc$5798$n262 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n263 I3=$auto$alumacc.cc:470:replace_alu$1321.C[5] O=$abc$5798$n494 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[5] CO=$auto$alumacc.cc:470:replace_alu$1321.C[6] I0=$false I1=$abc$5798$n263 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n104 I3=$auto$alumacc.cc:470:replace_alu$1321.C[6] O=$abc$5798$n495 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[6] CO=$auto$alumacc.cc:470:replace_alu$1321.C[7] I0=$false I1=$abc$5798$n104 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n105 I3=$auto$alumacc.cc:470:replace_alu$1321.C[7] O=$abc$5798$n496 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[7] CO=$auto$alumacc.cc:470:replace_alu$1321.C[8] I0=$false I1=$abc$5798$n105 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n110 I3=$auto$alumacc.cc:470:replace_alu$1321.C[8] O=$abc$5798$n497 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$abc$5798$n363 I2=$false I3=$true O=$abc$5798$n77 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$5798$n363 CO=$auto$alumacc.cc:470:replace_alu$1324.C[2] I0=$abc$5798$n365 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$5798$n367 I2=$true I3=$auto$alumacc.cc:470:replace_alu$1324.C[2] O=$abc$5798$n79 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1324.C[2] CO=$auto$alumacc.cc:470:replace_alu$1324.C[3] I0=$abc$5798$n367 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$5798$n369 I2=$true I3=$auto$alumacc.cc:470:replace_alu$1324.C[3] O=$abc$5798$n71 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1324.C[3] CO=$abc$5798$n584 I0=$abc$5798$n369 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$5798$n584 O=$abc$5798$n92 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.scl_cnt[0] I2=$false I3=$true O=$abc$5798$n275 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.scl_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$1327.C[2] I0=I2C.scl_cnt[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.scl_cnt[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1327.C[2] O=$abc$5798$n278 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1327.C[2] CO=$auto$alumacc.cc:470:replace_alu$1327.C[3] I0=I2C.scl_cnt[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.scl_cnt[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1327.C[3] O=$abc$5798$n279 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.sda_cnt[0] I2=$false I3=$true O=$abc$5798$n268 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.sda_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$1330.C[2] I0=I2C.sda_cnt[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.sda_cnt[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1330.C[2] O=$abc$5798$n271 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1330.C[2] CO=$auto$alumacc.cc:470:replace_alu$1330.C[3] I0=I2C.sda_cnt[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.sda_cnt[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1330.C[3] O=$abc$5798$n272 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=LED1 I3=$false O=$abc$5798$n318 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=LED1 CO=$auto$alumacc.cc:470:replace_alu$1333.C[2] I0=$false I1=LED2 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=LED3 I3=$auto$alumacc.cc:470:replace_alu$1333.C[2] O=$abc$5798$n323 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[2] CO=$auto$alumacc.cc:470:replace_alu$1333.C[3] I0=$false I1=LED3 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=LED4 I3=$auto$alumacc.cc:470:replace_alu$1333.C[3] O=$abc$5798$n325 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[3] CO=$auto$alumacc.cc:470:replace_alu$1333.C[4] I0=$false I1=LED4 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ram_adress[4] I3=$auto$alumacc.cc:470:replace_alu$1333.C[4] O=$abc$5798$n328 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[4] CO=$auto$alumacc.cc:470:replace_alu$1333.C[5] I0=$false I1=ram_adress[4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ram_adress[5] I3=$auto$alumacc.cc:470:replace_alu$1333.C[5] O=$abc$5798$n330 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[5] CO=$auto$alumacc.cc:470:replace_alu$1333.C[6] I0=$false I1=ram_adress[5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ram_adress[6] I3=$auto$alumacc.cc:470:replace_alu$1333.C[6] O=$abc$5798$n333 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[6] CO=$auto$alumacc.cc:470:replace_alu$1333.C[7] I0=$false I1=ram_adress[6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ram_adress[7] I3=$auto$alumacc.cc:470:replace_alu$1333.C[7] O=$abc$5798$n336 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$5798$n288 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$1336.C[2] I0=UART.tx_clk_counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1336.C[2] O=$abc$5798$n291 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1336.C[2] CO=$auto$alumacc.cc:470:replace_alu$1336.C[3] I0=UART.tx_clk_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1336.C[3] O=$abc$5798$n292 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$5798$n595 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$1339.C[2] I0=UART.tx_bit_counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1339.C[2] O=$abc$5798$n610 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1339.C[2] CO=$auto$alumacc.cc:470:replace_alu$1339.C[3] I0=UART.tx_bit_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1339.C[3] O=$abc$5798$n612 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n606 I3=$true O=$abc$5798$n582 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$5798$n606 CO=$auto$alumacc.cc:470:replace_alu$1342.C[2] I0=$false I1=$abc$5798$n594 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n611 I3=$auto$alumacc.cc:470:replace_alu$1342.C[2] O=$abc$5798$n568 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_DFFE C=CLK D=RAM.r_data[0] E=$abc$5798$n141 Q=I2C_TX[0] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n11 E=$abc$5798$n141 Q=$abc$5798$n22 +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n1 E=$abc$5798$n141 Q=$abc$5798$n12 +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=RAM.r_data[3] E=$abc$5798$n141 Q=I2C_TX[3] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n3 E=$abc$5798$n141 Q=$abc$5798$n14 +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=RAM.r_data[5] E=$abc$5798$n141 Q=I2C_TX[5] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=RAM.r_data[6] E=$abc$5798$n141 Q=I2C_TX[6] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=RAM.r_data[7] E=$abc$5798$n141 Q=I2C_TX[7] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$0\RAM_W[0:0] E=$abc$5798$n152 Q=UART_WR S=$abc$5798$n141 +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][0] E=$0\UART_WR[0:0] Q=UART_TX_DATA[0] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][1] E=$0\UART_WR[0:0] Q=UART_TX_DATA[1] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][2] E=$0\UART_WR[0:0] Q=UART_TX_DATA[2] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][3] E=$0\UART_WR[0:0] Q=UART_TX_DATA[3] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][4] E=$0\UART_WR[0:0] Q=UART_TX_DATA[4] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][5] E=$0\UART_WR[0:0] Q=UART_TX_DATA[5] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][6] E=$0\UART_WR[0:0] Q=UART_TX_DATA[6] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][7] E=$0\UART_WR[0:0] Q=UART_TX_DATA[7] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=I2C.wr Q=wr_old +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][0] E=$abc$5798$n158 Q=LED1 +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][1] E=$abc$5798$n156 Q=LED2 +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][2] E=$abc$5798$n158 Q=LED3 +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][3] E=$abc$5798$n158 Q=LED4 +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][4] E=$abc$5798$n158 Q=ram_adress[4] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][5] E=$abc$5798$n158 Q=ram_adress[5] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][6] E=$abc$5798$n158 Q=ram_adress[6] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][7] E=$abc$5798$n158 Q=ram_adress[7] +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\RAM_W[0:0] E=$abc$5798$n166 Q=RAM_W +.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF +.attr src "i2c_slave.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF +.attr src "i2c_slave.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFESS C=CLK D=$abc$5798$n268 E=$abc$5798$n168 Q=I2C.sda_cnt[0] S=$abc$5798$n25 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n269 E=$abc$5798$n168 Q=I2C.sda_cnt[1] S=$abc$5798$n25 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n271 E=$abc$5798$n168 Q=I2C.sda_cnt[2] S=$abc$5798$n25 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$5798$n272 E=$abc$5798$n168 Q=I2C.sda_cnt[3] R=$abc$5798$n25 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n275 E=$abc$5798$n170 Q=I2C.scl_cnt[0] S=$abc$5798$n27 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n276 E=$abc$5798$n170 Q=I2C.scl_cnt[1] S=$abc$5798$n27 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n278 E=$abc$5798$n170 Q=I2C.scl_cnt[2] S=$abc$5798$n27 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$5798$n279 E=$abc$5798$n170 Q=I2C.scl_cnt[3] R=$abc$5798$n27 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$5798$n410 Q=I2C.wr +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n408 Q=I2C.is_ack +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n390 Q=I2C.byte_counter[0] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n392 Q=I2C.byte_counter[1] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n394 Q=I2C.byte_counter[2] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n396 Q=I2C.byte_counter[3] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n398 Q=I2C.byte_counter[4] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n400 Q=I2C.byte_counter[5] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n402 Q=I2C.byte_counter[6] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n404 Q=I2C.byte_counter[7] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n406 Q=I2C.byte_counter[8] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n382 Q=I2C.i2c_bit_counter[0] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n384 Q=I2C.i2c_bit_counter[1] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n386 Q=I2C.i2c_bit_counter[2] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n388 Q=I2C.i2c_bit_counter[3] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n380 Q=I2C.is_read +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFSR C=CLK D=$abc$5798$n415 Q=I2C.i2c_state_machine R=$abc$5798$n29 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n7 Q=$abc$5798$n18 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n9 Q=$abc$5798$n20 +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n6 Q=I2C.SDAD +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n8 Q=I2C.SCLD +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$5798$n378 Q=I2C.SDA_DIR +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n185 Q=I2C.RECEIVED_BYTE[0] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n195 Q=I2C.RECEIVED_BYTE[1] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n203 Q=I2C.RECEIVED_BYTE[2] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n211 Q=I2C.RECEIVED_BYTE[3] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n219 Q=I2C.RECEIVED_BYTE[4] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n226 Q=I2C.RECEIVED_BYTE[5] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n234 Q=I2C.RECEIVED_BYTE[6] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n241 Q=I2C.RECEIVED_BYTE[7] +.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$5798$n546 Q=UART.tx_activity +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$5798$n5 E=$abc$5798$n251 Q=$abc$5798$n16 +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_TX_DATA[0] E=$abc$5798$n247 Q=UART.tx_data[0] +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_TX_DATA[1] E=$abc$5798$n247 Q=UART.tx_data[1] +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_TX_DATA[2] E=$abc$5798$n247 Q=UART.tx_data[2] +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_TX_DATA[3] E=$abc$5798$n247 Q=UART.tx_data[3] +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_TX_DATA[4] E=$abc$5798$n247 Q=UART.tx_data[4] +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_TX_DATA[5] E=$abc$5798$n247 Q=UART.tx_data[5] +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_TX_DATA[6] E=$abc$5798$n247 Q=UART.tx_data[6] +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_TX_DATA[7] E=$abc$5798$n247 Q=UART.tx_data[7] +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n548 E=$abc$5798$n246 Q=UART.tx_clk_counter[0] S=$abc$5798$n31 +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$5798$n549 E=$abc$5798$n246 Q=UART.tx_clk_counter[1] R=$abc$5798$n31 +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n550 E=$abc$5798$n246 Q=UART.tx_clk_counter[2] S=$abc$5798$n31 +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n551 E=$abc$5798$n246 Q=UART.tx_clk_counter[3] S=$abc$5798$n31 +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n595 E=$abc$5798$n251 Q=UART.tx_bit_counter[0] S=$abc$5798$n31 +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$5798$n593 E=$abc$5798$n251 Q=UART.tx_bit_counter[1] R=$abc$5798$n31 +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$5798$n610 E=$abc$5798$n251 Q=UART.tx_bit_counter[2] R=$abc$5798$n31 +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$5798$n612 E=$abc$5798$n251 Q=UART.tx_bit_counter[3] S=$abc$5798$n31 +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=UART_WR Q=UART.TX_sig_last +.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=RAM_W Q=RAM.last_we +.attr src "ram.v:8|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA +.attr src "i2c_slave.v:163" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=LED1 RADDR[1]=LED2 RADDR[2]=LED3 RADDR[3]=LED4 RADDR[4]=ram_adress[4] RADDR[5]=ram_adress[5] RADDR[6]=ram_adress[6] RADDR[7]=ram_adress[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=RAM.r_data[0] RDATA[1]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=RAM.r_data[1] RDATA[3]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=RAM.r_data[2] RDATA[5]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=RAM.r_data[3] RDATA[7]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=RAM.r_data[4] RDATA[9]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=RAM.r_data[5] RDATA[11]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=RAM.r_data[6] RDATA[13]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=RAM.r_data[7] RDATA[15]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=LED1 WADDR[1]=LED2 WADDR[2]=LED3 WADDR[3]=LED4 WADDR[4]=ram_adress[4] WADDR[5]=ram_adress[5] WADDR[6]=ram_adress[6] WADDR[7]=ram_adress[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$abc$5798$n317 WDATA[0]=I2C.RECEIVED_BYTE[0] WDATA[1]=$undef WDATA[2]=I2C.RECEIVED_BYTE[1] WDATA[3]=$undef WDATA[4]=I2C.RECEIVED_BYTE[2] WDATA[5]=$undef WDATA[6]=I2C.RECEIVED_BYTE[3] WDATA[7]=$undef WDATA[8]=I2C.RECEIVED_BYTE[4] WDATA[9]=$undef WDATA[10]=I2C.RECEIVED_BYTE[5] WDATA[11]=$undef WDATA[12]=I2C.RECEIVED_BYTE[6] WDATA[13]=$undef WDATA[14]=I2C.RECEIVED_BYTE[7] WDATA[15]=$undef WE=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" +.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 +.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param READ_MODE 00000000000000000000000000000001 +.param WRITE_MODE 00000000000000000000000000000001 +.names I2C.is_read ACK +1 1 +.names $undef COM_DCD +1 1 +.names I2C.is_read COM_RTS +1 1 +.names I2C_TX[0] I2C.BYTE_TO_TRANSMIT[0] +1 1 +.names I2C_TX[3] I2C.BYTE_TO_TRANSMIT[3] +1 1 +.names I2C_TX[5] I2C.BYTE_TO_TRANSMIT[5] +1 1 +.names I2C_TX[6] I2C.BYTE_TO_TRANSMIT[6] +1 1 +.names I2C_TX[7] I2C.BYTE_TO_TRANSMIT[7] +1 1 +.names CLK I2C.CLK +1 1 +.names I2C.byte_counter[0] I2C.COUNTER[0] +1 1 +.names I2C.byte_counter[1] I2C.COUNTER[1] +1 1 +.names I2C.byte_counter[2] I2C.COUNTER[2] +1 1 +.names I2C.byte_counter[3] I2C.COUNTER[3] +1 1 +.names I2C.byte_counter[4] I2C.COUNTER[4] +1 1 +.names I2C.byte_counter[5] I2C.COUNTER[5] +1 1 +.names I2C.byte_counter[6] I2C.COUNTER[6] +1 1 +.names I2C.byte_counter[7] I2C.COUNTER[7] +1 1 +.names I2C.byte_counter[8] I2C.COUNTER[8] +1 1 +.names I2C.is_ack I2C.IS_ACK +1 1 +.names I2C.is_read I2C.IS_READ +1 1 +.names I2C.i2c_state_machine I2C.IS_TRANSMISSION +1 1 +.names SCL I2C.SCL +1 1 +.names SDA I2C.SDA +1 1 +.names I2C.wr I2C.WR +1 1 +.names I2C.is_ack I2C_ACK +1 1 +.names I2C.byte_counter[0] I2C_COUNTER[0] +1 1 +.names I2C.byte_counter[1] I2C_COUNTER[1] +1 1 +.names I2C.byte_counter[2] I2C_COUNTER[2] +1 1 +.names I2C.byte_counter[3] I2C_COUNTER[3] +1 1 +.names I2C.byte_counter[4] I2C_COUNTER[4] +1 1 +.names I2C.byte_counter[5] I2C_COUNTER[5] +1 1 +.names I2C.byte_counter[6] I2C_COUNTER[6] +1 1 +.names I2C.byte_counter[7] I2C_COUNTER[7] +1 1 +.names I2C.byte_counter[8] I2C_COUNTER[8] +1 1 +.names $false I2C_COUNTER[9] +1 1 +.names I2C.is_read I2C_READ +1 1 +.names I2C.RECEIVED_BYTE[0] I2C_RX[0] +1 1 +.names I2C.RECEIVED_BYTE[1] I2C_RX[1] +1 1 +.names I2C.RECEIVED_BYTE[2] I2C_RX[2] +1 1 +.names I2C.RECEIVED_BYTE[3] I2C_RX[3] +1 1 +.names I2C.RECEIVED_BYTE[4] I2C_RX[4] +1 1 +.names I2C.RECEIVED_BYTE[5] I2C_RX[5] +1 1 +.names I2C.RECEIVED_BYTE[6] I2C_RX[6] +1 1 +.names I2C.RECEIVED_BYTE[7] I2C_RX[7] +1 1 +.names I2C.i2c_state_machine I2C_TRANS +1 1 +.names I2C.BYTE_TO_TRANSMIT[1] I2C_TX[1] +1 1 +.names I2C.BYTE_TO_TRANSMIT[2] I2C_TX[2] +1 1 +.names I2C.BYTE_TO_TRANSMIT[4] I2C_TX[4] +1 1 +.names I2C.wr I2C_WR +1 1 +.names I2C.i2c_state_machine LED5 +1 1 +.names LED1 RAM.addr[0] +1 1 +.names LED2 RAM.addr[1] +1 1 +.names LED3 RAM.addr[2] +1 1 +.names LED4 RAM.addr[3] +1 1 +.names ram_adress[4] RAM.addr[4] +1 1 +.names ram_adress[5] RAM.addr[5] +1 1 +.names ram_adress[6] RAM.addr[6] +1 1 +.names ram_adress[7] RAM.addr[7] +1 1 +.names $false RAM.addr[8] +1 1 +.names CLK RAM.clk +1 1 +.names RAM.r_data[0] RAM.rdata[0] +1 1 +.names RAM.r_data[1] RAM.rdata[1] +1 1 +.names RAM.r_data[2] RAM.rdata[2] +1 1 +.names RAM.r_data[3] RAM.rdata[3] +1 1 +.names RAM.r_data[4] RAM.rdata[4] +1 1 +.names RAM.r_data[5] RAM.rdata[5] +1 1 +.names RAM.r_data[6] RAM.rdata[6] +1 1 +.names RAM.r_data[7] RAM.rdata[7] +1 1 +.names I2C.RECEIVED_BYTE[0] RAM.wdata[0] +1 1 +.names I2C.RECEIVED_BYTE[1] RAM.wdata[1] +1 1 +.names I2C.RECEIVED_BYTE[2] RAM.wdata[2] +1 1 +.names I2C.RECEIVED_BYTE[3] RAM.wdata[3] +1 1 +.names I2C.RECEIVED_BYTE[4] RAM.wdata[4] +1 1 +.names I2C.RECEIVED_BYTE[5] RAM.wdata[5] +1 1 +.names I2C.RECEIVED_BYTE[6] RAM.wdata[6] +1 1 +.names I2C.RECEIVED_BYTE[7] RAM.wdata[7] +1 1 +.names RAM_W RAM.wen +1 1 +.names RAM.r_data[0] RAM_RD[0] +1 1 +.names RAM.r_data[1] RAM_RD[1] +1 1 +.names RAM.r_data[2] RAM_RD[2] +1 1 +.names RAM.r_data[3] RAM_RD[3] +1 1 +.names RAM.r_data[4] RAM_RD[4] +1 1 +.names RAM.r_data[5] RAM_RD[5] +1 1 +.names RAM.r_data[6] RAM_RD[6] +1 1 +.names RAM.r_data[7] RAM_RD[7] +1 1 +.names CLK UART.CLK +1 1 +.names UART.tx_activity UART.TX_ACTIVITY +1 1 +.names UART_TX_DATA[0] UART.TX_BYTE[0] +1 1 +.names UART_TX_DATA[1] UART.TX_BYTE[1] +1 1 +.names UART_TX_DATA[2] UART.TX_BYTE[2] +1 1 +.names UART_TX_DATA[3] UART.TX_BYTE[3] +1 1 +.names UART_TX_DATA[4] UART.TX_BYTE[4] +1 1 +.names UART_TX_DATA[5] UART.TX_BYTE[5] +1 1 +.names UART_TX_DATA[6] UART.TX_BYTE[6] +1 1 +.names UART_TX_DATA[7] UART.TX_BYTE[7] +1 1 +.names COM_TX UART.TX_LINE +1 1 +.names UART_WR UART.TX_SIGNAL +1 1 +.names COM_TX UART.tx_line +1 1 +.names UART.tx_activity UART_ACTIVE +1 1 +.names COM_TX UART_TX_LINE +1 1 +.names LED1 ram_adress[0] +1 1 +.names LED2 ram_adress[1] +1 1 +.names LED3 ram_adress[2] +1 1 +.names LED4 ram_adress[3] +1 1 +.end diff --git a/i2c_flash/i2c_slave.v b/i2c_flash/i2c_slave.v new file mode 100644 index 0000000..d639f05 --- /dev/null +++ b/i2c_flash/i2c_slave.v @@ -0,0 +1,173 @@ +module i2c_slave (input CLK, + input SCL, inout SDA, + output IS_TRANSMISSION, output IS_READ, output IS_ACK, output WR, //output ACK_MASTER_CTRL, + output reg [7:0] RECEIVED_BYTE, input [7:0] BYTE_TO_TRANSMIT, + output [(MAX_I2C_TRANSACTION_EXP2-1):0] COUNTER); +// ALL OPERATIONS WITH MEMORY ARE IN POSEDGE CLK, IN NEGEDGE - ONLY SCL AND SDA LATCH +// COUNTER = 0 - ADRESS RECEIVED, COUNTER >=1 - DATA TRANSMISSION +// RECEIVED BYTES MUST READ WHEN WR POSEDGE, ADRESS NOT READING ###AND BYTE COUNTER >=1 (BYTE COUNTER = 0 - ADRESS) +// BYTES TO TRANSMIT MUST WRITE WHEN WR POSEDGE, BYTE COUNTER CAN BE ZERO +// (FIRST BYTE TRANSMITTED AFTER ADRESS). +// LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (DECAUSE MASTER STOPS TRANSMIT) + + parameter I2C_ADRESS = 7'h34; + parameter MAX_I2C_TRANSACTION_EXP2 = 9; // !!! - FOR LIMIT BYTES TO TX/RX (WITH ADRESS) + + reg SDA_IN, SDA_DIR, SDA_OUT; + initial begin + SDA_OUT = 0; + end + + reg SCLD, SDAD; + + reg SCL_LAST, SDA_LAST; + reg i2c_state_machine; + initial begin + SCL_LAST = 1; SDA_LAST = 1; i2c_state_machine = 0; + end + reg is_read; + reg [3:0] i2c_bit_counter; + //reg [7:0] received_byte; + reg [7:0] byte_to_transmit; + reg [(MAX_I2C_TRANSACTION_EXP2-1):0] byte_counter; + //reg is_for_me; + reg is_ack; + reg wr;//reg ack_master_ctrl; + + // FILTER + reg SCLF, SDAF; + reg [3:0] scl_cnt, sda_cnt; + + always@(negedge CLK) begin + SCLF = SCL; + SDAF = SDA_IN; + end + + always@(posedge CLK) begin + if (scl_cnt != 0) begin + scl_cnt = scl_cnt - 1; + if (scl_cnt == 0) begin + if (SCLD != SCLF) + SCLD = SCLF; + end + end + else begin + if (SCLD != SCLF) + scl_cnt = 3'd7; + end + if (sda_cnt != 0) begin + sda_cnt = sda_cnt - 1; + if (sda_cnt == 0) begin + if (SDAD != SDAF) + SDAD = SDAF; + end + end + else begin + if (SDAD != SDAF) + sda_cnt = 3'd7; + end + // END OF FILTER + + //SDA_IN = SDA; // FOR IVERILOG + if ((SDAD == 0) && (SDA_LAST == 1) && (SCLD == 1)) begin + i2c_state_machine = 1; + i2c_bit_counter = 4'd8; + byte_counter = 9'd0; + is_read = 0; + //is_for_me = 1; // RESETS TO ZERO WHEN ADRESS CHECKING + SDA_DIR = 0; + is_ack = 0; + //ack_master_ctrl = 1; + wr = 0; + end + if ((SDAD == 1) && (SDA_LAST == 0) && (SCLD == 1)) begin + i2c_state_machine = 0; + SDA_DIR = 0; + wr = 0; + end + if (i2c_state_machine/* && is_for_me*/) begin + if (!is_read) begin + if (i2c_bit_counter > 0) begin + if ((SCL_LAST == 0) && (SCLD == 1)) begin + RECEIVED_BYTE[i2c_bit_counter-1] = SDAD; + i2c_bit_counter = i2c_bit_counter - 1; + end + end + else begin + if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin + if (byte_counter == 0) begin + if (RECEIVED_BYTE[7:1] != I2C_ADRESS) + i2c_state_machine = 0; //is_for_me = 0; + is_read = RECEIVED_BYTE[0]; + end + else begin + // EMIT SIGNAL OF BYTE RECEIVING + end + if (byte_counter != (2^MAX_I2C_TRANSACTION_EXP2 - 1)) + byte_counter = byte_counter + 1; + SDA_DIR = i2c_state_machine; //is_for_me; + is_ack = i2c_state_machine; //1; + //if (is_read) begin + // i2c_bit_counter = 8; + //end + end + else if ((SCL_LAST == 0) && (SCLD == 1) && (is_ack == 1) && (byte_counter > 1)) + wr = 1; + else if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 1)) begin + is_ack = 0; + SDA_DIR = 0; + i2c_bit_counter = 4'd8; + wr = 0; + end + end + end + else begin // IS_READ + if (i2c_bit_counter > 0) begin + if ((SCL_LAST == 1) && (SCLD == 0)) begin + wr = 0; + SDA_DIR = (BYTE_TO_TRANSMIT[i2c_bit_counter-1] ^ 1) /*& is_for_me & ack_master_ctrl*/; + i2c_bit_counter = i2c_bit_counter - 1; + is_ack = 0; + end + end + else begin + if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin + SDA_DIR = 0; + is_ack = 1; + end + else if ((SCL_LAST == 0) && (SCLD == 1) && (is_ack == 1)) begin + i2c_bit_counter = 8; + i2c_state_machine = (SDAD ^ 1) | SDA_DIR; //ack_master_ctrl = SDAD+1; // MAYBE TRANSMIT BYTE REPEAT + wr = (SDAD ^ 1) | SDA_DIR; + if (byte_counter != (2^MAX_I2C_TRANSACTION_EXP2 - 1)) + byte_counter = byte_counter + 1; + // EMIT SIGNAL OF BYTE TO TRANSMIT + end + end + end + end + SCL_LAST <= SCLD; + SDA_LAST <= SDAD; + //SDA_OUT = (SDA_DIR ^ 1) & SDA; // FOR IVERILOG + end + + assign IS_TRANSMISSION = i2c_state_machine; + //assign SDA = SDA_DIR ? 1'b0 : 1'bz; + assign IS_ACK = is_ack; + assign IS_READ = is_read; + assign WR = wr;//assign ACK_MASTER_CTRL = ack_master_ctrl; + //assign RECEIVED_BYTE = received_byte; + //assign BYTE_TO_TRANSMIT = byte_to_transmit; + assign COUNTER = byte_counter; + + SB_IO #( + .PIN_TYPE(6'b 1010_01), + .PULLUP(1'b 0) + ) led_io ( + .PACKAGE_PIN(SDA), + .OUTPUT_ENABLE(SDA_DIR), + .D_OUT_0(SDA_OUT), + .D_IN_0(SDA_IN) + ); + +endmodule diff --git a/i2c_flash/inouts.pcf b/i2c_flash/inouts.pcf new file mode 100644 index 0000000..b1d92eb --- /dev/null +++ b/i2c_flash/inouts.pcf @@ -0,0 +1,26 @@ +set_io LED1 99 +set_io LED2 98 +set_io LED3 97 +set_io LED4 96 +set_io LED5 95 + +#set_io SCLM 78 # J2, 1 +#set_io SDAM 87 # J2, 7 +set_io ACK 81 # J2, 4 + +#set_io SCLTGL01 80 # J2, 3 +#set_io SDAOUTM 79 # J2, 2 +#set_io SCLTGL 79 # J2, 8 + +set_io CLK 21 +set_io SCL 90 # J2, 9 +set_io SDA 91 # J2, 10 + # GND - J2, 11 + +set_io COM_TX 8 +set_io COM_RX 9 +set_io COM_DCD 1 +set_io COM_DSR 2 +set_io COM_RTS 4 # IS CTS IN FT2232 SIDE + +#set_io SDA_CTRL 88 # J2, 8 diff --git a/i2c_flash/ram.v b/i2c_flash/ram.v new file mode 100644 index 0000000..bc09520 --- /dev/null +++ b/i2c_flash/ram.v @@ -0,0 +1,20 @@ +module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rdata); + reg [7:0] mem [0:255]; + reg [7:0] r_data; + reg [7:0] w_data; + reg [7:0] w_addr; + reg last_we; + initial mem[0] = 255; + always @(posedge clk) begin + if ((last_we == 0) && (wen == 1)) begin + w_data = wdata; + w_addr = addr; + mem[w_addr] <= w_data; + end + r_data <= mem[addr]; + last_we = wen; + end + + assign rdata = r_data; + +endmodule diff --git a/i2c_flash/top.v b/i2c_flash/top.v new file mode 100644 index 0000000..0b92f5c --- /dev/null +++ b/i2c_flash/top.v @@ -0,0 +1,112 @@ + +module top (input CLK, output LED1, LED2, LED3, LED4, LED5, + input SCL, inout SDA, output ACK, + input COM_RX, output COM_TX, COM_DCD, COM_DSR, COM_RTS); + + reg [7:0] I2C_TX; // TRANSMITTED TO MASTER + initial begin + I2C_TX = 22; + end + wire [7:0] I2C_RX; // RECEIVED FROM MASTER + wire [7:0] RAM_RD; + wire I2C_TRANS, I2C_READ, I2C_ACK, I2C_ACK_MSTR_CTRL, I2C_WR; + wire [9:0] I2C_COUNTER; + i2c_slave I2C (CLK, SCL, SDA, I2C_TRANS, I2C_READ, I2C_ACK, I2C_WR, //I2C_ACK_MSTR_CTRL, + I2C_RX, I2C_TX, I2C_COUNTER); + + reg UART_WR, UART_DTR, UART_RTS, UART_DCD; + reg [7:0] UART_TX_DATA; + wire UART_ACTIVE, UART_TX_LINE; + reg [23:0] uart_counter; + initial begin + UART_WR = 0; + UART_TX = 1; + UART_RTS = 1; + UART_DTR = 0; + UART_DCD = 0; + end + uart UART (CLK, UART_WR, UART_TX_DATA, UART_ACTIVE, UART_TX_LINE); + + reg wr_old; + reg [7:0] ram_adress; + reg RAM_W; + initial begin + ram_adress = 0; + RAM_W = 0; + end + ram RAM (CLK, RAM_W, ram_adress, I2C_RX, RAM_RD); + + + + always @ (posedge CLK) begin + if ((wr_old == 0) && (I2C_WR == 1) && I2C_READ) begin + //I2C_TX = I2C_TX + 5; + // READ + I2C_TX = RAM_RD; // READ FROM CURRENT ADRESS + //ram_adress <= ram_adress + 1; // AND INCREMENT ADRESS (ASSIGNED IN THE NEXT TACT) + UART_WR = 1; + UART_TX_DATA = I2C_TX; + end + else if ((wr_old == 0) && (I2C_WR == 1) && (I2C_READ == 0)) begin + // RAM MODULE WRITES TO RAM IN THE CURRENT ADRESS + if (I2C_COUNTER == 2) + ram_adress = I2C_RX; + else begin + RAM_W = 1; + //ram_adress <= ram_adress + 1; // ONLY INCREMENT ADRESS IN THE NEXT TACT + end + UART_WR = 1; + UART_TX_DATA = I2C_RX; + end + else if ((wr_old == 1) && (I2C_WR == 0)) begin + RAM_W = 0; + UART_WR = 0; + if ((I2C_COUNTER != 2) || (I2C_READ == 1)) + ram_adress <= ram_adress + 1; // ONLY INCREMENT ADRESS IN THE NEXT TACT + end + wr_old = I2C_WR; +/* + uart_counter = uart_counter + 1; + if (uart_counter == 12000000) begin + uart_counter = 0; + UART_TX_DATA = UART_TX_DATA + 1; + UART_WR = 1; + UART_RTS = UART_RTS ^ 1; + if (UART_RTS) + UART_DTR = UART_DTR ^ 1; + if (UART_DTR == 1) + UART_DCD = UART_DCD ^ 1; + end + else if (uart_counter == 5) + UART_WR = 0;*/ + end + + assign I2C_W = I2C_WR & (I2C_READ ^ 1); + + assign LED5 = I2C_TRANS; + //assign LED5 = COM_RX; + assign LED1 = ram_adress[0];//I2C_RX[0]; + assign LED2 = ram_adress[1]; + assign LED3 = ram_adress[2]; + assign LED4 = ram_adress[3]; + assign ACK = I2C_READ;//I2C_WR; //I2C_ACK; + + assign COM_TX = UART_TX_LINE;//COM_RX; + //assign COM_RTS = I2C_READ; + assign COM_RTS = I2C_READ;//UART_RTS; + assign COM_DSR = I2C_TRANS | UART_ACTIVE;//UART_DTR; + //assign COM_DCD = UART_DCD; + +/* reg [24:0] counter; + + always @ (posedge CLK) begin + counter = counter + 1; + end + + assign LED1 = ~counter[24] & ~counter[23]; + assign LED2 = ~counter[24] & counter[23]; + assign LED3 = counter[24] & ~counter[23]; + assign LED4 = counter[24] & counter[23]; + assign LED5 = counter[23];*/ + +endmodule //top diff --git a/i2c_flash/uart.v b/i2c_flash/uart.v new file mode 100644 index 0000000..33abf9e --- /dev/null +++ b/i2c_flash/uart.v @@ -0,0 +1,48 @@ + +module uart ( input CLK, input TX_SIGNAL, input [7:0] TX_BYTE, + output TX_ACTIVITY, output TX_LINE); + +parameter CLK_DIV = 13; +reg TX_sig_last; +reg [3:0] tx_bit_counter; +reg [3:0] tx_clk_counter; // MUST CONTAIN CLK DIV +reg [7:0] tx_data; +reg tx_activity; +reg tx_line; +initial begin + TX_sig_last = 0; + tx_line = 1; +end + +always @ (posedge CLK) begin + if (tx_activity) begin + tx_clk_counter = tx_clk_counter - 1; + if (tx_clk_counter == 0) begin + tx_clk_counter = CLK_DIV; + if (tx_bit_counter == 0) + tx_activity = 0; + else begin + tx_bit_counter = tx_bit_counter - 1; + if (tx_bit_counter > 0) + tx_line = tx_data[8-tx_bit_counter]; + else + tx_line = 1; // STOP_BIT + end + end + end + else begin + if ((TX_SIGNAL == 1) && (TX_sig_last == 0)) begin + tx_data = TX_BYTE; + tx_activity = 1; + tx_bit_counter = 9; // NO PARITY, STOP 1 BIT + tx_clk_counter = CLK_DIV; + tx_line = 0; // START BIT + end + end + TX_sig_last = TX_SIGNAL; +end + +assign TX_LINE = tx_line; +assign TX_ACTIVITY = tx_activity; + +endmodule