From a6ceebc5cede7be6d5f521d21490129be82752f7 Mon Sep 17 00:00:00 2001 From: Ivan Olenichev Date: Fri, 23 Nov 2018 18:56:24 +0600 Subject: [PATCH] i2c update --- i2c_keyboard/.sconsign.dblite | Bin 4554 -> 4307 bytes i2c_keyboard/descriptors.v | 108 +- i2c_keyboard/hardware.asc | 15977 +++++++++++++++-------------- i2c_keyboard/hardware.bin | Bin 32220 -> 32220 bytes i2c_keyboard/hardware.blif | 5280 ++++++---- i2c_keyboard/i2c_kbd_alt.bin | Bin 32216 -> 32216 bytes i2c_keyboard/i2c_kbd_alt.blif | 5620 ++++++----- i2c_keyboard/i2c_kbd_alt.ex | 17363 ++++++++++++++++---------------- i2c_keyboard/i2c_kbd_alt.txt | 16352 +++++++++++++++--------------- i2c_keyboard/i2c_slave.v | 3 +- i2c_keyboard/inouts.pcf | 41 +- i2c_keyboard/matrix_kbd.v | 280 +- i2c_keyboard/ram.v | 15 +- i2c_keyboard/top.v | 329 +- i2c_keyboard/uart.v | 4 +- 15 files changed, 32905 insertions(+), 28467 deletions(-) diff --git a/i2c_keyboard/.sconsign.dblite b/i2c_keyboard/.sconsign.dblite index 5707d2ca552e4149dfd44b75156c674593c1f4e0..46280fdb639aa5ad91812e68408641d107a7cb6a 100644 GIT binary patch literal 4307 zcmdUy`Ij73700v8B$HVZ!jg~#NE@ScfbOY%2@tZ70R|H=nNl&f>3HHtC2OGw3hgEuNJ2rHeDy}v^Cu(^dwo}-RWMdwMY|^Hft&6-CnD+G##hSx%%2w ztIiHSU#|x6@AUYgR~+qJ!CHO{g{Le)o4R6T~a7ysSV?^r~fv7PlA(- z&~CZ_6H$c+wiR;DY|oBem%ASIg{dAMwTN#8K1@a<-Sl1#Q?ncYzGGECjz-mv)cPCG zv;M(;y2u6qn-st$5;j+(vAEmncT_mw(gs`>ZHUvQ_F_uY9$emlE7UOKZQf*E*wTQl z8g3$gl`eGQ$_8wU*2jeQka+viXlgitdeMa)4Y(?r$k)I(S2y6AevG7NTHQ0<1Y@~V zLZyn6C+iZ7&5I=LswU&JGyfO_BvGL-Jn96(v$#hL7Mgrm9PrMyf}%G$9ppg@{!uI1?@-j!;mhtukdep6R)<2Zo~Bx^H(uWlFF#m5o#m zQn`BTiptBVe549Am9EH6YXL&dv{%B7Wu}`X+>A_l>F7u~#IYP#Q0n+0^?YVfPF*{U z9cI(m3SpmO+DW$+Ot(nbubB=Y(?Mi9lr!C$F&##x8D#3$|7)2kaioi_1ue7X)tStq zu{3)RYc3$PwJMDx5=NgVv~`8h)}N=)3!#mv?>9&oUm>)K*|F-wClZ`wAhgM-;)I@O zS}qSm!;3}exhys;%d~xydBW!urZS;zoPFrAjU}ONI?wtgp!WQfSvSU?--lTaQ-P=bo4tn%orQUD`?8 z$^g6dPCa)*yGdbnJ_$YMaONltQEI4%OAL$JjzJxl@xtnkxYPevMmL#| z4m(9UyiU6GKl~d<`Yh0#ynRL zh0ZAV;1)Iew%V{a?w4>tJN`i|g+rLfTk|{~&K!RRqjH<3+0?~j0iPG?Vvlz+uG=Nt zQRbSJa3^w|d*s}(id74WjnGtEj{{fj+Tv!Y8o4003Y+f=c$wm|*9^IiNI0sw?n17+ zk?Z9-*RhQ2IC9Ntu62FV>18;PgjbYtUMb;Ki1XB*LR8FBX9#91lX1)-Ai}i*o4HI0 zD-1)^;Bb$^8CgHXd9{QS8s{~L(?Fcp<~Z{i&g&3|43gF%OBqcpftG2Q1dcTG%LR=c zm_`^9ZgS6{CJ$MxGG__X54ocA1qem6p$N``ghbP%Nb`E6IhoTeW;9Dk)6_JROQhFH zPtTu>Sq9aT&@MxPgbqTTy03ups7jY`JjeH~Q24ILNkANR#uCbv?N~rpK|Qy97^0qp zzJ^*xs8a}aI)^%wLEVc`Z_rQ^sYU0zi)5K+O!rBUWv2TjJb+B6qe45(Fqo-Yv`hSe zam#iCRojL|Xlz;z;R4PorYE-ynI4qzM$PmlWO_3)y(MRQYsU08WP1A`P=#5hO)a^1 zNO)%%>LCdaBUIU-1ImRGTj=sQ^j(_>RoZdvF+28bpV%HeqM-gNhfwd5@NNzDo@hl! zfcFxld|$Np1=w+RUxD{)EB-*f$q%9x$HER6bz)x?yfC@p3Pyb*OsbrVs`Dv)2(9?T z+Vwsn;ZYs+(%JTcmyeGs*Be|rNMc1>GnU*{bEAciVWdB zhR37l+a7#58u&7NCCaP^zN(w)6H)L2vZrrA@HL&gujje@hHj%~7}C(Uxw`3@mPNTq zh@}ohPGCEp$6WX(=I&cMsNa_G9Sp}s-Il(q!aleyVeSk~g1vHA_e`^w%&S~FMx};_ zhdhtFN*(h!d=HcQ(j?gkR!#(J+%?JH+u{Nq8E^i%yZ` zePGax%ZI05>yrG9gx{7+@^=z`k5d#KKgs)fb{jn>;SahX|A=b;6ME7!dCH&7Jn7FE N)W4u7_3QomzW{iiWNQEb literal 4554 zcmdUyS(H>)6^0oa2;DR|B^XdB5o1TK>N^h@I|0&=Kp2~=#@M!J?0coVs&-X1G)ayj z8fW7?#d(ODXq@Mn#4(Z>BaWC!oL_x%Eq(CpQ+01$SgyFh2bV9^z3Oz|^PRK*|KIx_ zU8G>)NaBp9jaf0;snV>)M{A^+HAb6+9VFADJ=$qBW>`wHdNpIB-D$LDM`>0+aBT5{ z1xLI8zDzIRpRL7)gev4vAJfYt*MQ4oh|} zN|w~Kx@^GG-V=5=xTtNL7~Gc42>ks@y*LQV^#7lyVEIV0ypzpp6f)a{!5#At4_=+( zLk3ton}(sJA|ls`h2U=BQr{PTz(Q&3kAOKObfOSeBm?d2S^>j5Rz9+J!5mGN=o9Jv zSN@y*x6EZTybG{O13X5-V@HznXuC1j($@ixn}o+F%V{>-oXJ?$fhSDD`Ffd)rl|8a zTrdf%4ct)vRW{v*Cr-kJ$ude<2Z`70PKJvI=r7u^b`qYH4CQ;^H&32~b#oL+k2Kmx z+9}@UdIgmce0aJv#k+Zmf(;|-;Ervlx)+k_tFQv*MKW+iKr9~Hl7+72L@^EgShx-g z;i>8Hj{9yutS=#5nc@LADtOvRs*iP1s?YNDRG*-`iH5#7U9&sYaL-6J&od3r5NQ#X z)Xu%akc#_KQe6tcrO$~cHI(h@N?BfD2R;qJ(p0O*HukA( z1&*O|k;+3V-yB_21wE<|sUky#ibRbVqFBMEGSRaYT!KWoaFB>ut`v3g9WHyT@DyYSfLKh|oBeA@_(7(xRdEHWSj1eJ==Y z^zyE|7M`nQhq>gVbPYP&qayNU7*HZzTlh{SBON*V zYbO%Kh8PoVlS$D3G8srRfSC?s$@M*=N|jgAdHZ0cy0_8++w&^bt(6UDdBV0XjKDL} z#{4KtvnHoi`(Ujz-`P;9&URXrjR!XG-#&hzvTfHHLIYGP?wbMNCp<;9`_@cP|jS!1aTra(A~!hBOxqkXl)Fi9O(C) zrUE@6=$f@glHnpk=u9S|oeXC6#$2aeYcdHP!#$Ubou&NsXf|3JGT@Lg`QiNN^JsF* zacmh`mJFmVsY6}H0%}PahcXa)uz(|I@@tJHu2XP59{a2Y5~Yvb9Y{zzmW-8n(Bh{V zyjkfVx>9Wv?yN##(3fJFZWu8*M-|+NI6ArUc(9Ncky1on=!$^qnU^suq*A&L*Ui%>VHm?Jw7m8$o};2G zms!$jW%E-9Der0cl?q-}mdmRZyaqQpHPh|T*ph60-!2Wblx@a|!)2glD1+h~;L~@EcIWZ_Eq%rk;l1jF??$HgAk%wuruX%j z-j7TlIKx+3n8sHhRPdoP)Q1&(1fj~lqM`5tuG2M+JRx05EZw@Dm`PuXm_`h4)ld(p z0_vj*K4zdkeny+T&9uqe&$UhBYZ`pQIOvo4A@4v3QRzmUc{Jp%khZXV$yrFG&0L=_ zJ(k0#&_SOza{G*e&*A~g1N47<=lYzMZ1-&o9kg1vGi1pvk-G#wk2n1Vv&|P3eChOi zO#A86?M$Z!j;Y3%6?~;!jjt;B8a}1(ue9Wz?mN%d6@0_o_BVBgoi+r+x0135;oHfI zvQgkW$r3Zf!Lj7bE(v!g-3A4BCB5DN-!&Qdy(Bt^3^Xr(@O@LeALOp5DflS@z3QppBe{x8Rxd7LH ztKb1#@3-x0W;~3m`Q)i VOaA9xCjA93^{<#obG5nJzW_h}y*U5? diff --git a/i2c_keyboard/descriptors.v b/i2c_keyboard/descriptors.v index 3b010c5..4d33cf0 100644 --- a/i2c_keyboard/descriptors.v +++ b/i2c_keyboard/descriptors.v @@ -1,4 +1,4 @@ -module descriptors (input CLK, input RESET, input RD_REQUEST, input [1:0] DESC_TYPE, input [7:0] ADR, output reg [7:0] VAL/*, input [63:0] kbd_report*/); +module descriptors (input CLK, input RESET, input RD_REQUEST, input [1:0] DESC_TYPE, input [7:0] ADR, output /*reg*/ [7:0] VAL/*, input [63:0] kbd_report*/); parameter HID_REPORT_DESC_LEN = 63; //reg [(8*30-1):0] i2c_hid_desc;// = 'h_1E_00__00_01__46_00__02_00__03_00__0A_00__04_00__03_00__05_00__06_00__9F_04__01_01__00_01__00_00_00_00; @@ -7,6 +7,10 @@ parameter HID_REPORT_DESC_LEN = 63; parameter READ_ADRESS_OFFSET = 2; reg last_rd_request = 0; +reg tx_flag = 0; // AT POSEDGE OF RD_REQUEST DATA FROM RAM MOVES TO RAM_RD_REG, AT NEXT CLK DATA MUST BE WRITE TO VAL +reg [7:0] real_adress; +//reg [7:0] ram_rd_t1; +//reg [7:0] ram_rd_t2; always @ (posedge CLK) begin if (RESET == 0) begin @@ -15,11 +19,24 @@ always @ (posedge CLK) begin //i2c_hid_desc [207:200] <= HID_REPORT_DESC_LEN[7:0]; //i2c_hid_desc [199:192] <= HID_REPORT_DESC_LEN[15:8]; last_rd_request <= 0; + real_adress = 0; end else begin + /*if (tx_flag == 1) begin // NEXT CLK AFTER POSEDGE REQUEST + if (DESC_TYPE == 1) + VAL <= ram_rd_t1; + else + VAL <= ram_rd_t2; + tx_flag = 0;*/ + //end if ((last_rd_request == 0) && (RD_REQUEST == 1)) begin - if (DESC_TYPE == 1) begin - case (ADR) 2: VAL <= 8'h1E; 3: VAL <= 0; // 2-3 - DESCR LEN (30), + if (DESC_TYPE == 1) + real_adress = ADR; + else + real_adress = ADR + 32; + //if (DESC_TYPE == 1) begin + tx_flag = 1; // WAIT NEXT CLK +/* case (ADR) 2: VAL <= 8'h1E; 3: VAL <= 0; // 2-3 - DESCR LEN (30), 4: VAL <= 0; 5: VAL <= 1; // 4-5 - bcdVersion 6: VAL <= HID_REPORT_DESC_LEN[7:0]; 7: VAL <= HID_REPORT_DESC_LEN[15:8]; 8: VAL <= 2; 9: VAL <= 0; // 8-9 - REPORT DESC ADR @@ -34,8 +51,9 @@ always @ (posedge CLK) begin 26: VAL <= 0; 27: VAL <= 1; // 26-27 - VERSION 28: VAL <= 0; 29: VAL <= 0; 30: VAL <= 0; 31: VAL <= 0; // 28-31 - RSVD default: VAL <= 0; - endcase - end + endcase*/ + + /*end else if (DESC_TYPE == 2) begin case (ADR) 2: VAL <= 8'h05; 3: VAL <= 8'h01; 4: VAL <= 8'h09; 5: VAL <= 8'h06; @@ -78,7 +96,7 @@ always @ (posedge CLK) begin 64: VAL <= 8'hC0; default: VAL <= 0; endcase - end + end*/ /*if (READ_TYPE == 1) begin if ((READ_ADRESS < READ_ADRESS_OFFSET) || (READ_ADRESS > (READ_ADRESS_OFFSET + 30 - 1))) VAL <= 0; @@ -106,6 +124,84 @@ always @ (posedge CLK) begin end end +/* 2: VAL <= 8'h1E; 3: VAL <= 0; // 2-3 - DESCR LEN (30), + 4: VAL <= 0; 5: VAL <= 1; // 4-5 - bcdVersion + 6: VAL <= HID_REPORT_DESC_LEN[7:0]; 7: VAL <= HID_REPORT_DESC_LEN[15:8]; + 8: VAL <= 2; 9: VAL <= 0; // 8-9 - REPORT DESC ADR + 10: VAL <= 3; 11: VAL <= 0; // 10-11 - INPUT REG ADR + 12: VAL <= 10; 13: VAL <= 0; // 12-13 - INPUT REG LEN + 14: VAL <= 4; 15: VAL <= 0; // 14-15 - OUT REG ADR + 16: VAL <= 3; 17: VAL <= 0; // 16-17 - OUT REG LEN + 18: VAL <= 5; 19: VAL <= 0; // 18-19 - CMD REG ADR + 20: VAL <= 6; 21: VAL <= 0; // 20-21 - DATA REG ADR + 22: VAL <= 8'h9F; 23: VAL <= 4; // 22-23 - VENDOR ID + 24: VAL <= 1; 25: VAL <= 1; // 24-25 - DEVICE ID + 26: VAL <= 0; 27: VAL <= 1; // 26-27 - VERSION + 28: VAL <= 0; 29: VAL <= 0; 30: VAL <= 0; 31: VAL <= 0; // 28-31 - RSVD +*/ + +SB_RAM40_4K #( + .INIT_0(256'h0000_0004__0000_000A__0000_0003__0000_0002__0000_003F__0001_0000__0000_001E___0000_0000), + .INIT_1(256'h0000_0000__0000_0000__0001_0000__0001_0001__0004_009F__0000_0006__0000_0005___0000_0003), + .INIT_2(256'h0000_0015__00E7_0029__00E0_0019__0007_0005__0001_00A1__0006_0009__0001_0005___0000_0000), + .INIT_3(256'h0005_0095__0001_0081__0008_0075__0001_0095__0002_0081__0008_0095__0001_0075___0001_0025), + .INIT_4(256'h0003_0091__0003_0075__0001_0095__0002_0091__0005_0029__0001_0019__0008_0005___0001_0075), + .INIT_5(256'h0000_0081__0065_0029__0000_0019__0007_0005__0065_0025__0000_0015__0008_0075___0006_0095), + .INIT_6(256'h0000_0000__0000_0000__0000_0000__0000_0000__0000_0000__0000_0000__0000_0000___0000_00C0), + .WRITE_MODE(1), + .READ_MODE(1) +) descriptors ( + .RDATA(VAL), + .RADDR(real_adress), + .RCLK(CLK), + .RCLKE(1'b1), + .RE(1'b1), + .WADDR(8'b0), + .WCLK(1'b0), + .WCLKE(1'b0), + .WDATA(8'b0), + .WE(1'b0) +); +/* +SB_RAM40_4K #( + .INIT_0(256'h0000_0004__0000_000A__0000_0003__0000_0002__0000_003F__0001_0000__0000_001E___0000_0000), + .INIT_1(256'h0000_0000__0000_0000__0001_0000__0001_0001__0004_009F__0000_0006__0000_0005___0000_0003), + .WRITE_MODE(1), + .READ_MODE(1) +) i2c_hid_desc ( + .RDATA(ram_rd_t1), + .RADDR(ADR), + .RCLK(CLK), + .RCLKE(1'b1), + .RE(1'b1), + .WADDR(8'b0), + .WCLK(1'b0), + .WCLKE(1'b0), + .WDATA(8'b0), + .WE(1'b0) +); + +SB_RAM40_4K #( + .INIT_0(256'h0000_0015__00E7_0029__00E0_0019__0007_0005__0001_00A1__0006_0009__0001_0005___0000_0000), + .INIT_1(256'h0005_0095__0001_0081__0008_0075__0001_0095__0002_0081__0008_0095__0001_0075___0001_0025), + .INIT_2(256'h0003_0091__0003_0075__0001_0095__0002_0091__0005_0029__0001_0019__0008_0005___0001_0075), + .INIT_3(256'h0000_0081__0065_0029__0000_0019__0007_0005__0065_0025__0000_0015__0008_0075___0006_0095), + .INIT_4(256'h0000_0000__0000_0000__0000_0000__0000_0000__0000_0000__0000_0000__0000_0000___0000_00C0), + .WRITE_MODE(1), + .READ_MODE(1) +) hid_report_desc ( + .RDATA(ram_rd_t2), + .RADDR(ADR), + .RCLK(CLK), + .RCLKE(1'b1), + .RE(1'b1), + .WADDR(8'b0), + .WCLK(1'b0), + .WCLKE(1'b0), + .WDATA(8'b0), + .WE(1'b0) +);*/ + endmodule //static const uint8 hid_descriptor_keyboard[] = { diff --git a/i2c_keyboard/hardware.asc b/i2c_keyboard/hardware.asc index 234ee76..5818bec 100644 --- a/i2c_keyboard/hardware.asc +++ b/i2c_keyboard/hardware.asc @@ -1,30 +1,30 @@ .comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) .device 1k .io_tile 1 0 +000011110000000010 +000111111000000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 +000000000000000001 +000000000000000001 +000000000001000000 +001100000000000000 000000000000000000 000000000000000000 000100000000000000 +000000000000000010 +000000000001000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 +000000000000000001 +000000000000000001 000000000000000000 .io_tile 2 0 +000001011000000010 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 +000000000000000001 +000000000000000001 +000000000001000000 +001100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -48,50 +48,50 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000001100000 -000000000000000000 -000000000000000000 -.io_tile 4 0 -000000000000000000 -000000000000000000 -000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 -000000000000000000 +.io_tile 4 0 000000000000000000 000100000000000000 +100000000001000000 +000000000000000001 000000000000000000 000000000000000000 +001000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -.io_tile 5 0 -000000000000000000 +100000000000000000 000000000000000000 000000000000000000 +000000000000000001 000000000000000000 000000000000000000 +.io_tile 5 0 000000000000000000 000100000000000000 +100000000000000000 +000000000000000001 000000000000000000 000000000000000000 -000100000000000000 +001000000000000000 +000000000000000000 000000000000000000 000000000000000000 +100000000000000000 000000000000000000 000000000000000000 +000000000000000001 000000000000000000 000000000000000000 .io_tile 6 0 +000000011000000000 +000100001000000000 000000000000000000 -000100000000000000 000000000000000000 +000000000000000100 000000000000000000 -000000110000000100 -000000001000000100 001000000000000000 000000000000000000 000000000000000000 @@ -99,58 +99,58 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 .io_tile 7 0 +000000000000001000 +000100000000000000 000000000000000000 000000000000000000 -000000000000010000 -000000000000010000 000000000000000100 000000000000001000 -000100000000000000 +000000000000001000 000000000000000000 -000010000000000000 -000100010000000000 000000000000000000 +000101010000000000 000000000000000000 000000000000000000 000000000000000000 +000000000000000001 000000000000000000 000000000000000000 .io_tile 8 0 000000000000000000 +000100000001000000 +000000000000100000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 +000000000001000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000000001 000000000000000000 000000000000000000 .io_tile 9 0 000000000000000000 +000100000000000001 000000000000000000 +000000000000000001 000000000000000000 000000000000000000 +001000000000000000 000000000000000000 000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 +100000000000000000 000000000000000000 000000000000000000 000000000000000000 +000000000000000001 000000000000000000 000000000000000000 .io_tile 10 0 @@ -166,15 +166,15 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 .io_tile 11 0 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 -000000000001000000 000000000000000000 000000000000000000 000100000000000000 @@ -195,7 +195,7 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000011000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -226,7 +226,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -239,151 +239,151 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 1 -000000000000000000000110010001100001000000001000000000 -000000000000000000000010000000001001000000000000000000 -111000000000000001000011000000001001001100111000000000 -000000000000000000000011100000001100110011000000000000 +000000000000000000000110010001100000000000001000000000 +000000000000000000000010000000001100000000000000000000 +111000000000000001000110010000001001001100111000000000 +000000000000000000000010000000001100110011000000000000 000000000000000001100000000000001001001100111000000000 -000000000000000000000000000000001111110011000000000000 -000000000000000001100011000000001001001100110000000000 -000000000000000000000011100000001111110011000000000000 -110000000000000000000000001011111011100000000000000010 -000000000000000000000000001011111010000000000000000001 -000000000000000001100110011101000000000000000100000000 -000000000000000000000010001001000000000001000000000000 -000000000000000000000000001001000000000000000100000000 -000000000000000000000000001101100000000001000000000000 -000000000000000000000110011001000000000000000100000000 -000000000000000000000010001001100000000001000000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000001100110010000001001001100110000000000 +000000000000000000000010000000001011110011000000000000 +110000000000000011100000001001101111100000000000000010 +000000000000001011100000001001101010000000000000000001 +000000000000000001100000000101000000000000000100000000 +000000000000000000000000000011000000000001000000000000 +000000000000000011100000000001000000000000000100000000 +000000000000001011100000000111100000000001000000000000 +000000000000000000000000000001000000000000000100000000 +000000000000000000000000000011100000000001000000000000 .ramb_tile 3 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000001000000000000010000000000010000 +000000000000100000000011000000000000010000 +000000000000000000000000000000000000000100 +010000000000000000000000000000000000010000 +010000000000000000000000000000000000010100 +010000000000000000000000000000000000010000 +000000000000000000000000000000000000010000 +000000001100000000000000000000000000100000 +000000000000000000000000000000000000000010 +000000000000000000000000000000000000000010 +000000000000000000000000000000000000001001 +000000000000000000000000000000000000100000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010 +000000000000000000000000000000000000010000 +000000000000000000000000000000000000000010 .logic_tile 4 1 -000000000000000000000010000001100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -000000100000000001100010000000000001000000001000000000 -000000000000000000000110110000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001100000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000001 -000000000000000111000000000000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -.logic_tile 5 1 -000000000000000000000111110011100000000000001000000000 -000000000000000000000110000000100000000000000000001000 -111000000000001001100110010000000000000000001000000000 -000000000000000001000010000000001001000000000000000000 -110000000000000000000000000000001000001100111100000001 -000000000000000000000000000000001001110011000010000000 -000000000000000000000000000000001000001100111101000000 -000000000000000000000000000000001101110011000000100000 -000000000000000000000110000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000010100000001001001100111110000001 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111110000000 -000000000000000000000000000000001001110011000000000000 -011000000000000000000000000000001001001100111100100000 -000000000000000000000000000000001001110011000000000000 -.logic_tile 6 1 -000000000000000011000110010001011011100000000000000000 -000000000000010000100010001001111010000000000000000000 -111000000000001001000010010001100001000000000000000000 -000000000000000001000010101101001101000000010000000000 -010000000000000111100010001011101110010000000000000000 -110000000000000000100110011101111111000000000000000000 -000000000000001101000010100101011000010000000000000000 -000000000000000101100110110001011101000000000000000000 -010000000000000111100111010101101101000000000000000000 -000000000000000000100011101011001111100000000000000000 -000000000000000000000110001101100001000000010000000001 -000000000000000000000000000001101001000000110000000000 -010000000001000000000010111011100001000000100000000000 -110000000000100000000111101101101000000000000000000000 -010000000000010000000111101000000000000010000110000000 -000000000000000000000000001011000000000000000000000000 -.logic_tile 7 1 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000001000111110101011000110000000000000000 +000000000000001001000111110101101001111000000000000000 +111000000000001001100010010001100000000000000000000000 +000000000000001101000111110001100000000011000000000000 +110000000000001001100000001101000000000000110000000001 +110000000000001111000011111101001000000000000000000000 +000000000000000111110010000000000000000000000000000000 +000000000000000000100100000000000000000000000000000000 +001000000000000000000000001001101010000011010000000000 +000000000000000000000000000101111000000011110000000000 +000000000000000000000000000001100000000011110000000000 +000000000000000000000000001111001001000011000000000000 +000000000000000000000010011101000000000010010110000010 +000000000000000000000011011101001000000001011000000000 +110000000000000000000000000001100000000010010100000000 +000000000000000000010000000001101011000001011000000101 +.logic_tile 6 1 +000000000000001000000110011111000000000010000000000000 +000000001110000111000010001011001100000000000000000000 +000010100000000001000000011001100000000001000000000000 +000000000000000001000010110001000000000000000000000000 +000000000000001001000000011101011010101100000000000000 +000000001100000001100010101101101010111100000000000000 +110001000000001001000010000101011000110000000000000000 +100010101010100101100100000011011101010100000000000000 +010000000000001011100111100001001011011100000000000000 +000000000000010011000000001001101010001100000000000000 +000000000001000000000011110000000000000000000000000000 +000000001000000000000110000000000000000000000000000000 +000000000000000000000011100111111100110000000000000000 +000000000000001001000100000001111111010100000000000000 +000100000000000000010000000000000000000000000000000000 +000101000010000000000010100000000000000000000000000000 +.logic_tile 7 1 +100000000110000001000000000000000000000000000101000000 +000000000000000000000010101001000000000010000000100001 +111000000000000000000000001000000000000000000100100000 +000000000000000000000000000011000000000010000000000000 +000000000000000011100000010000000000000000000100100001 +000000000000000000000010010101000000000010000000000000 +000000000001000011100000000000000000000000000000000000 +000000000000100000100000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000010 +000000000000000000000000000011000000000010000000000000 +000000000000000001000000000000000000000000000100100001 +000000001010001111100000000001000000000010000000000000 +000000000000000000000000001000000000000000000100000010 +000000000000000000000000000101000000000010000000100000 +000000000001000000000000000000000000000000000100000000 +000000001000000000000000001101000000000010000001000000 .logic_tile 8 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000011001000000000000000000100000001 -000000000000000000000011101001000000000010000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000111100101100000000001000000000000 +000010000000000001000111101011100000000000000000000000 +111000000001001111100000000101000000000001000001000000 +000000000000000001000000000101100000000000000000000000 +010001000000000111100110001011111010010000000000000100 +010000000000001001000000001101011000000000000010100000 +000000000000001000000011010001111111100000000000000000 +000000000001000001000010001001001110000000000000000000 +000000000000000000000110101011011101010000000000000000 +000000000000000000000011010011111101000000000000000000 +000010000110100101100110110000000000000000000000000000 +000001000000011001000010100000000000000000000000000000 +000000000000000000000000000101100000000001000000000000 +000000000000000000000000000101000000000000000000000000 +010000000000011101100000001000000000000000000100000110 +100100000000100101000000000001000000000010001100000000 .logic_tile 9 1 -000000000000000000000000010101101101000100000000000001 -000000000000001011000010001101101100000000000000000000 -111000000000000000000110001001001011000100000000000000 -000000000000000111000000001111101001000000000000000000 -110000000000000011100011100000000000000000000100000000 -010000000000001111100000000001000000000010000000000000 -000000000000000000000000010000000000000000000100000000 -000000000000000000000010100101000000000010000000100000 -000000000000000001100000011000000000000000000100000000 -000000000000000000000011100111000000000010000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000100000000000000000001000000000000000000100000000 -000000000000000000000010010001000000000010000000000000 -000000000000000001000000010000000000000000000100000000 -000000000000000000000010001111000000000010000000000000 +000000000000000000000010010101100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +111010100000001001100110010000000000000000001000000000 +000001000000000001000010000000001001000000000000000000 +010100000000000000000000000000001000001100111100000100 +100100000000000000000000000000001001110011000000000100 +001000000100000000000000000000001000001100111101000000 +000000000000000000000010010000001101110011000010000000 +000000000000000000000110000000001001001100111100100100 +000000000000000000000000000000001000110011000000100000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000100 +001000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000000100 +010000000001000000000000000000001001001100111100000101 +000000000000100000000000000000001001110011000000000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -392,39 +392,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 1 -000000000000000000000000010000000000000000000000000000 -000000000000000111000011110000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111001000000001000000000000000000000000000000101000000 +000010001000001101000000000101000000000010000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000001000000 +000000100000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000110000001 -000000000000000000000000000001000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 12 1 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000001111000000000010000000100000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000011000000000000000000000000000000 +000100100000100000000000000000000000000000000000000000 .io_tile 13 1 000000000000000000 000000000000000000 @@ -432,8 +432,8 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 -000000000000100000 +000100000000001000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -460,49 +460,166 @@ 000000000000000000 000000000000000000 .logic_tile 1 2 -000001000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000101000100 -000000000000000000000000001111000000000010000010000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000011010000000000000000000000000000 +000000000000000000000000000101000000000000001000000000 +000000000000000000000010110000000000000000000000001000 +000000000000000101000010100000000001000000001000000000 +000000000000000000100110110000001001000000000000000000 +000000000000000101000000000000000001000000001000000000 +000000000000001101100000000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000001101000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000001 .logic_tile 2 2 -000000000000000000000000000000000000000000000000000000 -000010000000000000000010000000000000000000000000000000 -111000000000000111100000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000011010000000000000000000000000000 -110000000000000000000000000001100000001100110001000000 -000000000000000000000000000000001011110011000000000000 -000000000000000000000000010000000000000000000100000000 -000000000000000000000011011001000000000010000000000100 -000000000000000000000000001000000000000000000100000001 -000000000000000000000000000001000000000010000000000000 -000100000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001111000000000001100000000000001000000000 +000000000000000111000000000000100000000000000000001000 +111000000000000011000010000101000000000000001000000000 +000000000000000000000100000000101110000000000000000000 +010000000000000000000010000001001000001100111000000011 +100000000000000000000100000000001101110011000001000000 +000000000000000001100000000101101000001100111000000000 +000000000000001101000000000000001110110011000000000001 +000000000000000000000000000000001000111100000000000000 +000000000000000011000011110000000000111100000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000001000000000000000000000000000000100000010 +000000000000000111000000000011000000000010000000000000 +000000000000000000000000001001000000000001000100000000 +000000000000000000000000000001000000000011000000000000 .ramt_tile 3 2 000000000000000000000000000000000000000000 +000000010000000000000011011001000000000000 +111000000000000000000000000000000000000000 +000000010000000000000000000101000000000000 +000000000000000000000000000000000000000000 +000000000000001011000000000111000000000000 +000000000000000000000110101000000000000000 +000000000000000000000000000111000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000001101000000000000 +000001100000000101100000001000000000000000 +000010100000000000000000001111000000000000 +000100000000000101100000001000000000000000 +000100000000000000000000001111000000000000 +110000000001001111100110111000000000000000 +110000000000000101100010100011000000000000 +.logic_tile 4 2 +000000000000000011000010001001100000000001100000000000 +000000000000000001000000000001101011000000110001000000 +111000000000100000000011101000000000000000000100000000 +000000000001010001000100000111000000000010000000000000 +010000001010001000000110000000000000000000000000000000 +100000000000000001000000000000000000000000000000000000 +010000000000000000000000000000000000000000000100000010 +000000000000000000000000000101000000000010000010000001 +000000000000000000000000001001000000000001000100000000 +000000000000000000000000000111000000000011000000000000 +000000000000100101100000001000000000000000000100000000 +000000000001000000000000000011000000000010000000000000 +000000000000000000000000001001000000000001000100000000 +000000000000000000000000000111100000000011000000000000 +000000000000001101100000001001000000000001000100000000 +000000000000000101000000000011000000000011000000000000 +.logic_tile 5 2 +000000000010001001100110011011100001000011110000000000 +000000000110000001000010000011001011000011000000000000 +111000000000001101000111101011100001000000000000000000 +000000000000000001100000001101101010000000110000000000 +010000000000000001100000000001111000100101010000000000 +100000000000000101000010100011011101100110100000000000 +000000000000001111000111111101100000000001000000000000 +000000001010001011000111000111001000000011000000000000 +000000000000000101000111111001001100000011100000000000 +000000000000000001000011101101101000000011000000000000 +000000000000000001000000000111011000000100000000100000 +000000000000001011100011110101101011000000000000000000 +000000000000001101000010101101001100000010110100100000 +000000000000000001000000000101001010000000110000000000 +000010100000001000000000000001001110000011100100100000 +000000000000000101000000001011101110000011000000000000 +.logic_tile 6 2 +000000000000001001100011101001001001010100000000000000 +000000000000000001100011010111011101110000000000000000 +000000000000001001100000001001000000000010000000000000 +000000000000000111100000001101000000000000000000000000 +001000000000000001100110011001111111010100000000000000 +000000000000001101000010000001001101110000000000000000 +000000000000000011100000010011011111010100000000000000 +000000000000000101100010000011011111110000000000000000 +000010100000001101000111101001000001000000110000000000 +000000000000000111100100000111001010000000000000000000 +000000000000000000000000010101000000000010000000100000 +000000000000000000000010101101100000000000000000000000 +000000000000000011100000000011001111010100000000000000 +000000000000000101100000000111101101110000000000000000 +000000000000000011110010011101001000001100000000000101 +000000000000000101000010100101011010101100000000000010 +.logic_tile 7 2 +000000000000000000000000010011100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +111000000000000001000000000111100000000000001000000000 +000000000000000000010000000000001100000000000000000000 +010000000000000000000000000111101000001100110000000000 +100000000000000001000000000000101110110011000000000000 +000000000000001000000110100011000001000001010001000000 +000000001100000001000000000101101000000001100000000000 +010000000000000111100000011011100001000010000000000000 +000000000000000000100011111111001101000000000000000000 +000000000000000101100110111001000000000001000000000000 +000010000000000000000010100101100000000011000000000000 +000000000000000111100000001000000000000010000100000000 +000000000000000000100000001101000000000000000000100000 +000000000010100101100110110000000000000000000000000000 +000000000001010000000010100000000000000000000000000000 +.logic_tile 8 2 +000010000000001111100000011011100000000011000000000000 +000000000000000001000011011101000000000000000000000000 +111000000000001101100010101101000000000000100000000000 +010000000000010101000000000001101011000000000010000000 +110000000000001111100110010001100000000000100000000000 +010000000000000001000010000001101010000001000000000000 +000000000001011101100110000101101000001100110000000000 +000000000000100101000000000000110000110011000000000000 +000000000000000000000000010001000001000011000000100000 +000000000010000000000011111111001000000011110000000000 +000000001010100001100000000000000000000000000100000000 +000000000000000000000000000101000000000010000100000000 +000000000000000000000111001000000000000000000100000000 +000000001110000000000100000101000000000010000100000000 +010000001111000000000000001101000000000001000100000000 +100000000000000000000000000101100000000011000100000000 +.logic_tile 9 2 +000000000000001001100110010000001000001100111100000010 +000000000000000001000010000000001000110011000000010000 +111000000000001001100110010000001000001100111100000010 +000000000000100001000010000000001000110011000000000000 +010100000000000000000000000000001000001100111100000000 +100000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001000001100111100000001 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000010000000000001001110011000000100000 +010000000000000000000000000000001001001100110100000000 +000000000000000000000000000000001001110011000000000000 +.ramt_tile 10 2 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 +000010000000000000000000000000000000000000 000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -510,159 +627,42 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -.logic_tile 4 2 -000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000001000010000001000000000000001000000000 +000000000000000000100100000000000000000000000000001000 +111000000000000000000010100011100000000000001000000000 +000000000000000000000100000000101001000000000000000000 +010000000000000000000000000011000000000000001000000000 +100000000000000000000000000000100000000000000000000000 +000000000000000000000000000111100000000000001000000000 +000000001000000000000011010000000000000000000000000000 +000000000000000000000011100011100000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000000000111000000001000111100000000000000 +000000000000000000000010010000000000111100000000000000 +000000000000000000000000001001100000000000000000000000 +000000000000000000000000001111100000000001000000100000 +000000000000000001000010101000000000000000000100000010 +000000000000000000000000001101000000000010000011000000 +.logic_tile 12 2 +000000000000000000000000000111100000000000001000000000 000000000000000000000011010000100000000000000000001000 -111000000000000000000000000101000001000000001000000000 -000000000000001101000000000000001110000000000000000000 -000000000000000101000000000101101001001100111000000000 -000000000000000000100010110000001110110011000000000100 -000000000000000001100000000101101001001100111000000000 -000000000000001101000000000000001110110011000000000100 -000000000000000000000000000000001000111100000000000100 -000000000000000000000000000000000000111100000000000000 -000000000000000011100000000000000000000010000000000000 -000000000000000000100000000111000000000000000000000000 -000000000000001000010000001000000000000010000000000000 -000000000000001011000000000001000000000000000000000000 -000000000000000001000000001001000000000000000110100000 -000000000000000001000000000011100000000001000000000000 -.logic_tile 5 2 -000000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000010000 -111000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000001000000 -110001000000100000000000000000001000001100111100000000 -000010000001010000000000000000001001110011000000000000 -001000000000000000000000000000001000001100111110000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100100000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000010000000 -000001000000000000000000000000001001001100111110000000 -000010000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100110110000000 -000000000000000000000000000000001001110011000000000000 -.logic_tile 6 2 -000000000000101001000110010111011011010000000000000001 -000000000001011111000010100001111000000000000000000100 -111000000000001101100110000101000000000001000000000010 -000000000000000001000000000001000000000000000000000000 -110000000000001011000011000000000000000000000000000000 -000000000000000101100100000000000000000000000000000000 -000000000000010001100111100101101010010000000000000000 -000000000000100000000110101011111010000000000000000000 -000000000000000111100111101001000000000001000000000000 -000000000001010111100000000101100000000000000000000000 -000010100000001000000111100111001001010000000000000000 -000001000000001001000100000011111101000000000000000000 -000000000000000000000111111101011000000100000000000000 -000000000000000000000110010011001011000000000000000000 -010000000001010000000000000011000001001100110100000000 -000100000000100000000000000000001001110011000000000010 -.logic_tile 7 2 -000000000000000000000000000111100000001100110000000000 -000000000000000000000011100000001011110011000000100000 -111000000000001000000111111011111011000000000000000000 -000001000000000101000011000011111010100000000000000110 -010000000000000000000010100101000000000001010000000000 -010000000000001101000100001111001101000001100000000000 -000000000000001000000111101101111000010000000000000110 -000000000000000101000000000011101100000000000000000001 -000010100000000001100011101101111000000000000010000000 -000000000000000000100110110111011110100000000000000001 -000000000000000111000000011001111010000000000000000101 -000000000000000000100010010011011100100000000000000000 -000000000000000001100110101000000000000000000100000000 -000000000000010000100000001001000000000010000010000000 -000000000000000111000000000000000000000000000101000000 -000000000000001001100000001011000000000010000000000000 -.logic_tile 8 2 -000000000000000001000000000011000000000000001000000000 -000000000000000000000010110000100000000000000000001000 -000000000000001000000000010000000000000000001000000000 -000000000000001011000011110000001000000000000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001001110011000000000001 -000010000000000000000000000000001000001100111000000000 -000000000000001101000000000000001110110011000000000000 -000000000000000000000010100000001001001100111010000000 -000000000100000000000100000000001001110011000000000000 -000000100000000011100000000000001001001100111000000000 -000001000000000000000000000000001010110011000000000000 -000000000001000000000000000000001001001100111000000000 -000000000000001101000000000000001000110011000000000010 -000000000001000000000000000000001001001100110000000000 -000000001010000000000000000000001100110011000000000000 -.logic_tile 9 2 -000001000000000000000010001000000000000000000101000000 -000010000000001001000000001101000000000010000000000000 -111000000000000000000000000000000000000000000000000000 -000001000000000000000011110000000000000000000000000000 -110000000000000000000000001000000000000000000101000000 -010000000000000000000000001001000000000010000000000000 -001000000000000000000010001000000000000000000110000001 -000000000000000000000100000011000000000010000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000010010000000000000000000000000000 -000000000000000111100000000000000000000000000100100000 -000000000000000000100000001001000000000010000000000000 -000010000000100000000000000000000000000000000101000000 -000001000001000000000000000001000000000010000010000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000010000000000000000000000000000 -000001000000010000000000000000000000000000 -000000100000100000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000100000000000000000000000000000 -.logic_tile 11 2 -000000000000000111100111101001001011000100000000000000 -000000000000001011100111100001001001000000000000000000 -111000000000000000000111100000000000000000000000000000 -000000000000000011000111000000000000000000000000000000 -010000000001000000000000001011011001010000000000000000 -010000000000000011000000001011111010000000000000000100 -000000000000010001100000000000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -000000000000001000000111100000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000110011001001101000100000000000000 -000000000000000000000011111101001101000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -.logic_tile 12 2 -100010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -111000000000000011100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000111000000000001000000000000001000000000 +000000001000000000000000000000100000000000000000000000 +000000000000000000000000000011100000000000001000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000110100000 -000000000000000000000000001111000000000010000000000000 +000000000000000000000000000011000000000000001000000000 +000000000010000000000000000000100000000000000000000000 +000000000000001000000011110011000000000000001000000000 +000000000000000011000011010000100000000000000000000000 +000000000000000011100000000011000001000000001000000000 +000000000000000000100011010000001110000000000000000000 +000000000000000000000000000001100000000000001000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000001000000000000000000100100000 -000000000000000000000000000001000000000010000000000000 +000001000000000000000000000011100000000000001000000000 +000000000000001011000011100000100000000000000000000000 .io_tile 13 2 000000000000000000 000000000000000000 @@ -670,7 +670,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000010000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -698,209 +698,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000011000000000010000000000010 +000000000000000000000010001000000000000010000000000000 +000000000100000000000000001011000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000001000000001000000000000010000000000000 +000000000000000000100000000101000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000100010010000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000011011011000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000010011001000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000011000000000101000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000111000000000000000000000000 .logic_tile 2 3 -000000000000000111000010100001000000000000001000000000 -000000000000000000100100000000100000000000000000001000 -000000000000001011000010000001100000000000001000000000 -000000000000001111100110110000001101000000000000000000 -000000000000000101000110100000000000000000001000000000 -000000000000000000100100000000001010000000000000000000 -000000000000010000000000000000000001000000001000000000 -000000000000100000000000000000001001000000000000000000 -000000000000000000000111000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001011000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 +000000000000000111000111000000000000000010000010000000 +000000000000000000000110001101000000000000000000000000 +111000000000000001100111001000000000000010000010000000 +000000000000000101000110001001000000000000000000000000 +000000000000000111100010100011000001000000100000000001 +000000001000000000100110011001101000000000000000000000 +000000000000000000000011010000011001001100110000000000 +000000000000000000000010000000001100110011000000000000 +000000000000100000000110001001000000000000000010000000 +000000000000000000000000001111100000000001000000000000 +110000000000001001010000000001100001001100110000000000 +000000000000000001100000000000101011110011000000000000 +000000000001000000000000001001100000000000000100000010 +000001000000100000000000001101000000000001000000000000 +001000000000000000000110000011101010111100110100000000 +000000000000000000000000000001001011010100110000000000 .ramb_tile 3 3 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000100001000111101001000000000000 +000000000001010000000000001111100000010000 +111000000000000011000010000000000000000000 +000000000000000000000100000001000000000000 +111100000000000000000000000001100000000010 +110100000000000001000000001011000000000000 +000000000000000000000000001000000000000000 +000000000000001111000000001001000000000000 +001000000000100000000000010101100000000000 +000010000001010111000011110111000000010000 +000100000000000011000011101000000000000000 +000000000000000000110000001011000000000000 +000000000000000111010110100101000000000000 +001000000000000000010100000011000000000100 +110010000000000001000010001000000000000000 +110001000000000000100100000011000000000000 .logic_tile 4 3 -000000000000001001100000001111100000000000000000000010 -000000000000001111000010101101101000000000110000100000 -111000000000000001100110001011100000000001000000000000 -000000000000001001000010111101100000000000000000000010 -000000000000000000000000010001100000000001000000000000 -000000000000001101000010000001100000000000000000000000 -000000000000000000000110010000000000000010000000000000 -000000000000001001000011111101000000000000000000000010 -000000000000001000000000010001100000000001000000000000 -000000000000000001000010000001000000000000000000000000 -000100000000001000000000011011101000111001010100000000 -000000000000000001000010000101011010110000000000000000 -000000000000000000000000001001001010101101010100000000 -000000000000000000000000000001001100001100000000000000 -000000000000000000000000011011011000111001010100000000 -000000000000000000000010000101111010110000000000000000 +000000001100000000000011100111000000000000001000000000 +000001000000000000000100000000000000000000000000001000 +111000000000000000000110010000000000000000001000000000 +000000000000000000000010000000001111000000000000000000 +000001000000000000000000000000000001000000001000000000 +000010000000000000000000000000001010000000000000000000 +000000000000000001100111100000000000000000001000000000 +000000000000001101000010110000001001000000000000000000 +000000000000001000000000000000001000111100000000000000 +000000000000000111000000000000000000111100000000000100 +000000001110000000000000001000000000000010000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000001000000000010001100000000001000010000000 +000010000000001111000011110011100000000000000000000000 +000000000000000000000000011001111011001100000100000000 +000000000000000000000010001101111010101101010000000000 .logic_tile 5 3 -000000000000000000000000001000000000000010000001000000 -000000000000000000000000001101000000000000000001000000 -111000100000000000000111001101100000000001000010000001 -000001000000000000000100000101100000000000000000000000 -000000000000000001100000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000000000000110001000000000000010000100100000 -000000000110001001000000001001000000000000000000000001 -000000000001011101100000000111100000000001010110000010 -000000000000000101000000000011001111000010010001000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000111100000000000000000000000000000 -000001000000000000000100000000000000000000000000000000 -000000000000001000000000000101000000000001000100000001 -000000000000000001000000001101001100000011000000000011 +000010000000000111100011011101100000000010100000000000 +000001000010001101000110001011101001000010010000000010 +111000000000000101100111100111100000000010100001000000 +000000000000000011100011010111101011000010010000000000 +110000000000001111100000010001100000000001000000000000 +110000001110001111000010000101000000000000000000000000 +000000101100001101100011111011011010010110100000000000 +000001000000001111100011000101001000100110100000000010 +000000000000000111100000001011011000010110100000000000 +000000000000100011000000001101001010100110100000000000 +000000000000000000000000001001111010010110100000000001 +000000001010000000000011111111101000100110100000100000 +000000000000001000000010000101000001000010010110000000 +000000000000001111000000000001001000000001011000000000 +110000000000000001100110101111100000000010010100100000 +000000001000000000000010001001001001000010101000000010 .logic_tile 6 3 -000001000000000000000000010111101111101000110000000000 -000010100000000000000010001111111010111100110000000000 -111000001110001111100110111001011001001100000000000000 -000000000000000101000011000001111001001101010000000000 -010000000000000000000010001111000000000000000000000000 -110000000000000000000100001011100000000001000000000000 -000000000000000001100000011011000000000011000000000000 -000000000000000000000011001101100000000010000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000111000010110000000000000000000000000000 -000001000000000000000000001001100000000001000000100000 -000000100000000000000010100111000000000000000000000001 -000000000000000000000011100000000000000000000100000100 -000000000000000000000010110011000000000010001000000000 -010100000000000000000000000000000000000000000000000000 -100100000000000011000010100000000000000000000000000000 +000010000000000101100000001011100000000010000000000000 +000001000000000001000010000011000000000000000000000000 +111000000000000001000010001101100001000000110000000000 +000000000000000000100100001001001100000000000000000000 +010000000000000011000011100101111010000011010000000000 +110000000000000000000000000001011110000011110000000010 +000000000000001011000010011001000000000000110000000000 +000000000000000101000111110101001100000000000000000010 +000010101000000101100000000001100000000010010110000000 +000001000000000000000010111011001010000001011000000000 +000000100000001101100000001001000000000010010100100000 +000000000000000101000000000111101110000001011000000100 +001000000110001101100000000101100000000010010100000000 +000000000000100101000000000001001110000010101001000000 +110000000000000000000000000101100001000010010100000000 +000000000000001101000000000001101100000001011001000000 .logic_tile 7 3 -000000000000001111000110110011100000000001000001000000 -000000000000001011000010100001000000000000000000000000 -111010100000001111100110001001000000000000000000000000 -000000000000000001000010110001100000000001000000000000 -000000000000101001100110001001100001000010000000000000 -000000000000001011000010101001101000000000010000000000 -000110000000100011100110000001100000000001000000000000 -000101000001000000000110110101000000000000000000000000 -000010100001000000000000011101101000110001010101000101 -000001001010100000000010000101111011110011110000000000 -000010000000000001100000000111100001000001010101000100 -000000000000000000000000001101001000000010010000000100 -000000000000000000000000001001000000000001010100000011 -000000000000000101000000001101001010000001100000000100 -000000000000100001100000000011000000000010010110000001 -000000000001010000000000000111101001000010100000100000 +000000000000001111000000011101000001000000010000000000 +000000000000001101100011110001101100000000000001100000 +111110100000001000000111101011000000000000010000000000 +000001000010001101000110010011101000000000000000000000 +010000000000000000000000010001000001000000000000000000 +100000000000000000000010001001001001000000010000000000 +000000000000000000000000011001000001000000010010000000 +000000000000001101000010000011001110000000000000000000 +000000000000100000000000011101100000000000010000100100 +000000000001010000000010100001101101000000000000000001 +000000100000001101110000001001011001000000000000000000 +000001000010100101000000001001011111100000000000100000 +000000000000100000000000000001100001000000010000000000 +000000000000010000000000000001101001000000000000000000 +000000000000001000000000011000000000000000000100000000 +000000000000000101000010100101000000000010000000000001 .logic_tile 8 3 -000000000000001101100000010000000000000010000000000000 -000000001110001111000010001011000000000000000000000000 -111000000000000000000110001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000101100000010001100000000001000000000000 -000000000000001001000010000001100000000000000000000000 -000000000000001101000000000000000000000010000000000000 -000000000000000001000011011101000000000000000000000000 -000000000000000000000000000111100000000001000000000000 -000000000000000000000010110001100000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000100000000000000000000001101100000000001010100000010 -000000000000000000000000000101001010000001100000000000 -000000000000000000000000010001000000000001010100000001 -000000000000000000000010000101101000000001100010000010 +000000001000000000000110010111101100100000000000000000 +000000000000001001000010001111001001000000000000100000 +000000000000000000000011000101101011000000000001000000 +000100000000010000000100000101101011100000000000000000 +000000000000000101100000000111101110010000000000000000 +000000000000001001100010001011101110000000000000000000 +000000000000100000000011000011001101100000000000000000 +000000000001010001000111000111101010000000000000000000 +000000000000000001110000010101101010000100000000000000 +000000000010011111000011110001011100000000000000000000 +000000000000001101100000010011101111000000000000000000 +000000000000000101000010100101011001100000000000000000 +000000000000000111100110110011101001100000000000000000 +000000000000000001100010101111011101000000000001000000 +000000000001011000000000000111001100000000000000000010 +000000000000000101000010000111111111100000000000000000 .logic_tile 9 3 -000000000000001101100110110011100000000000001000000000 -000000000000000101000011110000000000000000000000001000 -000001000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000101100000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000001100000101000000000000000001000000001000000000 -000001000000000000100000000000001111000000000000000000 -000000000110000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000001000111100000000000100 -000000000000000000000010110000000000111100000000000000 +000000000000001101000010100011101101100000000001100000 +000000000000000111000010101101001111000000000000000000 +111000000000000101100010110001001100010000000010000000 +000100000000000000100010000101001110000000000000000000 +110000000000000011100110001101000000000000010000000000 +110000000000000111000010101001101101000000000000000000 +000000000100000101000000001101011011000100000000000000 +000000000100000101000000000101001001000000000000000000 +000000000000101011000000001001001000010000000000000000 +000000000001010011100010001111011001000000000000000000 +000000000010000001100000000011000000000000000000000000 +000000000000000000000000001101100000000001000000000000 +000000000000001000000111001001000000000000000000000000 +000010000000001101000000001111000000000001000000000000 +010000000000000000000011001000000000000000000100000000 +100000000000000000000000000001000000000010000000000001 .ramb_tile 10 3 -000001000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000010000000100000000000000000000000000000 +000000000000100000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 +000000001010000000000000000000000000000000 .logic_tile 11 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000001011010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000111000011100000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000101000000000000000001000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000011000000000010000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100001100000001001100000000010000001000000 +000000000001011011000011111111100000000000000000000001 +111000000100000000000110001011100001000000010000000000 +000000000000000001000010001111001110000000000000000000 +010000001010000000000000000101100001000000000000000000 +010000000000000000000000000111101011000000010000000000 +110000000000000101000111100101100000000000100000100100 +100000000000000011000110100001001110000000000000000000 +000000001010001111000000011011100001000000010000000000 +000000000000001011000010001001101000000000110000100000 +010001000000001000010110101011000000000000010000100000 +000000000000000101000000000101101111000000000000000000 +000000000000001111100000010011000000000000010000000100 +000000000000000101000011110001001100000000000000000000 +010000000000000000000110111000000000000010000101000000 +000000000000000001000010001001000000000000000010000000 .logic_tile 12 3 -000000100000000111100000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -111000000000000000000000000011000000000001010100000010 -000000000000000000000000001011001000000001100000000000 -110000000000000111100000000000000000000000000000000000 +000000000000000111100000010000001000111100000000000000 +000000000000000000100011110000000000111100000000010000 +111000000000100000000110000011100000000000000001000000 +000000001001010000000000000001100000000001000000100100 010000000000000000000000000000000000000000000000000000 -000000000000000011100000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000001111000011110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +100000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001101100000000001010110000000 -000010000000000000000000000101101010000001100000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000010000010000000011111011000000000000000000 +000000000000100000000000001001011101100000000000000000 +000000000000000111000110010111000001001100110010000110 +000000001010000000110111000000101101110011000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000000000111000001001100110110100000 +000000000000000000000000000000101001110011000000000000 .io_tile 13 3 000000000000000000 000100000000000000 @@ -908,15 +908,15 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 000100000000000000 000000000000000000 000000000000000000 +000100000000000000 +000000000011000010 +000000000011000000 000000000000000000 000000000000000001 -000000000000000000 +000000011000000001 000000000000000000 .io_tile 0 4 000000000000000000 @@ -936,225 +936,225 @@ 000000000000000000 000000000000000000 .logic_tile 1 4 -000000000000000011000011100011000000000000001000000000 -000000000000000000000011100000000000000000000000001000 -111000000000000111000000000111000000000000001000000000 +000000000000000000000010000001100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000001000000000000000000000000001000000000 000000000000000000100000000000001001000000000000000000 -010000000000000000000000000000000001000000001000000000 -010000000000000000000000000000001101000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000101100000000000000001000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000000000000000000000010000000001000000001000000000 -000000000000000000000010100000001000000000000000000000 -000000000000000111000000000000001000111100000000000100 -001000000000000000000011010000000000111100000000000001 -000000000000000000000011000000000000000000000100000011 -000000000000000000000100001111000000000010000000000001 +000000000000000101000000000000001001001100111000000000 +000000000000101101100010110000001111110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000000000010100000001000001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001101110011000000000100 +000000000000000011000000000000001000001100111000000000 +000000000000000000100000000000001110110011000000000100 +000000000000000000000110100000001000001100110000000000 +000000000000000000000100000000001011110011000000100000 .logic_tile 2 4 -000000000000000111100010010000001000111100000000000001 -000000000000000000100011100000000000111100000000010000 -111000000000010000000111111000000000000010000000000000 -000000000000000000000111111001000000000000000000000000 -000000000000001000000010010000000000000000000000000000 -001000000000000011000011110000000000000000000000000000 -000010101110000000000000000000000000000010000000000000 -000001001100000000000000001001000000000000000000000000 -000000000110100000000000000111101011000010000000000000 -000000000001010111000000001101101001000000000000000100 +010001000000000001100110010101100000000000010000000000 +000000000000001011000011011001101100000000000001000001 +111000000000000001100110001011100000000001000000100000 +000000000000000011000010000011100000000000000000000010 +000000000000000111100110000111000001000001010010000100 +001000001000001011000000000101001001000001100000000000 +000000000000000000000110011101100000000001000000000000 +001000000000000011000011100011100000000000000000000010 +000000000000000000000010000001001100001100110010000000 +000000000000000001000100000000110000110011000000000101 +010000000000001111000000011011100001000000000010000101 +000000000000000001000010001001101100000000110000000000 +000000000000000000000000000001011001000011000100000000 +001000000000000011000000000001001000101011010000000000 +000000000000000000000000000101011101001100000100000000 +000000000000000000010000000101101010101101010000000000 +.ramt_tile 3 4 +000100001100000111000111000001100000000000 +000110010000000000000100001011100000010000 +111000000000000000000111100000000000000000 +000000010110001001000000000111000000000000 +000000000001010000000000001101100000001000 +000000000000000000000000000011100000000000 +000000000000000000000000010000000000000000 +000000000000000000000011101111000000000000 +000000000000001000000000000001000000000001 +000000000000001011000010010011000000000000 +000000000000000000000111001000000000000000 +000000000000001001000000001011000000000000 +000000001110100000000000001111100000000000 +000000000001010001000010000111000000010000 +010000000000000011100000000000000000000000 +010000000000000111000000001001000000000000 +.logic_tile 4 4 +010000000000001000000110001001100001000000000001000000 +000000000000000001000010010001101001000000010000000000 +111000000000000001000110011111100000000010000000000001 +000001000010100101100011111101100000000000000000000000 +000000000000001000000000011101111011100000000000000100 +000000000000000001000010001101101111000000000000000001 +000000000001000111000011111001001001000111110000000000 +001000000000000101000011110011011101000011110000000000 +000001000000100000000000001101011001010111110000100000 +000000100001000000000000000101001101100111110000000001 +000000000000000101100111011001111011001001010000000000 +000000000010000000000111010101111001010100100000000000 +000000000000000000000000001101001001000011010010100000 +001000000000000000000000000101111101000011110000000000 +000110100000000000000110001000000000000010000100000000 +000101001110000000000000001101000000000000000000000000 +.logic_tile 5 4 +010000000000001101100000001101100000000010100000000010 +000001000000001111000010101011101001000010010000000100 +111000000000000001000010000011100000000001000000000000 +000000000000000000000000000001000000000000000000000000 +010010100000001001100110000101100000000010100000000000 +110001000000001111000000000111001001000010010000000010 +000000000000101111100000001001001101101100000000000000 +001000000000011001100010101001011000111100000000000000 +110000000000000000000000011011100000000010100000000010 +101000000000001111000011100101101001000010010000000010 +000000000000001101000010011101000000000001000000000000 +000000000000001001100011010011000000000000000000000000 +010000000000000111000000000101000000000000000101000000 +001000001110000000100000000011000000000001001000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 6 4 +010010000000000111000000001001000000000000100000000000 +000000100000000111000010000001001000000000000000000000 +111000000000100000000011101111100000000000000000000000 +000000000000000111000011100011100000000001000000000100 +010000000000001111000010010001100001000000100000000000 +111000000000000001000110000101101000000000000000000000 +000000000000000001100110000000000000000000000000000000 +001010000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -001000001010000000000000000011000000000000000000000000 -000000000000000000000000000001000000000001010100000000 -000000000000000000000011011101101000000010010010000000 -.ramt_tile 3 4 -000000000000010000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000001010111100000001101100000000001100100000100 +000000000000000000000000001101001011000001010000000000 +000000000001010000000000001111100000000001100100000010 +001000000000100000000000001001101001000001010000000000 +010000000000000000010111111101100000000001100100000000 +100000100000000000000110001101101010000001010000000001 +.logic_tile 7 4 +010000000000000001010000000001100000000000001000000000 +000000000000000000100000000000000000000000000000001000 +111000000000001001010111000000000000000000001000000000 +000001000000000011100010000000001101000000000000000000 +010000001110000000000011100000001001001100111010000101 +110000000100000000000000000000001010110011000000000000 +000000100000000111100011100000001000001100110000000000 +001000001110000000000011100000001000110011000001000000 +000100000010000000000010000001000001000001010010000000 +000100000000010000000100001111001001000001100000000000 +010000000000000000000000001101100000000000000000100011 +000000001110000000000011101001100000000001000000000000 +000000000100000000000110001000000000000000000100000000 +001000000000000000000000001011000000000010000000000001 +010000000000000000000000001000000000000000000100100000 +010000001010000000000000001101000000000010000001000000 +.logic_tile 8 4 +000000000000010111100011100101101101100000000000000000 +000000000000001001100110000101011011000000000000100000 +111000000000000111100111011011101101110011000000000000 +000000000000000000010111100001111100000000000000000000 +010000000000000001100010011101011100000010000001000000 +111010000000000000000011001111101000000000000000000000 +000000000010101000000110101001001000110011000000000000 +000000000010000001000111101001011011000000000000000000 +000000000000100000000110001111011011110011000000000000 +000000100001000000000011010011011111000000000000000000 +000000000001010001100000010000000000000000000101000000 +000000000000100111000010001001000000000010000000000000 +000000000000000000000000001000000000000000000110000001 +001010000001011011000000001001000000000010000000000000 +010000000001000000000110000000000000000000000100000000 +100100000000000000000000000001000000000010000000000001 +.logic_tile 9 4 +010000000000001000000000000101000000000000100000000001 +000000000000010001000011111011001100000000000000000000 +111000000000001111100111001101011101010000000001000000 +000000000000001011000111011011101001001000000000000000 +010010000000000000000010011101000000000000010000000000 +011001000000000111000111100001101000000000110000000000 +000111100000000000010000000011000000000000000000000001 +001110100000000000010000001111001010000000010000000000 +000000000000001000000000010000000000000000000000000000 +000000000110000111000011100000000000000000000000000000 +000000000000001000000010001000000000000000000100100000 +000000000000001111000100000001000000000010000001000000 +000000000000000000000000011000000000000000000101000001 +001000000000000000000011101001000000000010000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.ramt_tile 10 4 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -.logic_tile 4 4 -000000000001000111100000010011000000000010000000000000 -000000000000100000100011001111000000000000000000000000 -111000000000001111100111010111011000001011010000000000 -000000000110000001100111011011001000001011100001000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000010100000000000000000000000000000 -000000000000001000010000001000000000000010000001000011 -000000000000000001000000001001000000000000000000000000 -000000000000000111100110101001100000000000000000000000 -000000000000000000100000001011000000000001000000100000 -000000000000000001000011111001100000000001100000000000 -000000000000000000100111100101101101000001010001000000 -000000000000000101100110100101011100001100110010000010 -001000000000000000000000000000100000110011000000000010 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001011000000000010000001000000 -.logic_tile 5 4 -010000000010011000000000001101100000000000000000000000 -000000000000000001000000000001100000000001000000000000 -111000000000001111000011101111111011110001010000000000 -000000000000000001000110111101111111110000000000000000 -000000000000000000000010110111111001101000000000000000 -000000000000000000000011000001111011100100000000000000 -000000000101101001100010001101101010101111110000000000 -000000000001110001000110110111111011111110110000000000 -000000000110000000000000000011100000000001000000000100 -000000000000000000000000000111100000000000000000000100 -000000000000000000000110001101111001010111000000000000 -001000001010000000000000001001111010111111000000000000 -000000000000000001000010001101000000000000010000000000 -001000000000000000000100000001101011000000000000100100 -000000101010000000000110011101101111110011110100000000 -000000001000001111000010000001011101110010100000000000 -.logic_tile 6 4 -010000000000101000000000000000000000000000000000000000 -000000000001011011000011000000000000000000000000000000 -111000000000001000000110000011101100100011110001000000 -000000000000000111000100000101011011000011110000000000 -010001000000001000010000001001101101000000000000000000 -011000000000001111000011110001101011100000000010100000 -000000000000001000000111100000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000001001000000111000000010001111011100000000000000000 -000000100000000111000011110111101011000000000000000001 -000000000000010011100000000000000000000000000000000000 -001000000000000111000000000000000000000000000000000000 -000000000000100111000000010111101110100011110000000000 -001000000000010000000011110011011000000011110000000010 -010000000001000000000000001000000000000000000100000000 -100000100110100111000000000011000000000010001000000000 -.logic_tile 7 4 -000001000010000001000111110001011000100011110000000000 -000000001110001001000111011001001000000011110000000010 -111000100000000111000011111101100000000001010000000000 -000000001010000101100110011011101011000001100000000000 -110000001110000111000000010101100000000001000000100000 -111000000000010000000011100011100000000000000000000010 -000010001110001001100110000000000000000000000000000000 -000000001110000001000010100000000000000000000000000000 -000000000000000000000011101011000000000000010000000000 -000000000000000111000000001001101010000000000000000000 -000010100000100000000110100111111001100000000000000000 -000000001001000000000000000111001010000000000000000000 -000000000000001000000111101001000000000001000000000000 -001010000000000101000100000101100000000000000000000000 -010010000000010101000000001000000000000000000100000000 -100001000000100000100000001001000000000010001000000000 -.logic_tile 8 4 -000000000000000111100000001011100000000001000000000000 -000000000000001111100011000001100000000000000000000010 -111010000000001111100000000111000001000011010001000000 -000001001110000111000000001101101000000011000000000000 -011001000000001000000000010001000000000001000000000000 -011000100000000001000010000001000000000000000000000001 -000000000001010000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110000000000000000010000000000000 -000010000000000000000111110101000000000000000000000000 -000010100000000000000111001000000000000010000000000000 -001000000000000000000100001011000000000000000000000000 -010000000000000000000000001000000000000000000100000000 -100000000000000000000000000101000000000010001000000000 -.logic_tile 9 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -111010100000100000000000001011111111100011110001000000 -000000001100000000000000001101101010000011110000000000 -010000000000000000000110100000000000000000000000000000 -011000001010000000000100000000000000000000000000000000 -000001000110100000000000011011111111100011110001000000 -000010000001001101000011101101101110000011110000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000001101000000000010001000100000 -010000100000000111000000000000000000000000000000000000 -100000000010000111100000000000000000000000000000000000 -.ramt_tile 10 4 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000100000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000001110100000000000000000000000000000 -000011100000000000000000000000000000000000 -000011000000000000000000000000000000000000 +000110100000000000000000000000000000000000 +000101000000000000000000000000000000000000 .logic_tile 11 4 -000001000000000111100000000001000000000000001000000000 -000010000000000001100011010000000000000000000000001000 -111000000000000111100000000111000001000000001000000000 -000000000000000000000000000000101110000000000000000000 -010000000000000000000000000011000000000000001000000000 -011000000000000000000000000000100000000000000000000000 -000000000000000000000000000001000000000000001000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100001100000000000001000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000100 -000000000000001000000010000000000000000000000000000000 -001000000000000101000100000000000000000000000000000000 -010000000010100000000000000000000000000000000100000000 -100000000001000001000000000111000000000010001010000000 +010000000000000111000110001011000000000010000000000001 +000000000000000011000010001001000000000000000000000000 +111000100110001000000000000101000000000001000000000000 +000010000000001011000010011001100000000000000001000000 +010000000000000111000011001101101011110011000001000000 +011000000000000011000100001011101000000000000000000000 +000000100000001111100110001001011011000010000000000000 +001010000000000001000011011101001100000000000000000000 +000000000000000001110110110001011011000100100100000010 +000000001010000000000010100111001011000000000000000000 +000000000000001111100000001101000000000000100101000000 +000000000000000101100010001111001101000000000010000000 +000000000000000001000000000001000000000000100101000010 +001000000000000000100000000111001101000000000000000000 +010000100000000000000000000011100001000000110100000000 +100000001000000000000010010001001111000001110010100000 .logic_tile 12 4 -100000000000000111000000000000000000000000000101000000 -000000000000000000100000000111000000000010000000100100 -111001000000000000000000000000000000000000000000000000 +010010100000000011000000000001100000000000001000000000 +000001000000000000100000000000100000000000000000001000 +111000000001100101100110110000000001000000001000000000 +000010000001010000000010100000001010000000000000000000 +110001000010000000000000000000001001001100111000000000 +000000000000000000000000000000001001110011000000000000 +000000000000001000000110100000001001001100110000000000 +001000000010000101000000000000001000110011000000000000 +000000000000000011000000000111000001001100110000000000 +000000000000000000100000000000001011110011000000000000 +000000000000000000000000000000000000000000000100100000 +001001000000000000000000000001000000000010000000000000 000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000000011000000000010000011000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000010000000000000000000000000000 -000000000000000101000010100000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000100100000 -001000000000000000000000001011000000000010000000100100 -000000000000000000000000000000000000000000000110000000 -000000000000000000000000000001000000000010000000000000 +001000001010001011000000000000000000000000000000000000 +110000000000000101100000000000000000000000000100000000 +110000000000000000100000000011000000000010000000000000 .io_tile 13 4 -000000000000001000 +000000000000000010 000100000000000000 -000000000000000000 +000000111000000000 000000000000000001 +000010000000000001 +000000110011000000 +001100000000000000 000000000000000000 000000000000000000 -001000000000000000 -000000000001100000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 +000100000000000000 +000000000010000010 +000000000001000000 000000000000000000 000000000000000001 -000000000000000000 +000000000000000001 000000000000000000 .io_tile 0 5 000000000000000000 @@ -1174,218 +1174,218 @@ 000000000000000000 000000000000000000 .logic_tile 1 5 -000000000000000011000111100101000000000001000000000000 -000000000000001111000100000101100000000000000000100000 -111010000000000111000010100011100000000001000000000000 -000001000000000000100100000001000000000000000010000000 -010000000000000011000110000000000000000000000000000000 -111000000000000000000010000000000000000000000000000000 -000000000000000000000000001111000000000001000000000000 -000000000000000000000000000001100000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000000000010000001000000000000010000000000 -001000000000000000000100000101101000000000000001000000 -000100000001010000000000001000000000000000000100000010 -000000000000100000000000001001000000000010000000000001 +000000000000000001100111000111100000000001000000000000 +000000000000000000000010100011000000000000000000000010 +111000000000000001000111000001100000000001000000000000 +000000000000001101100111000111100000000000000000000010 +000000000000001000000010110011100000000001000000000000 +001000000000000001000010000011000000000000000000000010 +000000000000010001000000001101101100101000110101000001 +000000000000100101100000000101011000111100110000000100 +000000000000001000000000000101000001000001010100000001 +000000000000000101000010101101001000000001100000000100 +000000000000001001100000000101101100101000110100000000 +000000000000001101000000001001011011111100110000000110 +000000000000001000000110100001101111101000110100000000 +001000000000000101000010101001011100111100110000000100 +000000000000001000000000001111001101111001110100000000 +000000000000000001000000001001111000110101110000000110 .logic_tile 2 5 -000000000001000011000010100001000000000000001000000000 -000000000000100000100010000000100000000000000000001000 -000000000000000111000111100000000000000000001000000000 -000000000000000000100110100000001011000000000000000000 -000100100000000101000000010001100000000000001000000000 -001100000000010011000011100000001010000000000000000000 -000000000000000111000000000101100000000000001000000000 -000000000000000000100000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000010100000000000000000000000000001000000001000000000 -000001000000000000000000000000001001000000000000000000 -000010000000000000000000000000000000000000001000000000 -001001000000000000000000000000001001000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 +000000000000000111100000001001000001000011010011000000 +000000000000000000000011011011001100000011110000000100 +111000000001001000000000011011101000011100000010000000 +000000000000101101000011110111111000111000000000000000 +000000001110001000000000001111000000000001000010000000 +001000000000000001000011011001000000000000000000000000 +000100000000000000000110100101100000000001000000100000 +000000000000000000000000000101100000000000000000000000 +000000000000001000000000001101000000000011000000000100 +000000000000001101000000000001101100000011010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000011100000000000000000000000000010 +000000000000001000000000000000000000000000000101000000 +001000000000000011000000001001000000000010000000000000 +010010000000000000000000000000000000000000000000000000 +100001000000001001000000000000000000000000000000000000 .ramb_tile 3 5 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 5 -000000000001010011100111000000000000000000000000000000 -000000000000100001000000000000000000000000000000000000 -000000000000000000000011101000000000000010000000000000 -000000001110000000000100001001000000000000000001000000 -001000000000000111100000000000000000000010000001000000 -001000000000001001100000000101000000000000000001000000 -000000000000000011100110000111100000000000000011000000 -000000001010000000000011110001000000000001000000000000 -000000000000000000000000001001000000000001000010000000 -000000000000000000000000000111000000000000000001000000 -000001000000000000000000000101111010010000000000000000 -000000000001010000000000001001101110000000000000000000 -000000000000001000000000000101101010001100110000000000 -001000000000000101000000000000101000110011000000000101 -000000100000000001100000000000011010001100110000000000 -000001000000000000000000000000001010110011000000000000 +000000000000001101000110000011011001011100000000000000 +000000000110000011000000000001101100001100000000000001 +111000000000000001100000000101101100101100000000000000 +000000000000000000000011111011011001001100000000000000 +000000000000010001100000001111101010010100000000000000 +000000000000000000000010101011011111100100000000000000 +000000000000000101000000011111011000101000010000000000 +000000000000000011000010000001111000011000010000000000 +000001000000000000000111010001000000000000000000000000 +000010100110000000000011110001000000000001000000100001 +000000000000001000000010101111100000000001000000000000 +000000000000001111000010100101000000000000000000100000 +000000000000000001000111010111000000000000010000000000 +001000000000000000000010000101101110000000110000000000 +000000000000000101100000001011000001000000110100100000 +000000000000001111000000001011101011000001110000000000 .logic_tile 5 5 -000000000000000011100000001111011111000000000000000000 -000000000000001001000000000011011101100000000000000000 -000001000000000000000111111101101101000011100000000000 -000000000000000000000110000101001111000011110000000000 -000000000000000000000110000011000000000000000000100000 -001000000000000000000000001101000000000001000000000000 -000000000000010001100000010001101101101000000000000000 -000000000000100101000010000001111110011000000000000000 -000000000000001001100000001101101110000000110000000000 -000000000000000001000011000011011000000001110000100000 -000000000000000000000010011011100000000001000000000001 -000000000000000111000011001101000000000000000000000100 -000000000000000000000000001011100000000010000000000000 -001000000000000111000010001111100000000000000000000000 -000000000100000000000111011000000000000010000000100101 -000000000000000111000110111001000000000000000000000000 +010000000000100101000111100011101100110011110000000000 +000000000001000000100110000101011001010011110000000000 +111000000000000101100111001111000000000000000000000000 +000000000000000000000100000001001001000000010000100000 +000000000000001101000111110001100000000011010000000000 +001000000000000001000110001101001110000011000000000000 +000000000000001101000110010111111011011100000000000000 +001000000000000001100010001111011011111000000000000000 +000000000000000011100110000101011000111000000000000000 +000000000000000111100000001111111100110000000000000000 +000000000000000001000000000101011010111101110100000000 +000000000000000000000000000001001100111100110000000000 +000000000000000001100110011000000000000010000100000000 +001000000000000000100011010111000000000000000001000000 +000000000000000001000000001101100000000011010100000110 +000100000000010000110000000101001010000011110000000000 .logic_tile 6 5 -001000001010000001000000011101100000000001010000000000 -000000000000000111000010001001001110000001100000000000 -111000000000000000000010110000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -010000000000011011000111001001100000000010100000000000 -111000000000100001000110001101101110000010010000000000 -000000000000000111100010100001000000000001100000000000 -000000000000000111000000000101101000000001010000000000 -000000000000000011100000000011011101100011110000000000 -000000000000000000100000000101001101000011110000100000 -000000000000100001000000000101100000000001000000000110 -001000000101110000100011101101000000000000000000000000 -000000000000000001000000000000000000000000000100000000 -001000000000000000100000000001000000000010001000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000100000111000111010001000001000000100001000000 +000000000000000001000110001001001101000000110010000001 +111000000000100111000111010011100000000000010000000000 +000000001001110000000011001101101000000000000010000000 +110000000000101011100110010001100001000010000000000000 +111000000001011011100010000001001100000000000000000000 +000000000000001111000110010001100001000000100000000000 +000000000000000001100010000101101001000000000000000000 +000010101100100000000000000001100001000000000000000000 +000000000001000000000000000101101001000000010000000000 +000000000000000011000000000111100001000001100100000100 +000000000100001001000010011001001100000001010000000000 +000000001100100000000010001101100000000001100100000000 +001000000001000000010000000101101011000001010000000100 +010000000001000001100000000101100001000001100100000110 +100000000100100000000000001001101010000001010000000000 .logic_tile 7 5 -000000001110001101000000000101101101010100000000000000 -000000000000000111000011010101111111110000000000000000 -111010000001011011100110000001100000000001100000000100 -010001000000100001100000000001001100000001010000000000 -010000000000001001100110100101101010110000000000000000 -011000000000000111000011000101111111000000000000000000 -000000001111000111100010100101001101000100000000000000 -000000000000100000100110000101101000100000000000000000 -000000000000001011100011101001100001000010100000000000 -000000000000000011000000001101101100000010010000000000 -000000000000000000000000000001011111100011110000100000 -000000001010000111000000001011001111000011110000000000 -000000000000001101100011100011001111000001110000000000 -001000000000000001100000000111101010000011110000000000 -010000000000000011100010001000000000000000000100000000 -100000000110000000100010001101000000000010001000000000 +000000000000101111100110100001100001000000100000000000 +000000000001011111100111110001001011000000000000000000 +111000000000000011100111110001100001000000100001000000 +000000000000000111100010000101101001000000000000000000 +010000000000001111100110001111000000000010000010000000 +011000000000001111100000000011001110000000000000000001 +000000000000000011100111101001100000000000000000000000 +000000000010001111100000000101100000000001000000000000 +000010000000000000000000001111111101000011110000000000 +000000000000000000000000001011011110000011100000100000 +000010100000000000000010000101111010001100000000000000 +000001000110000101000010010001011010001000000000000000 +000000000000001011100000000001100000000010000000000000 +001000000000000001100000000101000000000000000000000000 +010000000000110111000000000101011000000011110100100000 +100000000000100101100000000101101001000011100000000000 .logic_tile 8 5 -010000000000000111000110110101111001100011110000000000 -000000000000000001100010101111011011000010110001000000 -111001000000001111000011110101111111110000000000000000 -000000100100000111100011011011101010000000000000000000 -010000000010000101100010010001000001000000110000000000 -111000000000000000000011000101001001000000000000100000 -000000000001001011000011101011111010110000000000000000 -000010100000100011000111101011001011000000000001000000 -000000100000001000000110110111101000111101000001000000 -000000000000000101000010001011111000111111000000000101 -000000000101000000000111000111001000111101110001000000 -000011001010001001000000001111011001111111110010000001 -000000000000001001100110101101101110100011110000000000 -001001000000001111000011000001101100000011110001000000 -010000100010000101100000011000000000000000000101000000 -100001000000000000000011100001000000000010001000000000 -.logic_tile 9 5 -010000000000000011100000010011000000000010000000000000 -000000000000000000000010001011100000000000000011000000 -111010000000000000000011000001100001000010100000000000 -000011000000001111000011000001001100000010010000000000 -010010100000101001100000000011011001100011110000000000 -011001000000001111000000000111011001000011110000000000 -000010100000000000000110011101000001000001100000000000 -000000000000000000000010001101001101000001010000000000 -000000000000000001000011000011000000000000000010000100 -000000000000000000100111101011100000000001000000000000 -000000000000000111000011100111000000000000000000000000 -000000000100000000000100001111100000000011000000000100 -000000000000000111000011001111100001000001010000000000 -001000000000000000100111101101001110000001100000000000 +000000000000000101100111111111000000000001000000000000 +000000000000001111000010100111100000000000000000000001 +111000000000010011100000010111011011000011000000000001 +000000000000000000000010110101001010000001000000100110 +010000000000001000000000001011100000000000110000000000 +011000100000000001000000000111001101000000010000000000 +000000000001010101110000001011000000000000000001000000 +000000000010100011000010000001000000000001000000000000 +000001000000101000010000001001111011000010000000000000 +000010000001000001000011111011011001000000000001000000 +000000000001000001000000000001100000000011000000000000 +000000000000000000000010000101101000000001000000000000 +000000000000000001000000000000000000000000000100000110 +001000000000000000100011110001000000000010000000000101 010000000000000111000000000000000000000000000100000000 -100000000010001001000000000101000000000010001000000000 +100100000001000000100000001001000000000010000000000001 +.logic_tile 9 5 +000000000000010011000010100011000000000000000001000000 +000000001100100000100010100011000000000001000000000000 +111000000000011000000111110001100000000001000000000000 +000000000000001111000110110101000000000000000000000000 +010000000001000001100010010011100000000010000000000000 +111000000000000101000010101011000000000000000000000000 +000010100000000101100000000001100001000010000000000000 +000001000000000000000011000101101011000011000000000000 +000000000100001011100000000001001010010000000011000000 +000000000000001011100010001001111111000000000000000010 +000000000000000111100000000001111010110000000000000010 +000000100100000000000000000101101111100000000000000000 +000000000000000000000110010001001110010000000000000100 +001000000000000000010010000101011111000000000000000000 +010100000001000000000000001000000000000000000100000000 +100100001110000000000000001001000000000010000000000110 .ramb_tile 10 5 -000000001000000000000000000000000000000000 -000000010000010000000000000000000000000000 -000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000010000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000010000000000000000000000000000000 +000011101100000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000101110000000000000000000000000000000 000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 .logic_tile 11 5 -000000000000000111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000001100000000000000000111100000000001000000100000 -000000000000000000000000000011000000000000000000000001 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000101100000000000000000000010000100000100 -001000000000000000100000000001000000000000000000000000 +000000000000000111100011001001001001000010000000000000 +000000000000000111100110010001011010000000000000000011 +111000000000000001000011110101100000000001000001000001 +000000000100000011100011111101100000000000000000000000 +010000000000000000000010111101111001000010000000000000 +011010100110000000000110000111111111000000000000000000 +000010001010000111100111100001011001110011000000000000 +000000000000000000100011100011011110000000000000000000 +000000000000000111000000000001100000000001000010000000 +000000000000000000000000001101000000000000000010000000 +001000001110000001000111101000000000000000000100000000 +000000001110000000100100001011000000000010000000000000 +000000000000000000000011000000000000000000000110000000 +001000000000000000000100001111000000000010000000000000 +010100000000100101100110101000000000000000000110000100 +100100000001010001000000000011000000000010000000000000 .logic_tile 12 5 -000000000000000000010000000111100000000000001000000000 -000000000000000000000011000000100000000000000000001000 -000000000100000000000000000001000000000000001000000000 -000000000110000000000000000000100000000000000000000000 -000000000000000000000000000011100000000000001000000000 -001000000000000011000000000000000000000000000000000000 -000000100000100000000000000011000000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000000001111000110100101000000000000001000000000 -000000000000001111100111110000000000000000000000000000 -000000001110000011100000000011100000000000001000000000 -000000000000000000100011110000101100000000000000000000 -000010000000000000000000000011000000000000001000000000 -001001000000000000000000000000100000000000000000000000 -000000000000000000000000010011000000000000001000000000 -001010100000000000000011010000000000000000000000000000 +000000000000000101000110101001101101110011000000000000 +000000000000000111100010011011111100000000000000000001 +111001000001001001100110010000000000000010000000000100 +000000101110101011000011100011000000000000000000000100 +110010000000001000000011011001000000000000100001000000 +011001000001001011000111010101001100000000110000000000 +000000000000001101000000001001011000100000000001000000 +000000000000010001100000000101101001000000000000000000 +000010000000001111000011110001101011110011000000000000 +000001000000001001000111111101001001000000000000000000 +000000100000001011100000010000000000000000000101000000 +001000001100101111110011001101000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000001000000000010000011000000 +010010100101110000000000001000000000000000000101000000 +100010000011110000000000000011000000000010000000000000 .io_tile 13 5 -000000000000010000 000000000000000000 000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000000000 +000000000000001000 000000000000000000 000100000000000000 000000000000000000 @@ -1396,7 +1396,7 @@ 000000000000000000 .io_tile 0 6 000000000000000000 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 000000000000000000 @@ -1412,225 +1412,225 @@ 000000000000000000 000000000000000000 .logic_tile 1 6 -000000100000000000000010010011100000000000001000000000 -000001000000000000000010000000100000000000000000001000 -111000000000000000000000000011100000000000001000000000 -000000100000000000000000000000101101000000000000000000 -110000000000000000010000000011101000001100110000000000 -010000000000000000000000000000001111110011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011000011101100001100110000000000 -000000000000000000000011110000110000110011000000000000 -000000000000000101100110111111101001111110000000000000 -000000000000000000000010100011111111111100000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010010000000000000000110110000000000000000000101000010 -100001000000000000000010101001000000000010001100000000 +000000000000001000000000000001100001001100110000000000 +000000000000001001000010110000001010110011000000000000 +111001000000001111100110000101100000000001000000000000 +000010100000000011100000000101100000000000000000000010 +000100000000001000000010000011100000000001000000000000 +000000000000001001000110110001000000000000000000000010 +000000000000001001000110001011111011000010000000000000 +000000000000000001000010101101011100000000000000000000 +000000000000000000000000010111000000000000000000000000 +000000000000000111000010101001100000000001000000000000 +000000000000000001100000000101101100111001110101000001 +000000000000000001000000000111011011110101110000000100 +000000000000001000000000011101001111101000110100000100 +000000000000000001000010100001111110111100110010000000 +000000000000000011000000001101001101011000000100000010 +000000000000000000000000000101101001110000000000000000 .logic_tile 2 6 -000000000000001111000000011001001000010000000000000001 -000000000000001111000010001001001000000000000000010000 -111010000000001101100110011011101001111011110000000000 -000000000000000101000011111001111100111111110001000000 -110000000000000011000110010011000000000011000000000000 -010000000000000000000010000101100000000000000000000000 -000000000000001101100110011011100000000011000000000000 -000000000000000101000010001001100000000000000000000000 -000000000000000000000111011101011010000010000000000000 -000000000000000000000011100101101011000000000000000010 -000000000000000001100000011000000000000000000100000000 -000000000000000000000010000001000000000010000100000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000100000000 -010000000000000000000000001101111001111110000100000000 -100000001010000000000000000011101011111100000100000000 +000000000000000000000110101011000000000000000000000010 +000000000000000000000011011101100000000001000010000000 +111000000000011000000000011001100000000000000000000000 +000000001010000001000010000011000000000001000010000000 +010000000100001011000010001011000000000001000000000000 +010000000000000011100011001001100000000000000000000000 +000000100000000111100011101011101010011100100010000000 +000001000000001011000000001111001100111100000010000000 +000000000000100001000110000011101000000100000000000000 +000000000000000000100000001111111110000000000000000000 +000000100000000001100110000101000000000000000000100000 +000000000000000111100100000101101011000000010000000000 +000000000000100111000110001101100001000001010000000000 +000010000000000000100100000101001011000010010000000000 +000000000001010000000000011000000000000000000110000000 +000000000000100000000011001111000000000010000010000001 .ramt_tile 3 6 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000010000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000011000100000000000000000000000000000000 +000011100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000100010000000000000000000000000000 +000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000101010010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 6 -000000000000000000000000000111100000000000001000000000 -000000000000000000000010010000000000000000000000001000 -111000000000001111100000000011000001000000001000000000 -000000000000000111000000000000101100000000000000000000 -010000000010000000000110000001101000001100110000000001 -110000000000001101000000000000001110110011000000000000 -000000000000000011000000001001100000000001000000000000 -000000000000000101000000000101000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000000000000001000000000111001000110011110000000000 -000000000000000000000000000101111110110010100000000000 -000000000000001001000011101000000000000000000100000010 -000000000000000101000000000101000000000010000001000100 -000010100000001000000000000000000000000000000000000000 -000001000000001011000000000000000000000000000000000000 +000000000000000111100011100101100000000000001000000000 +000000000000000001100100000000000000000000000000001000 +111000000000001111100110100000000000000000001000000000 +000100000000001111000100000000001000000000000000000000 +110000000000000000000011010000001001001100110000000010 +110000000110000000000111100000001010110011000000000001 +000000000000001101000000001001100000000000000001000000 +000000000000000111100000000001000000000001000000000101 +000000000000011011100000000101011111011001010100000100 +000000000000000111100000000011101101011010100000000000 +000000000000100000000000000011100001000001010100000000 +000000000001000111000011001001001110000001100000100000 +000001000000000111100111100001100000000001010100000000 +000010000000000000000000001101101110000001100000100000 +000010000000000000000000000001000001000001010100000100 +000001000000001111000000000011001110000001100000000000 .logic_tile 5 6 -000000000000001000000111100011000000000010000000000000 -000000000000000011000000000001000000000011000000000000 -111000000000001001100000010101100001000010010000000000 -000010100000000011000010000011001011000001010000100000 -001000000000001001000010111111011100110011110000000000 -000000000000000011100110001001111010010010100000000000 -000000000000101101100010001111001011011100000000000000 -000000000001000011000010100111011010111100000000000100 -000000000000000101000010111001011011101011110000000001 -000000000000000000000010100011101011011011110000000000 -000000000000001000000111000101101111101000010000000000 -000000000000000101000000000101101011111000010000000000 -000000000000000011100000011011111001111100000000000000 -000000000000000000100010100001101011011100000000000000 -000000000000101000000000000011000000000010010100000000 -000000000001000101000000001101101101000001010010000001 +000000000000000001000110011011111010100000000000000000 +000000000000000000000010001101101111000000000000000000 +111000000000000001000111000111000000000001000000100000 +000010000000000001000010101011100000000000000000000000 +000000000001010001100110001101100000000000100000000000 +000000000000101001000011100101101010000000110000000000 +010000000000001011100111010111100000000000000000000000 +000000000000001111100010000001100000000001000000000000 +110000000000000000000111101001100000000000110000000000 +100000000000000111000010000001001101000000100001000000 +000000000001000111100111100111100001000000000000000000 +000000000000000000000000001001001001000000010000000000 +000000000000000000000111101101001010101100000000000000 +000000000000000000000100001001101100001100000000000000 +010000000000000111100111101101001001111100100100100000 +100000000000000000000000001001111100111100110100000010 .logic_tile 6 6 -000000000000000111100010100000000000000000000000000000 -000000000000001001100011010000000000000000000000000000 -111000000001010011100110100000000000000000000000000000 -000000000000100111000010000000000000000000000000000000 -010000000000101000000111001101011000011100000000000000 -010000000001000111000100000011011000111000000000000000 -000000000000000011100010000001100000000000000001000000 -000000000000000000100100000001100000000001000000000000 -000000000000000000000010001011001011101100000000000000 -000000001010000000000100000011101111001100000000000000 -010000000000000000000010001001111010100011110000100000 -110000000000001111000100001011011110000011110000000000 -000000000000001000000000001001001110000100000000000000 -000000000000001011000000000101101011100000000000100000 -010000000000000000000010101000000000000000000100000000 -100000000100000000000100000001000000000010001000000000 +000010000000000011100110011101101100001100000000000000 +000001000000000001100010000011111001101100000001000000 +111000000000000000000000001001101010000011110000000000 +000000000000001001000011000101111011100011110000000010 +110000000000000000000010010001000000000000000000000000 +110000001100000000000110000001000000000001000000000000 +000000000000011001100011100101111111000010000000000000 +000000000000100001000011000011101110000000000000000000 +000000000000000001000010000001000001000000000000000000 +000000000000000000100111100111101010000000010000100010 +000000000000001000000011101011000001000010000000000010 +000000000000000001000000001111101101000000000000000000 +000010000000000001000010000011000000000001000000000000 +000001000000000000100100001001100000000000000000000001 +010000000000001001000000001000000000000000000110000000 +010000000000001011000011101111000000000010000000000000 .logic_tile 7 6 -000000000000001001000000011101100000000010000000000000 -000000000000001111000010001001001001000011000001000001 -111000000000001011100000000111101100100011110000000000 -000010000000000001100010100011001101000011110001000000 -110000000000001011000010110111011000110000000000000000 -010000000000001111100110001111101000010100000000000000 -000000000000000011100010001111011010000100000000000000 -000000000000001011100010100011101010100000000000000001 -000000000000001011100010010001011111010100000000000000 -000000000000000011000011101101011011110000000000000000 -001000000001000011100000011011101010111000000000000000 -000000000000100000100010001101101011110000000000000100 -000100000000000000000110011001100000000010000000100000 -000100000100000000000111110001000000000000000000000000 -010000000010000011100000001000000000000000000100000000 -100000000000001001100011000111000000000010001000000000 +000000000000001001000011100101000000000001000000100000 +000000000000100111100010100101000000000000000000000000 +111010100000000111100110010001101111000010000000000000 +000100000000000000100110001101101001000000000000000000 +010000000000000001100010011001011000010111110000000000 +010000000000001101000011111101101100011011110000000000 +001000000000001000000110001001111010110011000000000000 +000000000000001011000000000101111111010010000000000000 +000010000000000000000000000000000000000000000100000100 +000000000000000001000000000001000000000010000000100010 +000000100000000000000000000000000000000000000100000000 +000001000000000000000000000111000000000010000000000100 +000000000000000001000000000000000000000000000101100000 +000000000000001011000011101101000000000010000000000010 +010000000001000000000000000000000000000000000100000000 +100000000000100000000000000001000000000010000000000010 .logic_tile 8 6 -000000000000001011100110000011111000110000000000000000 -000000000110000001100110111101011101000000000000000000 -000000000000000001100010000111111000110000000000000000 -000000000001011101000100001001001111000000000000000100 -000000000000000001100110011011011011000100000000000000 -000000000000001001000110001101011100100000000000000000 -000000000000000001000010010011111011110000000000000000 -000000000000000000100111000011001011000000000000000100 -000001000000000001100110001001001111100011110000100000 -000000101100000111100011101111001000110011110000000001 -000010100000000111000010001111001100000100000000000000 -000001000000000111000000001011101010100000000000000000 -000000001010001000000010100011101100111101110000000000 -000000000000000101000111101001111000111100110000000100 -000000000000010111000111001101011110111101110011000000 -000000000000000000000100000001011011111111110000000100 +000000000001111001000110001101101011110000000000000000 +000001000000111011100010011111011000000000000000000010 +111000100000001111100011011111011011110011000001000000 +000001000000000111100111011001111100010010000000000000 +010000000000100001000110101011001110010111110000000000 +010000000000000101000011000101101000100111110000000000 +000001000000001001000110001111011000110011000000000000 +000010000000000101000110011101011000000000000000000000 +000100000000100011100011000001001010011100000000000000 +000100100001000111000000001101101010111100000000000000 +000010000000000111000000000000000000000000000100000000 +000000000000000000000000000011000000000010000001000100 +000001000000001011100111000000000000000000000100000010 +000010100000000111100100000011000000000010000000000000 +010000000010100000000111001000000000000000000100000000 +100000001010000000000100000001000000000010000001000000 .logic_tile 9 6 -000000000001011111100010100111001100111100110000000000 -000000000000101011100011100011101110010100100000000000 -111010100000001101100111100001100000000011000000000001 -000000000010000111100110011001001010000011110000000000 -010000000000001111100111100101100000000001000011000000 -010000001100000011100100000001100000000000000010000000 -000000001010000101100111010001101110110000000000000000 -000000000000000101100110101001001010000000000000000000 -000000000010010001100010001001111000000100000000000001 -000000000000101011000000001101011010100000000000000000 -000000000000000000000000001101101010000100000000000000 -000000000000000000000000000101011000100000000000000000 -000100000000000001000000001000000000000000000100000000 -000000000000000000100010111001000000000010000000000010 -000000000000100000000000000000000000000000000100000000 -000000000110000000000000001011000000000010000000000010 +000010101010000111100011110011001111110011000000000010 +000001100000000001100010100001111110000000000000000000 +111001000001010011100111010011101001000100000000000000 +000010000000000000100111110001111001001100000000100000 +110000000010000111100111101001001101010111110001000000 +010001000000000000100100001101101010100111110000000000 +000000001110101111100010001111101111000010000000000000 +000000001001011111100100001111011101000000000000000001 +000000000000100111000011000011111000000110100000000000 +000010100001010000000111100101101011001111110001000000 +000001000000000000000110011000000000000000000101000000 +000010001000000000000010000101000000000010000010000000 +000001000000011000000011001000000000000000000110000000 +000000000000100111000110011001000000000010000000000000 +010000001000000011000000010000000000000000000100000000 +100000000000000000100011010111000000000010000010000000 .ramt_tile 10 6 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000001110100000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000010100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000 -000001001100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 .logic_tile 11 6 -000000000000000000000010010111000000000000000000000000 -000000000000001011000111001001000000000001000001000000 -111100000000000101100110010000000000000000000000000000 -000000000000000000100011010000000000000000000000000000 -110000000000000000000000011001100000000000000000000000 -100000000000000000000010001011100000000011000000000000 -000000000000000011000011010101100000000001000000000011 -000000000000000000000110001001000000000000000001000000 -000000000000000011000000001101111111010111110000000000 -000000000000000000100000001111101001100111110000000100 -000000000001010000000000000101101110000100000000000000 -000000000000100000000010011101101100100000000000000000 -000000000000001101000000000000000000000000000100000000 -000000000000000101000000000001000000000010000000000000 -010000000000000000000110101000000000000000000100000100 -100000000000001001000000000001000000000010000000000000 +000000000000000001100010011101101111110011000000000000 +000000000000001011000111110011111010000000000000100000 +000010100010001101000111100111101111000110100000000000 +000000000000010111000110101001001110001111110000000000 +001000100001011111100111001001000001000000010000000000 +000000000000001111100000001111001101000000000000100000 +000000000000000101010111101111111000000010000000000000 +000000000000000000000110100001011110000000000000000000 +000000000000010011100011110011100000000000010000000100 +000000000000000000000011100001101011000000000000000000 +000000000000101111100110111101001111000110100000000000 +000100000001010011100010001001011111001111110010000000 +000000000001001001000011101011001100000110100000000000 +000000001110001011100010001101011101001111110000000000 +000000100000000011000110000001011001010111110000000000 +000000000000001111000011010101101100100111110000000000 .logic_tile 12 6 -000000000000000000000110100000001000111100000000000000 -000100000010000000000000000000000000111100000000010000 -111011000010000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000001001110000000000000000000000000000000000000000000 -000000000010000111100000010000000000000000000000000000 -000000000100000000100011110000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000010000001001000000000010000010000100 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000010000000000000000000000000100000010 -100001001010000000000000000011000000000010000000000000 +000000000001000111100111011001011100110011000000000000 +000000000000100000000110001111001100000000000000000000 +000000000001001000000111011011001100000010000000000000 +000010000010001011000110001001011000000000000000000000 +000000000000011000000011101111111101110011000000000000 +000000000000100001000000001011011011000000000000000000 +000000001110001111100111001001101000100000000000000000 +000000000100000001000100000101111010000000000001000000 +000000000000000011100000011001001100110011000000000000 +000000000000001011000011000001101101000000000000000000 +000000000000001111100111000011111010000010000000000000 +000000000010000111100111001001001111000000000000000000 +000011100000001111000000010111011111110011000000000000 +000011000000001011000011011101111100000000000000000000 +000000000000101001100011110111101110000110100000000000 +000000000001011111100011000111001001001111110000000000 .io_tile 13 6 +000000000000000010 +000100000000000000 000000000000000000 -010000000000000000 -000000000000000000 -000000000001100001 -000000000000000000 -000000000000000000 -001100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 +000000000000000001 +000000000001000001 +000000000011000000 +001100000000010000 000000000000000000 000000000000000000 +000100000000000000 +000010000011000010 +000000010001000000 +000000011000010000 +000000000000000001 +000000000000000001 000000000000000000 .io_tile 0 7 000000000000000000 @@ -1650,221 +1650,221 @@ 000000000000000000 000000000000000000 .logic_tile 1 7 -000000000000000000000000000111100000000011000000000000 -000000000000001101000010110111101010000011110000100000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010001000000000101000110010111011110000100100000000000 -110010100000000000100010000001111110000000000000000000 -000000000000000111100010100101100000000000010000000000 -000000000000000000000000001011001110000000000000000000 -000100000000000000000111000101000000000000000000100000 -000100000000000000000100001001100000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000010001101100000001000000000000000000100000010 -000000000000000011100010101101000000000010000000000010 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 +000000000000101000000000000101100000000001000000000100 +000000001000000011000000000011000000000000000000000000 +111010000000001001100111010001111011100000000000000000 +000000000000000001000111000101011001000000000000000000 +010000000000001001100010010001100000000001000000000000 +110000000000000001000011000101000000000000000000000010 +000000000000001000000010110000000000000000000000000000 +000000000000000011000011010000000000000000000000000000 +000000000000000000000000000101101011100000000000000000 +000000000000000001000000000001111001000000000000000000 +000000000000000111100111101111000000000001000000000010 +000000001010000000100100000011000000000000000000000000 +000000000000000011100000001011100000000011000000000000 +000000000000000000100000001111101100000011010001000000 +000000100000000000000110001000000000000000000101000000 +000001000110000000000000000011000000000010000000000000 .logic_tile 2 7 -000000000000000111000000000000000000000000000000000000 +000000101110001011010011110000000000000000000000000000 +000000000000001011000010000000000000000000000000000000 +111000000000001000000111001000000000000010000000000000 +000000000000001111000011001101000000000000000000000100 +010010100000001111100000001001100001000000000000000000 +010001000010000011000000001011101000000000010000000000 +000000000000001000000110110101100000000001000000000010 +000000000000000011000011100101100000000000000000000001 +000000000000000000000000000101100000000000000000100000 +000000000000000000000000000101000000000011000000000101 +000000000000100000000000010001011000111100000010000000 +000000000000000000000011010001011010011100000000100001 000000000000000000000000000000000000000000000000000000 -111000000000001001000000000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -010000000000000111000110001101000000000000000001000000 -110000000110000101000000000001000000000001000010000000 -000000000000000000000000000011100000000000000000000000 -000000000000000000000000000111101000000000010000000000 -000000000000000000000000000001100000000000000000000000 -000000000000001111000000000011001010000000010010000000 -000000000000000000000110010000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 -000000001100000000000000000111000000000000000000000000 -000000000000001111000000000101000000000001000001100001 -000010000001000000000000001000000000000000000100000010 -000001000000100000000000001011000000000010000000000011 +000000000000000000010000000000000000000000000000000000 +000000000110000000000000000000000000000000000110000000 +000000000000000000000000001001000000000010000000000110 .ramb_tile 3 7 -000001000000000000000000000000000000000000 -000010010000000000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000100001100000000000000000000000000000000 +000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 7 -000000000000000111100000001011011001010100000000000000 -000000000000001011100000001101101100011000000000000000 -111001000000001111100000010001100001000000010000000010 -000000100000000011000010000001101010000000000000000000 -110000000000000111100111101011011010000000000000000010 -110000000000001011100110101111001000100000000000000100 -000000000000001000000111011101000000000000000000000000 -000000000000000011000110000101101010000000010000100000 -000000000000000011100011001101100000000000000010000000 -000000000000000000000100000001101010000000010000000000 -000000000000001000000111101101001010000000000000000000 -000000000000000011000100000101101011100000000001000000 -000000000000000011100111100101000001000000100000000000 -000000000000000000000000000111101111000000000000000000 -010000000000000000000010001000000000000000000101000100 -100000000000000000000000001011000000000010001100000010 +000010100000110111100000000111100000000000001000000000 +000001000001110000100011110000100000000000000000001000 +111010000000001111100011100111100001000000001000000000 +000000000000001011000000000000101100000000000000000000 +010000100000000011000010110111101001001100111000000000 +010000000000000000100010000000001110110011000010000000 +000000000000000000000000000101001001001100110000000000 +000000000000000000000000000000001100110011000001000000 +000000000000000000000000000001000000000000100000000000 +000000000000000000000000000111001011000000000000100000 +000000000000000111000000000000000000000010000000000001 +000000000000000000000000001101000000000000000000000000 +000000001010000111000000001001100000000001000000000000 +000000000000000000100000000001000000000000000001000000 +000000000000001111000110111000000000000000000100000010 +000000000000000101000010101001000000000010000010000010 .logic_tile 5 7 -000000000000001111000010010011001111110000000000000000 -000000000000000001000110001101011110111000000000000000 -111000100010000000000111001001100000000010000000000100 -000001000000001101000011111111100000000000000000000000 -001000000000000000000010011101000000000000000000000000 -000000000000000000000011000101100000000001000000000000 -000100000000001011100000000011100000000000000000000100 -000001000000000001000000001011100000000001000000000000 -000000001000000101100110100001000000000011000000000000 -000000000000000000000000000111101000000001000000000000 -000000000000000000000111100001000000000000000000000000 -000000000000000000000110100001100000000001000000000010 -000010100000000101100110011011111110111100010100100000 -000001000000000000000010110001111001111100000001100100 -000000000000000001100000001111000001000011000100000000 -000000000000000000000010101001101010000011010000100000 +000000000000000001100110101001000000000000000000000000 +000000000000000000000010000101000000000001000000000001 +111000000000000000000011011101111101000100000000000000 +000000000000100011000110001101111010000000000000000000 +010000000000000111100110001000000000000010000001000000 +010000000000000000100000001011000000000000000000000000 +000000000000001001100110010001111100001100110000000001 +000000000010000001000010000000100000110011000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000001000000000010000000000000000000100100000 +000000000000001011000011001001000000000010001000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010001001000000 +010000000000100000000000001000000000000010000100000000 +010000000001000000010000001001000000000000000001000000 .logic_tile 6 7 -000000000001010000000000010000000000000000000000000000 -000001000000100000000011000000000000000000000000000000 -111000000000000000000000000111111011011100000000000000 -010000000000000000000000001101111111111000000000000000 -010000000001000111100000001111100000000011010000000101 -010000001100000000100011001111101010000011110000000010 -001000100001000000000110100111101101100011110001100001 -000000000000000000000000001101111111000001110000000000 -000000001110000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000010000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000111001000000000000000000100000000 -000000000000000000000110001011000000000010000000100001 +000000000000000000000111101001111111010100000000000000 +000000000000001001000110011001101110100100000010000000 +111000000000001001010110000000000000000000000000000000 +000000000000000011100111100000000000000000000000000000 +001000000000000000000000001001100001000001010000000000 +000000000000000000000011010001001110000001100000000100 +000001000000001000000111000011001001110010100000000100 +000000100000000011000000001101011010110011110000000000 +000001000010000001000000011011011110000011010000000010 +000000100000000111100011101101001000000011000000000000 +000010100010000000000000000000000000000000000000000000 +000001000010000000000000000000000000000000000000000000 +000000000000001000000000001001011111101000000000000100 +000000000011011001000000001101101110011000000000000000 +000000000000000101000000000011000000000001000100100010 +000000000000000101000000000111100000000011000000000001 .logic_tile 7 7 -000000000000000111000000000000000000000000000000000000 -000000001000000000100011100000000000000000000000000000 -111000000000001101000000011011100000000011010000000000 -000000000000001111100010001101001011000011000000000010 -110000000000000000000000000000000000000000000000000000 -010000000000000000000011100000000000000000000000000000 -000000000000000001100110011101100000000001100000000000 -000100000000000111000010000001001000000001010000000010 -000000000000000000000000011111000000000011010000100000 -000000000000000000000011111001101001000011000000000000 -000001100000001011000110101101100001000010100000000000 -000011100000010101000000001011101010000010010000000000 -000000000000000000000000011011100000000001000000000000 -000000000000000001000011000001000000000000000000000000 -010000100000000000000000000000000000000000000100000000 -100001000000110000000000000111000000000010001000000000 +001000001100001001100111111111001111000010000000000000 +000000000000000111000010000101011101000000000000000000 +111000000001000101000011111101000000000000000000000000 +000010000000101101100011100011101001000000010000000000 +110000000000000111100111100101100001000000100000000000 +110000000000000000000110100111101001000000110000000000 +000000000000000101000011111111101000000000000001000000 +000001000000001101000011110101011011100000000000000000 +000000000000001011100000001011011010000011010000000001 +000000000000001111100000001111001010000011000000000000 +000000000000000011100110001011011001101000000000000000 +000000000000000000100011101011001000011000000000000100 +000000000000000011100011111111100000000001100100000000 +000000000000100001100011111101101000000001010000000000 +010000000000000101100110011111100000000001100100000000 +100000000100000000000010001001101000000001010000000000 .logic_tile 8 7 -000000000000000001000010100111101001110000000000000000 -000000000000000000100111001101011110000000000000000000 -111010000000000000000111001111100000000011010001000000 -000000000000000000000000001101101000000011000000000000 -010100000000000000000110100111100001000000110000000000 -010000000000001001000000001101001110000000000000000000 -000000000000000011100000011011111111110000000000000100 -000000000000000000100010101001011100000000000000000000 -000001000000000000000000000001000000000000000010000100 -000010100000000000000011101011100000000001000000000010 -000000100000000011100000001101100000000011010000000000 -000001001110000001000000001101001000000011000000000010 -000000000010000000000000000111101011110000000010000000 -000000000000000000000000001101001110000000000000000000 -010000000000000011100010001000000000000000000100000000 -100000000000000001000000000111000000000010001000000000 +000000000000101001100111110001111111000110100000000000 +000000000001011011000010001001101010001111110000000001 +000000000000000000000010100111101011000110100000000000 +000000000000001001000010011101101011001111110000000000 +000010000000000111000110111011100000000001000000000000 +000001000000001011100110000001100000000000000001000000 +000010000000000111000000000111111000000110100000000000 +000001000010010000010010010111011001001111110000000000 +000001000000001001000111010011101010000110100000000000 +000010000000000011100011110011001000001111110000000000 +000000000010001001100011010011101100000110100000000000 +000000000000000001100011001011111111001111110000000000 +000010100100001000000110001001000000000000010000000000 +000001000000000011000011010011001011000000000000000010 +000100000000000011100111100111000000000001000000100000 +000100000101011111100100000011100000000000000000000000 .logic_tile 9 7 -000000000000001101000010111111001000111101110011000011 -000000000000000101000010100101111000111111110000000001 -111000000000010101000111100011100000000000100000000000 -000000000000101001000110111111001011000000000010000000 -110000000000001000000010111011011010101100000000000000 -110000000000000101000010001001111001111100000000000000 -000000000000000101000111001101100000000001000000000010 -000000000000000101000110000111100000000000000000100000 -000000000000000000000010110101111010111011110010000001 -000000001100000000000111111011011110111111110010000000 -000010000000000000000111101011001010000010000010000010 -000000000110000001000100000001111010000000000000000000 -000001000000000111100011111001000000000010110001000110 -000000000000000000100011110001001110000000110000000010 -010000000001011000000111101111100001000001010100000000 -100000000000101011000000001011101001000001100000000010 +000010000000000000000010000111011001000100000000000001 +000000000000000111000010010001111010001100000000000000 +111000100000000001100111011011000000000001000000100000 +000000001000001001000010111111000000000000000000000000 +010000000000000001000011110101101001110011000000000000 +010000000000000111100010110101111100000000000000000000 +000000000000000101100010000011101111000110100000000000 +000000000000000011100110000101101111001111110001000000 +000000000000001111000000011011111000100000000000000010 +000000000000001011100010001001001101000000000000100000 +000001000000000111000000001111011010110011000000000000 +000000000000001111110011110101111011000000000000000000 +000000000000000011000111010000000000000000000100000100 +000000000000000000100111011101000000000010000001000000 +010000000100000000000000010000000000000000000100000100 +010000000000000000000011000011000000000010000000000000 .ramb_tile 10 7 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000000010000010000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000100000000000000000000000000000 +000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000101000000000000000000000000000000000 +000000100000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000100000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 .logic_tile 11 7 -000000000000000111100110010101100000000001000000000000 -000000000000000000000010001001100000000000000000000110 -111000001110001101000010101101001100101000000000000010 -000000000000100001000010101011111000011000000000000000 -110000000000000000000111101111101011000010000000000000 -100000000000001111000000001101101111000000000000000000 -000000000000000111000010111001111101110011000000000000 -000000000110000011000110000011101010000000000000000000 -000110100001010011000110101011001100110011000000000000 -000001000000100000100010011011101111000000000000000000 -000000100000001001000010000001111011011100000000000000 -000001000000000101000010001001001000111100000000000001 -000001000000001000000111100001111111000010000000000000 -000010100000000101000100001101101001000000000000000000 -010010000000001000000110010000000000000000000100000010 -100001000000000101000010100111000000000010000000000000 +000000000000001000000110001111111111000110100001000000 +000000000000001011000010010011011111001111110000000000 +111000000000000000010110010101011110110011000001000000 +000000000000000111000010001111101100000000000000000000 +010000000000000000000110000001101001000010000000000000 +010000000000000000000010110001111001000000000000000010 +000000001100100101000000001000000000000000000100000000 +000000000110001101100000000101000000000010000010000000 +000000000000000011100000001000000000000000000100000000 +000000000000000000000000000001000000000010000000100000 +000000000000100000000010001000000000000000000100000010 +000000100000010101000100001011000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000001000000000010000101000000000010000010000000 +010001000000001001100111010000000000000000000101000000 +100000000000010001000011001101000000000010000000000000 .logic_tile 12 7 -000000000000000000000011011001100000000001000000000010 -000000000000000000000010000111000000000000000000000000 -111000000101011111000011011001101010110011000000000000 -000000000000001011000010000011111001000000000000000000 -110000000000000000000010001001100000000001000000000000 -100000001110000011000100000101100000000000000000000100 -000000000000000111000000000000000000000000000110000000 -000000000000000000010000001011000000000010000000000000 -000010000000000000000110000000000000000000000100000000 -000001000000000000000100001001000000000010000001000000 -000010100000000111100000000000000000000000000101000001 -000000000000000000100000000101000000000010000000000000 -000000100000000000010000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000100 -010000000000010011100000000000000000000000000000000000 -100000000010000000100000000000000000000000000000000000 +000000000000010101000010101011000001000000000010000000 +000000000000100000100110111001001101000000010000000000 +111100001110000000000000011111000000000001000001000000 +000000001110001111000011110111000000000000000000000000 +110000001110000000000000001000000000000000000100000000 +000000000110000000000000000011000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000010100000000000000000001111000000000010000000100000 +000010000000001011100000000000000000000000000100000000 +000001000000000111000000000011000000000010000000100000 +000010000000001000000000000000000000000000000100000000 +000000000000001101000011110001000000000010000000000000 +000000000001000111100000000000000000000000000100100000 +000000000000100000000011011001000000000010000000000000 +010010100000101000000000000000000000000000000100000000 +010000001001010011000000001001000000000010000000100000 .io_tile 13 7 000000000000000010 000100000000000000 -000000000000000000 -000000000000000001 -000010000000010010 -000011110000010000 +000000000000010000 +000011110000000001 +000000000000000010 +000000000000110000 001000000000000000 000000000000000000 000000000000000000 -100100000000000000 -000000000000000000 +000100000000000000 +010000000000000000 000000000000000000 000000000000000000 000000000000000001 @@ -1888,237 +1888,237 @@ 000000000000000000 000000000000000000 .logic_tile 1 8 -000000000000000000000110001001100000000011000000000000 -000000000000000000000000000101100000000000000000000000 -111000000000000001100110001101100001000010000000000000 -000000000000000000000000000001101010000000000000000000 -010000000000101001100111110001000000000001000000000000 -110000000001010001000010000101100000000011000000000000 -000000000000001001100110000101111110001100110000000000 -000000000000000001000000000000010000110011000000000000 -000000000000000000000000000111000000000001000100000000 -000000000000000000000000000101100000000011000100000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000100000010 -000000000000100000000000010000000000000000000000000000 -000000000001010000000010100000000000000000000000000000 -010000000000000000000110100000000000000000000101000000 -100000000000000000000100000101000000000010000100000000 +000000000000000000000110000101100000000000001000000000 +000000000000000000000011010000000000000000000000001000 +111000000000011001100110010000000000000000001000000000 +000000000000000001000010000000001011000000000000000000 +010000000000000000000000000000001000001100111100000010 +010000000000000000000010110000001101110011000010000000 +000010000000000000000000000000001000001100111100000000 +000000000000001101000000000000001001110011000010000000 +000000000010000000000000010000001001001100111100000000 +000000000000000000000010000000001000110011000000000001 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000000000 +010000000000000000000000000000001001001100111100000000 +100000000000000000000000000000001001110011000000000001 .logic_tile 2 8 -000000000000000000000111010001000000000000001000000000 -000000000000000001000111000000100000000000000000001000 -111000000000011011100110100101000001000000001000000000 -000000001010000011100100000000001000000000000000000000 -110000001110001011000000000101101001001100110000000000 -110000000000001111000010000000001010110011000000000000 -000000101010001011100010000001100000000010000000100000 -000001000000000101100000000011000000000000000000100000 -000001000000000111000000000001100001000011000011000000 -000010100100000000100000000001101011000011010000000001 -000000000001010000000000000000000000000000000000000000 -000000000000101111000000000000000000000000000000000000 -000000000000000000000111100101001011000010000001000000 -000000000000000000000000001001011100000000000000000000 -000000100000000000000000011000000000000000000100000010 -000000000000000000000010011111000000000010000000000000 +000000001000000001100110110001001111000100000000000000 +000010000000000000000011100101101000000000000000000000 +111000001010001101100110111001100001000010000000000000 +000000000000000101000010100001101001000000000000000000 +110001000000000111100000000000000000000000000000000000 +110000100000010000100000000000000000000000000000000000 +000000100001010000000000001011001100010000000000000000 +000000001110100000000000000111101000000000000000000010 +000000000000001000000000000000000000000010000010000001 +000001000000000001000010111001000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000001000000000111001000000000000000000100000001 +000000000000001101000100001001000000000010000000000000 +110000000011010000000000001000000000000000000100000001 +010000000000001101000000000001000000000010000000000000 .ramt_tile 3 8 -000000000000100000000000000000000000000000 -000000000001000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000110000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 .logic_tile 4 8 -000000000001110000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -111000000101000000000000000101000000000001000000000000 -000000000000100000000000000111000000000000000000100001 -110001000001000011000000001101101011000010000000000000 -010010000000000011000011110101111111000000000001000000 -000000000100011001100111110011011101100000000000000000 -000000000000000011000010000101001101000000000000000000 -000000000000001000000000001000000000000000000100000000 -000000000000000111000011101101000000000010000000100000 -000000000000000000000010001000000000000000000100000000 -000000000000001111000111110101000000000010000000000000 -000000000000001000000000001000000000000000000100000000 -000000000000000101000000001011000000000010000000000000 -000000000000100000000110001000000000000000000100000000 -000000001110001111000000000111000000000010000000000000 +000000000000001011000110001001000001000001010000000000 +000000000000000001100010010011101110000010010000000000 +111000000000001001100010011011000000000001000000000000 +000000000000001111000110001101100000000000000000000010 +010000000000101111000010011001111010010000000000000000 +110000000001000011000011001001001000000000000000000010 +000000100001000011100111011101011010111001110000000000 +000000000000000001000011011011011010110101110000000000 +000000000000100111000000000001101011111001110000000000 +000000000001010000100010001111111010110101110000000000 +000100000000001001000110101001101110010100000000000000 +000000000000000001100100000011001100011000000000000000 +000000001100000101100011100111001111010100000000000000 +000000000000001111000010011001011110011000000000000000 +000000001100000111000000000000000000000000000100100110 +000000000000000000100000000001000000000010000000000000 .logic_tile 5 8 -000000000000001101000010011011000001000000100000000001 -000000000000000111000010000111001011000000000000000000 -111000000001010000000000011101100001000000010000000010 -010010101000100000000011011011001100000000000000000000 -000001001110000000000110100111000001000000000000100000 -000000100000000000000010101101001111000000010000000000 -000000000001000011000010010101100001000000010000000000 -000000001010000000000010001001001100000000000000000000 -000000000000000111100000000111000001000000000000000000 -000000000000001001000000001111001111000000010000000000 -000000000000010111110111101011000001000010000000000010 -000000000000101001000000000111001011000011000000000000 -000000000000001000000010011111000000000000000000000000 -000000000000000101000110100011101000000000010000000000 -010000000000001111000111100001011000111100100110000000 -100000000100000101100000001101111000111100110100000010 +000010100000001001100000001001000000000001000000000001 +000001000000001111000000001011000000000000000000000000 +111000100000010111100111101001000000000000000000000000 +000000000000000000100100000001000000000001000000000000 +011000000000001000000010001001000000000001000000000100 +110000000000001111010000001101000000000000000000000000 +000000000000000111100111000000000000000000000000000000 +000000000000000000100100000000000000000000000000000000 +000000000000000000000000001011100000000001000000100000 +000000000000000000000000001011000000000000000000000000 +000010100000000001100000011001000000000001000000000100 +000001000000000000000010010001000000000000000000100000 +000000000000100000000000001101000001000000100000000000 +000000000001000000000000000101001101000000000001000000 +000000000000000000000000001000000000000000000100000100 +000100000000000000010000001001000000000010000001000000 .logic_tile 6 8 -000000000000000000000010000111011000000010000000000000 -000000000000000000000111001101011100000000000000100000 -111000000000000000000111101111000000000011000001000000 -000010000000100000010000001011101011000011010000000000 -010000001110000111100010000011100000000000000000000001 -010000000000000000100010100101000000000001000000000001 -000000000001000000000110000101000000000000000001000010 -000000001100000000000000001001100000000001000000000001 -000000000000000000000111111001000000000000100010000001 -000000000000001001000110001111101101000000000000000100 -000000000000000111100110000101100000000001000000000010 -000000000000010000100000001011100000000000000000000000 -000000000000000000000111101101011110111100000000000000 -000000000000000001000100001101111111011100000000000100 -000000000000000000000010010000000000000000000100000000 -000000000000000000000010011101000000000010000000000000 +000010100000001000000000001101011001101100000000000000 +000001000100001111000000001011101000001100000000100000 +111000000000000101000111011001111010001100000000000000 +000000000000001111100010010011101100001000000000000000 +010010100000000000000011011111111000101100000000000000 +110001000000000000000111001101001000001100000000000000 +000000000000001101000111001111011110110001010000000000 +000001000010000111100000000101001011110000000000000000 +000000000000000101100000011001111000101100000000000100 +000000000000000000000010100111101101001100000000000000 +001000000000000101100110101001111011101100000000000000 +000001000000000000000010000111101101001100000000000000 +000000000000000101000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000100000101100000000000000000000000000100000000 +000000000000000001000000001111000000000010000000000100 .logic_tile 7 8 -000000001010000111100000001001011110000100000000000010 -000000000000001001100011101001001001000000000001000000 -111000000000001000000111010011000000000000110001000100 -000000000000100001000011010101101011000000100011000010 -110000000000000000000010010000000000000000000000000000 -110000000000001001000111000000000000000000000000000000 -000000000000101000000110011011101000000010000001000000 -000000000001010011000011110011011000000000000000100100 -000000001100000111000000010111000000000000110000100000 -000000000000000000100010101101101000000000100000000000 -000000000000000001000000001101100001000001010000000000 -000000000000010111000000001101101010000001100000000000 -000001000000000000000010011001100000000011000001100000 -000000100000000000000110100001100000000010000000000001 -010000000001010000000000000000000000000000000100000000 -100000000000000111000000000011000000000010001000000000 +000000000000000101000110010000000000000000000000000000 +000000001100001011100011010000000000000000000000000000 +000000000001001001100011100011001111000100000000000000 +000010001110101111000010010001111000001100000000000000 +000000000000000101000111110101000000000010000000000000 +000000000000001011100111000101100000000011000000000000 +000010000001000000000111010001101011010111110000000000 +000000000000001001000010111111111010011011110000000000 +000000000000001111100000011011001011000100000010000000 +000000000000000101000011100001001011000000000000000000 +000000100000000000000111000001000001000000100000000000 +000001000000000000000100000011001001000001000000000000 +000000100001010000000110110011100000000000000000000011 +000000000000000000000011101001100000000001000000000000 +000000000111010000000000000011000001000000010000000000 +000000001000000000000000001001001011000000000000000000 .logic_tile 8 8 -000001000000000011110000011001100000000001000001000000 -000000000000001001100011110011000000000000000000000000 -111000100000001001000010010001000000000000000000000011 -000001001110000011100011000101100000000001000000000010 -110000001100000011100010111011000001000010000000000000 -010000000000001001100011011001101000000000000000000001 -000000000000000001100110011011000000000000000000000000 -000001000000000011000011011001000000000011000010100010 -000000000000001000000111110111011011001100000000000000 -000000000000001011000110100001101101001000000000000000 -000000100000001000000000010101100000000001010100000010 -000001001010000001000011000001001011000001100000000000 -011001000000000000000000000111100000000001010100100000 -000000000000000000000011100101101011000001100000000000 -010000100000000000000000010101100001000001010100000000 -100001000000000000000010000001101011000001100000000001 -.logic_tile 9 8 -000101000011011011100010101101001100101000000000000010 -000100000000001111000111100101101001011000000000000000 -111000000100110111100111111011100000000001000011000001 -000000000001110001000111000001000000000000000000000000 -110000000000100111100111010101000000000000000000000001 -010000000001010011100010111101000000000001000010000001 -010000100000000011000011011001101011110011000010000000 -000100001000001001000011100011101001000000000000000000 -000001000000001111000000000001000001000000100000100010 -000010000000001101100011100001101011000000000000000000 -000011000000000111100000001011000000000001000000000010 -000000001010000001000000001101000000000000000000000000 -000000001110000111100000001101100000000001100000000010 -000000000000000000000000000001001110000000110000000000 -010000100001010000000000000000000000000000000100000000 -100001001110100000000000000001000000000010001001000000 -.ramt_tile 10 8 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000111000010011011011101000110100000000000 +000000001000000011000011001001101110001111110000000000 +111000100000001111100010010101101111000110100000000000 +010000000000000111100111011101101000001111110000000000 +110010000000010001100010001111001001000110100000000000 +010001000000001001000010111011011110001111110000000000 +000000000001010001000110010101001010010000000000000000 +000000001010101001100010000011101111110000000000000000 +000011101100000101000010011001111110000110100000000000 +000010100000000000000011010011111000001111110000000000 +000010000000000001000000011001001110000110100000000100 +000100000000001001100010001101111010001111110000000000 +000000000000000011100111011101100001000000010000000000 +000000000010000011000110000001001010000000000000000000 +010000000000000111000000000000000000000000000101100000 +100000000000000000100011010001000000000010000000000000 +.logic_tile 9 8 +000000000000100001000111111011011000000010000000000000 +000000000000010111000011110001101110000000000000000000 +111000000010000111000111111001001001110011000000000000 +000000000000001001000110110111011101000000000000000000 +110000000100001001100000000101111011100000000001100000 +010000000000101111000000000101011001000000000000000000 +000000000000001001100111110101100000000001000000000001 +000000000000010001000110000111000000000000000000000000 +000000000000000111100111110001011101110011000000000000 +000101000000000000000011001111001011000000000000000000 +000010100000000001000110001111111100000010000000000000 +000001000000001001000011001001111100000000000000000000 +000100000010101101100010001001001111110011000000000000 +000000000000010001100010000011111111000000000000000000 +010001000100000000000010100000000000000000000100000010 +100011100000001111000100000101000000000010000000000000 +.ramt_tile 10 8 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000010000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +001000000100100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000001000000000000000000000000000000000000 +000010101110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000110000000000000000000000000000000 .logic_tile 11 8 -000000000000000111100111100011011100000100010000000000 -000000000000000001100110001001111000100010000000000000 -111001000000000001000000010101101101000000000000000000 -000010000000001001100011001111001111100000000000000000 -110000000000000001100111100011100000000000000000000000 -100000000000000000000111011011000000000011000000000000 -000000100001010111100010001101011000000010000000000000 -000001000010101001100000000011111101000000000001000000 -000010100001011111000110110101101011011100000000000000 -000001000000100001100011100101011100111000000001000000 -000000000000001101100111000111011110010111110010000000 -000000000000001111000100001001011100100111110000000000 -001000000000000001000000011001011111000010000001000000 -000000000000000000000010000001001011000000000000000000 -010000000000001000000010011000000000000000000101000010 -100000000000000101000011110001000000000010000000000000 +000000000010001011000111010001101100110011000000000000 +000000000110000011100011010011111000000000000000000100 +111000101001000101100110001001111001110011000001000000 +000101000000101101100010111111101010000000000000000000 +110000000000001000000000010111000000000000000000000000 +110100001100001011000010001001000000000001000001100000 +000000000000001011000000001101111100000110100001000000 +000100000000001011000000000001001010001111110000000000 +000000000000011000000011000111000000000000000010000000 +000000000000100011000000001001100000000001000001000000 +000000000010101000000000000111100000000000000000000000 +000000000001010101000000001001100000000001000000000100 +000001000000001000000111110001000000000000000000000011 +000010100000000011010111111001000000000001000000000010 +010001000000100000000010000000000000000000000100000000 +100000100001010000000010001101000000000010000001000000 .logic_tile 12 8 -000000100000000011000011101001001110110011000000000000 -000001001110000000100010101101101101000000000001000000 -111000100001000000000000000101111001010111110000000000 -000000000000000111000000001101001110100111110000100000 -110010100000000000000000011000000000000000000110000000 -110001000000000101000010000111000000000010000000000000 -000010000001000101000110000000000000000000000110000000 -000000001000000000000000000101000000000010000000000000 -000000000001001000000011010000000000000000000100000010 -000000000000101111000110001001000000000010000000000000 -000000000000000000000000000000000000000000000100100000 -000000000000000000000000001011000000000010000000000000 -000000000000000000000111100000000000000000000110000000 -000000000000001111000000000011000000000010000000000000 -010000101101001111100000000000000000000000000110000000 -100000001010000111000000000001000000000010000000000000 +000100000000000000000000001011000000000000000000100000 +000100001110000000000011100001100000000001000000100000 +111000000000001000000000000011101101000100000000000010 +000000000000001111000011110011001101100000000000000000 +010000000000000000000011101011101110110000000000000010 +110000000000000000000000000011101110000000000000000000 +000000000000000000000000010011101111000100000000000010 +000000000100000000000011010111001101100000000000000000 +000001001000001101100011101011111011010000000000100000 +000000001100000111100000001111011001001000000001100000 +000000000000001111000111000000000000000000000110000000 +000000000000000011100000001011000000000010000000100000 +000000000000001101100000000000000000000000000100000010 +000000000000000111100000001001000000000010000000000000 +010100001100000000000111101000000000000000000100000010 +010000000000000111000100000111000000000010000000000000 .io_tile 13 8 -000000000000000010 +000001111000000010 +000000001000000001 000000000000000000 -000001111000000000 -010000001000000001 -000000000000000101 -000000000011001000 -001100000000000000 +000000000001100001 +000000000010000101 +000000000011000000 +001101111000000000 000000000000000000 000000000000000000 -000010110000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -.io_tile 0 9 000000000000000000 +.io_tile 0 9 +000001110000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000001000 +000000000000000000 000100000000000000 000000000000000000 -000010000000000000 -000111010000000000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2126,224 +2126,224 @@ 000000000000000000 000000000000000000 .logic_tile 1 9 +000000000000001000000011100000001000001100110100000001 +000000000000000001000000000000001000110011000001010000 +111000100000001000000000000000000000000000000000000000 +000001000000000001000000000000000000000000000000000000 +010000000000000000000011111101000000000000000100000001 +110000000000000000010110000001100000000011000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +001000000000000000000000000001000001001100110100100000 +000000000000000000000000000000101000110011000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010000000000000000010000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 2 9 -000010000000000001100010110000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000000000010011001101010010100000000000000 -000000000000001101000111101001011100011000000000000000 -111000000000000000000111101111001001010100000000000000 -010000000000000000000100000101011100011000000000000000 -000000000000000111100011100000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000111100000001001011110000101000010000000 -000000000000000000000011101001001001000110000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000100001000000000001000000000000000000100000010 -000000000000000011000000001101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 +000001000000001000000000000101100000000000001000000000 +000010100000000011000000000000000000000000000000001000 +111000000000000011100110000000000000000000001000000000 +000000000000000000100000000000001010000000000000000000 +110000000000001000000000010000000001000000001000000000 +110000000000000011000011010000001010000000000000000000 +000000000001000111100000000000000000000000001000000000 +000000000000100101100000000000001011000000000000000000 +000000000000001111000000000000001000111100000010000000 +000000000000000111000000000000000000111100000000000000 +000000000000000101100000001011000001000010100000000100 +000000000000000000000000000011001001000010010000000000 +000000000000000000000111100011000000000000000010000001 +000000000000000000000100000001000000000011000000000000 +010000000000000000000000001000000000000000000100000000 +100000000000000000000000000001000000000010000000000000 .ramb_tile 3 9 +000010100000000000000000010000000000000001 +000001000000000000000011000000000000000000 +000010000111010000000000000000000000000010 +000001000000100000000000000000000000000000 +010000001110000000000000000000000000000000 +010000001110000000000000000000000000000000 +000000100111010000000000000000000000000010 +000001000100100000000000000000000000000000 +000000000000000000000000000000000000000010 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000100000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000010 .logic_tile 4 9 -000000000000001001100010011001000000000000100000000000 -000000000000001111000011111101001011000000000000000010 -000000000000000101000000011001100000000001000000000000 -000000000000000000100011110011100000000000000000000001 -000000000000001011000111010111000000000000100000000000 -000000000000000001000110000001001010000000000000000000 -000010100100000011000111001011001111101011110000000000 -000000000000000000000000000001111110011011110001000000 -001010101110000011100000010011100001000000100000000010 -000001000000000111100011100011101010000000110000000000 -000000000000001101100010001111100000000001000000000010 -000000000000000101000100000011000000000000000000000001 -000000000001000000000110101101001101111100000000000000 -000000000000001001000100000011001010011100000000000010 -000001000000001001000000000001000000000000100000000000 -000000000000001101100000001101101001000000000000000010 +000000000000000000000000000000011000001100110000000000 +000000000000000000000000000000011100110011000000100000 +111000000000000001000111010000000000000000000000000000 +000000000000100000000110100000000000000000000000000000 +010000000000000000000111101111100001000010100000100000 +110000000000000000000100001001001010000010010000000100 +000010100001000001100000001000000000000010000000000010 +000001001000000000000000000001000000000000000001000000 +110000000000000000000000001000000000000000000100000000 +100000000000000111000000000111000000000010000000000000 +000010100000100101000000001000000000000000000100000000 +000001000001000000000000000011000000000010000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +010000000000010101000000001000000000000000000100000000 +100000000000100111010000000011000000000010000000100000 .logic_tile 5 9 -000000000000000111100010100001101001000011100000000000 -000000000000000101100000000001011111000011000000000100 -000010100000001001100000000001001110011100000000000000 -000000000100001101000000000101001100001100000000000001 -000000000000001000000110001001001011000110100000000000 -000000000000001001000100000101001111001111110000000001 -000000000000010001100000010111011110000110100001000000 -000000000000000001000011101111111010001111110000000000 -000000000000000111000000011101100001000000010000000010 -000000000000000000100010100101101111000000110000000000 -000000000000100111000011111101000001000000000000000000 -000000001001000000100010100011101101000000010000000000 -000000001100000011000111111101101101001111110000000000 -000000000000000000000010101011001010000110100000100100 -000010100000000000000111011011011000001111110000000001 -000001000000000000010110101111101100000110100000000100 +000000000000000001000110000001100000000001000100000000 +000000000000000001000111001001100000000011000001000000 +111000000000000001100111101101000000000001010100000000 +000000000000001001100010010001001101000010010001000000 +110000000000001001100111111101000000000001010110000000 +100000000000001111100110010101101111000001100000000000 +000000000000000001000010001001000001000000010101000000 +000000000000000000100100000111001100000000110000000000 +000000000000001000000011101101011001111110000110000000 +000001000000001111000000001101011001111100000000000000 +000000000001010101100011101101000001000000010110000000 +000000000000010001000100001011101100000000110000000000 +000001000000000000000011101001001100101000110100000000 +000000000001000000000000000111111100000000110000000001 +010000000000000101100000000011111110101000000100000000 +010000000000010001000000000001001101100100000000000100 .logic_tile 6 9 -000000001110000000000110010111011001000110100000000000 -000000000000000000000110001001101111001111110000000010 -000010100000000011100110000101101010001100000000000010 -000001000000000000000000000111001010101100000000000000 -000000000000001001000110000101000000000001000000000000 -000000000000001001000010010111100000000000000000000000 -000000000000000001100000001111000000000000100000000000 -000000000000000101000000001111101100000000000000000000 -000000000000000101100110000011111001000100000000000000 -000010100000000111000000001101111101001100000000000100 -000010100000000000000010110011000000000010000000000000 -000000000000000000010010101101001101000011000000000001 -000001000000001101100111101001000000000010000000000000 -000010100000000101000010101111100000000000000000000000 -000000000000000000000010101101000000000011100010000000 -000000000000000000000010010101101001000011000000000000 +000010000000000011100010011111000000000010000000000100 +000011000000000000100011101011000000000011000000000010 +111000000000001000000010100000000000000000000000000000 +000000000000001111000110010000000000000000000000000000 +010001000000001000000110001001101100000000000000000000 +010010100000000011000000001111101011100000000000000100 +000100000000100111100010100001111010000100000000000000 +000000000000000000010000000101011001000000000000000000 +000000000000001111000011101001011100000100000010000000 +000000000000000101000000001001011100000000000000100000 +000000000000001000000010000011100000000010000000000000 +000000000000000101000110011111000000000000000000000000 +000000001110001000000000001000000000000010000000000000 +000000000000000101000011110101000000000000000000000101 +000000000000000000000110000000000000000000000100000001 +000000000000000000000000001011000000000010000001000000 .logic_tile 7 9 -000000000000000001100010110001101100000100000001000000 -000000000000000101000010001011011010000000000000100000 -000010101100001011100110000101100000000000000000000100 -000001000000000111000000001011000000000001000000000000 -000010100000000001000000000101100000000001000000000000 -000001000000000000000000001011100000000000000000000000 -000000000000101011100011000001000000000001000001000000 -000000000000001111000110001001100000000000000000100100 -000000000000101000000111111111011000111011110000000001 -000000000001001101000011111101111100111111110000000000 -000010100000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000100000000001000000000010101100000000000010000000000 -000100000000001001000011100001001001000000000000000000 -000000000000100000000000000001011000110001010000000000 -000001000000000000000000000001101110110000000000000000 +000000100000000000000011010101100001000011000000000000 +000001000000001001000010000001101011000001000000000000 +111010000010000101000010011111100000000000000000000000 +000000000000000101000111101011001011000000010000000000 +110010000000001000000011110001111000110010100000000000 +110001000000000001000111010111101101110011110000000000 +000000000000001000000110011001011001001010000001000000 +000000001010000001000010000011101010001001000000000000 +000000000000000011000000011111000000000000100000000000 +000000000000000000100011010011001011000000000001000000 +000001000010001001100011100011000001000000100000000000 +000000100000001011000000001001101110000000110000000000 +000110100000000101100011100000000000000000000100000000 +000101000010000111000000000001000000000010000000000000 +000000100000001001000000000001100000000010000110000010 +000000000000000101100000000011101010000011000001000000 .logic_tile 8 9 -000000000010000001000011100011101110110000000000000001 -000000000000001111100110110111101010110001010000000000 -000000100001011001100011110011001010001001000000000000 -000100000000000111000010000001111001000101000000000000 -000000000000000001000010001111100000000001000001000010 -000010100100001101100000000111000000000000000000000001 -000010001110000000000000001001001101110000000000000000 -000001000000000000000011010001001111110001010001000000 -000000000000000011000000010101100000000001000000100000 -000000000000001011000011111001100000000000000000000000 -000000000000000000000010010111101010001001000000000000 -000000001010000101000111010011111001000101000000000000 -000000000110000001100000001111000001000000000000000000 -000000000000001011000011000001101100000000010000000000 -000010100000000011100111101001111100001100000000100000 -000000000000010001100011001001111010001000000000000000 +000000000000001101100000010111000000000000000001100000 +000000000000001111000010000101100000000001000000000000 +111000100000000101100110001001100000000001000000000000 +000000001111010000100011000001100000000000000000000000 +110000000000000111010110101111000001000000100000000000 +010001000000000000000100000111001111000000000000000000 +000000000000000000000000000011001001000110100001000000 +000000000000000101000000000001011001001111110000000000 +000000101010000111000000010001111010010000000000000000 +000000000000000111000011011111101011110000000000000000 +000000001110000000000000000000000000000000000000000000 +000010000000000000010000000000000000000000000000000000 +000000000000000000000110111111100000000000000000000000 +000000000000000001000010100111001000000000010000100010 +010000000000000011100010000000000000000000000100000000 +100000000000001001100000000011000000000010000000000000 .logic_tile 9 9 -000000000000100011100000001111101111101000000000000000 -000000000000011011100011000111001000011000000000000000 -000000000000101111010011111111101000101000000000000000 -000000000000010111000011001011111000011000000000000000 -000000000000001001100010000101100001000010000000000000 -000000000000110001000111000111101010000000000000000100 -000000000000001001100111110001100001000000000000000000 -000000000100000001000110000011101001000000010000000000 -000000000000001011000110100001111101000110000000000000 -000000000000000111000100000001001011001010000000000000 -000000001001010111000010000111001100101000000000000000 -000000000000100000000100001011101000011000000000000000 -000010001100001011000111000111001011101000000000000000 -000000000000000111100110001101111000011000000000000000 -000000000001110111000010001101000000000010000000000000 -000000000001001111100100000101001010000000000000100000 +000000000000000001000011111011111001010000000000000000 +000000000000000000100011001001111100000000000001000000 +111011100000001011000010000101100000000000000001000000 +000011100001000001100111110101101111000000010000000000 +110000000000010000000000001111000001000000110000100001 +010000000000100111000000001001101000000000000000000001 +000000000000101000000011101011011100000100100000100011 +000000000010010001000000000101001101000000000000000000 +001000000001110111100011110011001000001100110000100000 +000000000001110111000110110000110000110011000000000000 +000000000000000000000011001001011110000000000000000101 +000000000000001001000000000001111000110000000000000000 +000000000000000000000011100000000000000000000100000010 +000000000000000000000010011111000000000010000010000000 +010000000000000000000010000000000000000000000100000010 +100001000000000000000100000011000000000010000001000000 .ramb_tile 10 9 -000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000001010000000000000000000000000000000 -000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001100000000000000000000000000000 -000000000001100000000000000000000000000000 +000000000001000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 9 -000000000000000001000000010111011110101000000000000000 -000000000000001001000011110011111101011000000000000000 -111000000010001000000110000101111100001101010000000100 -000000000000001011000000000101001000001111110000000000 -010000000000001111100010000011111100000010000000000000 -010000000000000001100000000001011010000000000000000000 -000000000010101001110110001011000000000001000001000000 -000000000001000001000000001111100000000000000000000000 -000000000000000111000000000111100001000000100000000000 -000000000110000111000011111101001101000000000000000000 -000000000000001101100110100101001101001001000000000000 -000010000000000111000010010011011111000101000000000000 -000000000000000000000000001001100001000010100000100000 -000000000000001001000011000001101100000010010000000000 -010000000000000000000000010101100001000001010100000000 -100000000000001001000011100111101010000001100000000000 +000000000000000101000111011001100000000000100001000000 +000000000000000000100010001101101001000000110000000000 +111000000000000000000010110011111001000110100001000000 +000000000000000000000011110001111011001111110000000000 +110000000000000011000110010101000000000010000000000000 +010000000000000000000011111101101110000011000000000000 +000011000000100101000011001111111100000100000000100001 +000000000001001011000011000101101001100000000001000000 +000001000000010000000011000000000000000000000100000100 +000010000000100000000010010101000000000010000000000000 +000000000000000011100000000000000000000000000110000000 +000100000000000001100010001011000000000010000000000000 +000000000000000101100000001000000000000000000100000101 +000000000000000000000000000101000000000010000000000000 +010001000000000000000011001000000000000000000100000001 +100000000000000000000100000011000000000010000000000001 .logic_tile 12 9 -000000000000001000000110010001001101011111110000000000 -000000000000000001000011000101101000111111110000000000 -111000000000000111010110000001000001000000100000100000 -000010001000000011000000001101001000000000110000100000 -010000000000000101000000000011011000110011000001000000 -010000000000000000100011000111111100000000000000000000 -000010000001010011100000011011011011110011000000000000 -000000000000001011100010001111101011000000000000000000 -000000100000001011100010011000000000000010000000000000 -000000000000001111100011001111000000000000000000000000 -000000100001000011100000001000000000000000000100000000 -000001000000000011100000000011000000000010000001000010 -000010100000000111000000001000000000000000000110000000 -000001001100000000100000000011000000000010000000000000 -010000000000000000000111101000000000000000000100000000 -100000000110000000000111000101000000000010000001000000 +000000000000001000000111100011111111010000000000000110 +000000000000001011000100000001111110001000000000000000 +000000000000000000000000000001100000000001000000000000 +000000000000000000000010101101100000000000000000000000 +000010100000000000000111111001011010010000000000100010 +000001000000000000000110001101101111001000000000000000 +000000000000001000000011001001011111010010000000000100 +000000000000001011000100001111001101000000000000000000 +000000000000000011100011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000111100000000000000010000000000000 +000010101000000000000100000011000000000000000000000000 +000000000000000000000011100011011011000011000000100000 +000000000000000000000000001101101011110000000000000000 +000000000000000111100000001001011111000100100000000010 +000000000000000000100011011111001101000000000000000000 .io_tile 13 9 -000001111000010000 -000100000000000000 000000000000000000 -000000000000000000 -000000000000000100 -000000000000001100 000100000000000000 000000000000000000 000000000000000000 +000000011000000100 +000000001000000100 000100000000000000 -000000000000000010 -000000000000010000 +000000000000000000 000010000000000000 -000010010000000001 +000101010000000000 +000000000000100010 +000000000000010000 +000000000000000000 +000000000001100001 000000000000000010 000000000000000000 .io_tile 0 10 @@ -2364,209 +2364,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 10 -000000000000000011100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -111000000000000001110110011111011001000010000000000000 -000000000000000000000010000001111001000000000000000000 -010000000000000000000111100000000000000000000000000000 -110000000000000000010100000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001111000000000010000000000000 -000000000000000101100000010000000000000000000000000000 -000000000000000000100011100000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000011000000000010000000000000 -000000000000000000000110000000000000000000000100000000 -000000000000000000000000001011000000000010000000000000 -000100000000000000000000000000000000000000000100000000 -000000000000000000000011000001000000000010000000000000 +000000000000000001100000000001111110010000000001000000 +000000000000000000000011101001011010000000000000000000 +111000000000000011110000000001111011000010000000000000 +000000000000000111100000001011011100000000000000000000 +010000000000000001100010000011011000000000000000000000 +110000000000000000000111101001001010100000000010000000 +001000000000000011100011101000000000000010000000000000 +000000000000000000100010111101000000000000000000000100 +000000000000000101100111001000000000000010000000000000 +000001000000000000000000001011000000000000000000000100 +000010000000000011100000001001000000000000000000000000 +000000000000000000100010010001101000000000010010000000 +000000000000100000000000001000000000000000000101000000 +000000000001010000010000000101000000000010000000000000 +010000000000000000000000010000000000000000000101000000 +100000000000000000000010111101000000000010000000000000 .logic_tile 2 10 -000000000000000111100111110000000000000000000000000000 -000000000000000000100111110000000000000000000000000000 -111000000000001111000110001001000000000000000001000000 -000000000000000011100011100101100000000001000000000000 -010000000000000001100010101001111100000000000000000000 -110000000010000000000100000011011100100000000010000000 -000000100000000000000000010000000000000000000000000000 -000001000000000001000010110000000000000000000000000000 -000000000000001000000000001001100001000010100000000000 -000000000000001011000000000001101101000010010000000100 -001000000000000000000010000001011001110000000100100000 -000000000000001001000010011001111010111001010000000000 -000000000000000000000000000101100000000001000100000000 -000000000000000000000000000101100000000000000000000000 -110000000000000000000000000101000000000000000100000000 -000000000000000000000000000001000000000001000000000000 +000000100000000011100011110001000000000010000001000000 +000000000000001111000011000001100000000000000000000000 +111010000001011001000000010001100000000000000001000000 +000000000000000111100011111011100000000001000000000000 +110000000000000011000000010001101010101001010100000000 +110000000000001001100011000011001010100101010000000000 +000000000001000000000000010001101111101001010100000000 +000000000000100000000011001011001111100101010000000000 +000000000000000000000111000101011010001100110100000000 +000000000000000111000100000101011001101100100000000000 +000000000000000000000000000000000000000000000100000000 +000000000110000000000000000001000000000010000000000000 +000000000000000011100111001000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +010010100000000000000000001111000000000011000100000000 +100001000000000000000011111111001011000010010000000000 .ramt_tile 3 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000010000000000000011001101000000000000 +111000000000001000000110101000000000000000 +000000010000000101000000001101000000000000 +000000000000000000000110110000000000000000 +000000000000000011000010101001000000000000 +000000000000000101100000010000000000000000 +000000000000000000010010100101000000000000 +000000001001110000000000001000000000000000 +000010100000000000000000000101000000000000 +000000000010000000000000001000000000000000 +000000000000000000000000001001000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000000001000000000000 +110000001110000111100000000000000000000000 +110000000000000000110000001101000000000000 .logic_tile 4 10 -000000000010000111010111111001101101100000000000000000 -000000000000001001000111110001001100000000000010000000 -111000100000000111100010100101000000000010000000100000 -000001000000000101100011001011000000000000000001000000 -010000000001000101000110001111000000000000000000000000 -010000000000000000000010010101000000000001000000100000 -000000000001000011110011000011000000000001000010000000 -000000000000100000010100001001100000000000000000000000 -000000000000000101100110010101001111010000000000000000 -000000000000001111000011010011111011110000000000000000 -000000000000000001000000001111100000000010100010000000 -000010000000001001000000001111001000000010010000000000 -000000000000000000000000010001111100110000000100000000 -000000000000000011000011100001101011111001010010000000 -110000000000000111100000011001100000000000000100000000 -000000000000001001100010100111100000000001000000100000 +000000000001010001100111111000000000000010000000000000 +000000000000100001000111011101000000000000000000000100 +111000100000000001100000010111011011101000000000000000 +000001001000000101000011000011001110011000000000000000 +110001000000000101000111000000000000001100110000000000 +110010100000000101100010110000001000110011000001000000 +000000000000001011100110000011100000000010100000000000 +000000000000000111000000001111001001000010010000000010 +000000000001000001000000001101100000000010100000000000 +000000000000100111100000001001001011000010010000000110 +000000100000110000000000000101011110001001000000000000 +000000000000001101000011101101001010000101000000000000 +000000000000000001000011110111100001000010100000000000 +000000000000000000100010011001101000000010010000000110 +000000000000000001000000001011011100000111110100000000 +000000000000000011100010110001011000000011110000000000 .logic_tile 5 10 -000000000000000011100011001111011111000010000000000100 -000000000000000001000110001101111111000011000001000000 -111000000000011011000000000011001111000011110000000000 -000100000000100011100011011011101000000011100000100000 -110000000000011101000111111001111110000010000000000000 -110000001010000011010010000011001100000011000000000000 -000000001000001001100010011111101011000100000000000000 -000000000000000011000011111101001111001100000000000001 -000000000000001001100010010111000001000000010000000000 -000000000000000101100011001001001001000000000000000000 -000001000010010000000000000001001010011111110000000000 -000010000000101111000000001101001000111111110000000000 -000000000000001111100011110001001011111111000100000000 -000000000000001111100011001101101000111110000000000000 -000000000000001111000110101101100000000000110100000000 -000000000000000001100111000101001100000001110001000000 +000000000000001000000111100001100001000000100000000000 +000000001000000001000111010111001101000000000000000000 +111000000000000111000011010111011010000010110000000100 +000000000000000000000011010111001001000000110000000000 +110100001000001001100000001101100000000000000000000000 +110100100000000001000000001011101100000000010000000001 +000000000000001111000011000001101001110011110000000000 +000010100000000001000011011011111101110010100000000000 +001000001010001111100010001011001001000010110000000000 +000000000000000111000100001111011010000000110000000000 +000000000000010000000010010101011011010000000000000000 +000010001110000000000010000101011010001000000001000000 +000000001000001111100111100001100000000001000100000000 +000000000000000111000000000001100000000000000000000000 +010000000000000000000110001101100000000010000100100000 +100000000000100000000000000101100000000011001000000000 .logic_tile 6 10 -000000000000001001100011011111011100111000000000000001 -000010100000000011100010000111011110110000000000000100 -000001000000000000000110001011100000000010000001000000 -000000000000101001000000001011101010000011000000000000 -000000001110000111000110000011000000000010000000000000 -000001000000001111000011000001000000000000000000000000 -000000000000001001100110001101100000000000000010000000 -000000000001000101000010101101100000000001000000000000 -000000000001001101100000010001001110001100000000000000 -000000001000000101000010101001111011000100000000000000 -001000000000000000000110110001101101000110100000000000 -000100000000000000000010100011011001001111110000000000 -000000000000001101100000010101101011010000000000100000 -000000000000000101000010010101011010000000000000000000 -000000000000000011100000000011000000000001000000000000 -000000000000000000000000000001000000000000000000000000 +000000001110001101010110111101000000000000010000000000 +000000000000001101110010000111101000000000000000000000 +111000000001011111100111110101101001000100000000000000 +000001100000100001010111100101011011001100000000000100 +010000000000001000000110111001011001110011110000000000 +110000000000000001000111111001001000010011110000000000 +000000000000000001100010010001100001000000100010000000 +000000000000000111000110001011101001000000000000000000 +000000000000000111100111000011011011000011100000000000 +000000000000000000000010001111001111000011110000000000 +000010000000000000000111001101101110111100110000000000 +000000000000000000000100001011111001010100110000000000 +000000000000000111100111000001111000000100000000100000 +000000000000000000000000000111101101000000000000000000 +000000100000000011000111011000000000000000000100000010 +000001000000000000000111001111000000000010000000000000 .logic_tile 7 10 -000000000000001000000011101011100000000000000010000000 -000000000000000001000010101011100000000001000000000000 -000000000000001000000000000001000000000000000010000000 -000000000000000001000011101011101001000000010000000000 -000000000000100111100011101101100000000000000001000000 -000000000000000000100010101001000000000001000000000100 -000000000010101011100000010101011000010000000000000000 -000000000110000001000011011011101011000000000000000000 -000000000000000000000011100111000001000000100000000000 -000000000000000000000000000101101100000000000000000000 -000000000000000000000010001101100000000001000010000000 -000110000000000111000110011101000000000000000000000000 -000000000000000000000011100001000000000000100000000000 -000000000000000000000011101111101000000000000000100000 -000000000000000000000000001001100000000001000000000000 -000000000000000000000000000101100000000000000011000001 +000000000000001000000110011111011101001100000000000000 +000000000000000001000011011011011110000100000000000000 +000000100000001001100011000001001100010000000000000000 +000000000000000001000100001101001111000000000000000000 +000000000000000101000010011001000001000000010000000000 +000000000000000000100111011101101111000000000010100000 +000000000000000011000110011011101111110000000000000000 +000000000000000000100010001001011111100000000000000000 +000000000000001001000010100011000000000001000000000000 +000000000000001111100010100101000000000000000000000000 +000010100000000000000111010101101010000000000000000000 +000000001100001111000011101011011111100000000000000000 +000000000000000000000111011111101111110011110000000000 +000000000000000001000011100001011010010010100000000000 +000011100000100001000110111011001001010111000000000000 +000011100001001111000010101101111000010110000000000000 .logic_tile 8 10 -000000000000000011000010000001101000011100000000000000 -000001000000001111100010111001111101111100000000000000 -000010000000001101100110011111000000000000100000000000 -000001001100000001100010000101101101000000000010000000 -000000100000000000000110000111100001000000100000000000 -000001000000000000000100001111001001000000110000000010 -000000000000001000000000001111101101000000000000000000 -000000000000000001000011110001001111100000000000000000 -000000000001001000000111011111000001000000000000000000 -000000001110000011000010100111001101000000010000000000 -000000000000000000000000001111000000000000000000000000 -000000000000001001000011000111100000000001000000100011 -000000000000101000000110000001100001000010000000000001 -000000000000000011000000001101001000000011000000000000 -000000000000000101100000001001011100000100000000000000 -000000000000000011000011001101001000100000000000000000 +000001000000000101000110110101000000000011000000000000 +000010000000000000100110001101001001000001000001000000 +000000000000001101100011001101101011000011000010000000 +000000000000000001100111000101011011000011010000000000 +000000000000101111000110110001001000000011000000000000 +000000000000001001000110000111011010000011010010000000 +000000000000001001100000010011100001000010000001000000 +000000000001010001000011010011101001000000000000000000 +000001000000000111000000001001100000000000000000000000 +000010000000000000100000001111000000000001000000000000 +000101000000000101000011110111000000000000000000000000 +000000100000000000000111110011100000000001000000000000 +000000000010000111000010001011100000000001000001000000 +000000000000000000100100001001000000000000000000000000 +000000000000000000000111001001100000000000110000000100 +000000000000000000000000001011001011000000100010000000 .logic_tile 9 10 -000100000000001011100011111001011100111100000000000000 -000100000000001111000011111011001011011100000000100000 -111000100000000001000110011001011100001001000000000000 -000001000100000011100011111001001001000101000000000001 -110000000000000011100010101011001100110011000000000000 -010000000000000011000100000001011100000000000000000000 -001001000100000011100110001001000000000000000001000000 -000000000000000011100010001101100000000011000000000000 -000000100000001011100011010101101111000010000000000000 -000001000000101101100011011001001001000000000000000000 -000000000000001111000000011101000000000010000000000000 -000000000000000011100010111111100000000000000000000000 -000000000000001000000000000001100001000001010101000001 -000000000000001101000000000101001010000001100000000000 -010010000000000000000000010101100000000001010100000000 -100000000000000000000010110001101010000001100000000000 +000000000000001000000000001101011110000110100000000000 +000000000000001111000011000001001101001111110000000000 +111001001000001000000111101101100001000011000001000000 +000000100000001101000100000101001100000011010000000000 +010000100001000111100000010011000000000001000010000000 +010000000000000101100010101111100000000000000000000000 +000000000000011101100000000101100001000011000010000000 +000000000000100001000000001101001100000011010000000000 +000000100000000000000011110011100000000011000001000000 +000000000000000000000010000001100000000000000000000100 +000010000000000001000110001011000000000011000010100000 +000000000000000000000000000111100000000010000001000100 +000000000000001000000010000111000000000000000000000000 +000000000110000001000000000101000000000001000000000100 +010000000000001000000000001000000000000000000101000000 +100000000000000111000000001001000000000010000000000000 .ramt_tile 10 10 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 000001000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 10 -000000000010001111100000001001000001000010100000000000 -000000000100001011100010011001001100000010010001000000 -111000000000000000000000010011000000000001000001000000 -000000000000000111000011001101000000000000000000000000 -010000000000000001000010000011100000000000100001000000 -100000000000000011000110101101001001000000000000000000 -000000000000000000000000000111111000001001000000000000 -000000000000000000000000000101001110000101000000000000 -000000000000001000000000000000000000000000000100100100 -000000000000000101000000000001000000000010000000000000 -000000000000010001000010001000000000000000000100000000 -000100000000001001100100001111000000000010000001000100 -000000000000000000000000000000000000000000000101000000 -000000000000001001000000000101000000000010000000000000 -010000000000000101100000001000000000000000000100100001 -100000000000000000000011001011000000000010000000100000 +000000000000001000000000011001100001000000100001000000 +000000000000001111000011011001001000000000000000000000 +111000000000001111100000001011000001000010000000000000 +010000000000101101100011010111001010000000000001000000 +010000000000000111100000000111000000000000000010000001 +010000000000000000100000000101000000000001000000000001 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000001000000111110111101110001100110010000100 +000000000000001101000110100000111101110011000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000010011100000000000000000100000 +000000001110001111000010100001000000000001000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000001011000011011011000000000010000000000000 .logic_tile 12 10 -000000000000000011100111011101111011000010000000000000 -000010000000000000100011010101101011000000000000000000 -111001000100001001100011101111011101110011000000000000 -000000000100000111010000000001111011000000000000000000 -010000000001010011100000001011011001110011000000000000 -010000000000000000000000001101011100000000000000100000 -000001000001111001100110010000000000000000000000000000 -000010000001010001000010000000000000000000000000000000 -000000000001010011100000000000000000000000000110000000 -000000000000100000100000000101000000000010000000000000 -000000000001011000000000010000000000000000000100000000 -000000000000000001000011011001000000000010000000000000 -000000000000000000000111001000000000000000000110000000 -000000000000000000000000000001000000000010000000000000 -010000000000000000000000000000000000000000000100000100 -100000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000100000000000000010100000000000000010000000000110 +000001000000000000000000000000000000000000000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000001000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .io_tile 13 10 000000000000000000 000100000000000000 @@ -2587,7 +2587,7 @@ .io_tile 0 11 000000000000100000 000000000000000000 -000000000000000000 +000000000000100000 000000000000000000 000000000000000000 000000000000000000 @@ -2602,233 +2602,233 @@ 000000000000000000 000000000000000000 .logic_tile 1 11 -000100000000001101000000010001111011000010000000000000 -000000000000000001000010001001001001000000000000000000 -111000000000000001100000010001000000000001000000000000 -000000000000000000000010000001000000000000000000000000 -010000000000000000000111100000000000000000000100000000 -110000000000000000000100000111000000000010000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000100000000000010000000000000000000100000000 -000000000001010000000010111011000000000010000000000000 -000000000000001000000000001000000000000000000100000000 -000000000000001101000000000111000000000010000000000000 -000100000000000101100000001000000000000000000100000000 -000100000000000000100000000011000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 +000000001110001111000000010001100000000000001000000000 +000000000000000011100011000000100000000000000000001000 +111000000000000000000111000111100000000000001000000000 +000000000000001111000100000000001101000000000000000000 +010000001110000011100000000001001000001100111110000001 +010000000000000000100000000000001111110011000000000000 +000000000000000000000000010001001000001100111100000000 +000000000000000000000011010000001101110011000000100000 +000000000000000000000011100101101000001100111100000000 +000000000000000000000000000000101111110011000000000000 +000000000000000000000000000101001000001100111100000001 +000000000000000000000000000000001101110011000000000000 +000000000000001000000011100111001000001100111100000000 +000000000000000011000000000000001111110011000000000000 +010000000000000101100000000001101000001100110101000010 +100000000000000000100000000000001101110011000000000000 .logic_tile 2 11 -000000000000000000000000010101100001000010100000100000 -000000000000000000000011101101101010000010010000100000 -111000100010001111100000000011100000000010100000000000 -000001000000001011100000001101001110000010010000000100 -010000000000000011100111100000000000000000000000000000 -010000000000000000100011010000000000000000000000000000 -000000000000000001000111000101000000000001000000000000 -000000000000000111100110100011000000000000000000100001 -000000000001010111100000001000000000000000000100000000 -000000000000000000000000000001000000000010000010000000 -000000000000000000000000001000000000000000000100000000 -000000000000001001000000000001000000000010000000000001 -000000000000000000000000001000000000000000000100000000 -000000000000000111000000001101000000000010000000000010 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000101000000000010000000000010 +000000000000100000010000001111100001000010100000000001 +000000000000000000000011011101101111000010010000000000 +111000001000001000000000001101101011000010000000000001 +000000001010000101000000000101001011000000000000000000 +010100000000100011000010001000000000000010000000000000 +110000000000000101000100000001000000000000000000000000 +000000000000001101100010011000000000000000000101000000 +000000000100000101000010100011000000000010000000000000 +000000100000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000001 +000010000110000011100000001000000000000000000100000000 +000000001110000000100000000011000000000010000010000000 +000000000000000000000000000101000000000010000100000000 +000000000000000000000000001001000000000011001000100011 +010000000000000011100110001000000000000000000100000000 +100000000000000000100010100011000000000010000000000000 .ramb_tile 3 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000001001010000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000111100010010001000000100000 +000000000000000001000011101101100000000000 +111000000000000000000011100000000000000000 +000000000000000000000100000001000000000000 +010000000000000000000010001111000000001000 +010000000000000000000011110101100000000000 +000000000000000111100000001000000000000000 +000000000000000000000000001001000000000000 +000000000000000111100111100011000000000000 +000000000000001111000000000001100000000000 +000010100000000000000000000000000000000000 +000001000000000000000000001101000000000000 +000000000000101000000000010111000000000000 +001000000001010011000011000011000000000000 +110000000000000001000000000000000000000000 +110000000000000000100000000011000000000000 .logic_tile 4 11 -000000001110000011000110000011001010010011110000000000 -000000000000000000000010001111111100000011110010000000 -111000000000000111000000000101000001000010100010000000 -000000000000000101000000001001101000000010010000000000 -010000000001001011100111000111100001000000100000000000 -010000000100000111100010100111101011000000000000000000 -000000000000000011100010001011100001000010010100000000 -000000000000000000000000001111001101000010100000000000 -000000000000000000000111010111101110111100100100000000 -000000000000000111000010001011001010111100110000000000 -000001000000001000000110111011011110111100110100000000 -000000000000000101000110101111001011111100100000000000 -000000000000001111100010001101000001000010100100000000 -000000000000000101100000000001001111000010010000000000 -000001000000001101100110110011111011000111110100100000 -000000100000001101000110110001101101001111110000000000 +000001000100001011100010100111000001000010100000000100 +000000100000000011100011100101001010000010010000000000 +111000000001001000000000011011000001000001010000000000 +000000000000001011000011110101101011000001100000000000 +010000000000001011100110001111100001000001010000000000 +010001000000000001000000001101101001000001100000000000 +000000100000001111100110010111100000000010100000100000 +000001000000000001100011110001001111000010010000000000 +000000000000000111000010010011100000000001100100000000 +000000000000000000100011111101001110000001011000000000 +001000000000000011100000010011100000000001100100000000 +000000000000001001100010111001101100000001011001000000 +000000000000100111000000011011000000000001100100000000 +000000000001001101100011100001101110000001011000000000 +110000000000000111100000010001000000000001100100000000 +010000000000000000100010000101001100000001011000000000 .logic_tile 5 11 -000000000001010000000111110001111110000011100000000000 -000000000000100000000110001111001010000011110000000000 -111000000000001011100000010001111110000000000000000000 -000000000000000011000010000011101010100000000000000000 -110000000000001001100111000101100000000010000000000000 -010000000000001011000110011101000000000000000000000000 -000000000010000000000010011001000000000000000000000100 -000000000000000101000011001011101011000000010000000000 -000000000000000111100010011101001000100000000000000000 -000000000000000000000011001011011001000000000000000000 -000010000000001000000111010101111101000011100000000000 -000001000000001011000110101101101111000011110000000000 -000000000000000101100110101101100000000001000000000000 -000000000000000000000000000001100000000000000000000000 -110000000000001101100000010111000000000011100100000000 -010000000000001011000010101011001001000011110001000000 +000000000000000000000011110101100000000010000000000000 +000000000000000011000111000011000000000000000010100000 +111001000000001011100010110101111000110011110000000000 +000010000000000001100110110011011010010010100000000000 +010000100000000111000011111001101001111100000000000000 +010000000000001101000110001101111100011100000000000000 +000000000000001111000110011011111011101100000000000000 +000000000000000011100010111011001001111100000001000000 +000000000000000011000010100011011110000011010000000000 +000000000000101111000100001101111000000011110000000000 +000000000000001000000110001101011000000100000000100000 +000100000000001111000011101101001011001100000000000000 +000000000000000000000000000001101110001100110100000100 +000000000000000000000011100000010000110011000001000000 +010001000000000000000000011011100000000011000100000000 +100010000000010000000011100001100000000000000000000010 .logic_tile 6 11 -000000000000001101000000011111101101100000000000000000 -000000000110000011000011010101001101000000000001000000 -111000000001000111000000001011100000000010000000000000 -000000000000000000000000000001000000000000000000000000 -010000000000000001000000010101000000000000010000100000 -010000000000000111100010010101001110000000000000000000 -000010000000000001100000010111100001000000000000000000 -000000000110000101000010001111001011000000010000000000 -000000000000010001100000010001000000000001000000000000 -000000000000100000000011001011000000000000000000000000 -000010100000001000000010001001000000000001000000000000 -000000000000001001000011000101000000000000000000000000 -000000000000000001000010010101000001000000110000000000 -000000001100000000100010001111101101000000100000000000 -000001000010001101100000001000000000000000000100000100 -000010100000000001000000001011000000000010000000000000 +000000000000010001100010101101000001000000110000000000 +000000000000100000100010011101101111000000100000000000 +000001001100001111100110010101011000101011000000000000 +000010100000000001000011010001011010000011000000000000 +000001000000001101100010110011001001000110100000000000 +000010100000001111000010001011011010001111110000000000 +000010000110000001100110010011011111111000000001000000 +000001000000000000010010001011111001110000000000000000 +000000000000000111000111110011101100000010000000000000 +000000000000000000100011011001001111000000000000000000 +000000000000000011000000000011111001010000000000000000 +000000000000000111000000000111001000000000000000000100 +000010100100000000000111100001100001000000010000000000 +000000000000001111000000001101001000000000000000000000 +000000000000000000000111000011100001000000000000000000 +000000000010000000000000000111001011000000110000000000 .logic_tile 7 11 -000000000110000011100000010000000000000000000000000000 -000000000000000001000010000000000000000000000000000000 -111000000000000101000000010001101111101011110000000000 -000000000000001111000010000101001111011011110000000000 -110000001010000001110010101101101100110011110000000000 -000000000000001001000010101011111010010010100000000000 -000000000000000000000000010001101011000011000001000000 -000000000000000000000011011011111000000001000000000000 -000000000000000001000011000000000000000000000000000000 -000000000000001111100000000000000000000000000000000000 -000010000000000001000000010001101000001100000000000000 -000001000000000000100011000001111011101100000000000000 -000000000000000111000000000111101101100111110000000000 -000000000000000000000000000001101000111111110000000000 -000000000000000000000000011011000000000011000100000000 -000010000000000000000011000011000000000010000000000001 +000000000000000011000010110111111111010000000000000000 +000000000000000000100110000111101001000000000001000000 +111000000000100011100110000101100001000000000001000000 +000010000001010000100011010011101111000000010001100000 +110001000000001000000010111001100000000001000000000000 +110010100000000101000110001001100000000000000000000000 +000010100000000000000110001111000000000000100000000000 +000001000000000000000011010111001011000000000000000100 +000010000000000011000000000001100001000000000000000010 +000000000000000000100000000111001101000000010000000000 +000000001110000000000000010011111011010000000000000000 +000000000000000000000010000011111011000000000000000001 +000000000000000011000010000111101011111100010100000001 +000000000000000000100000001101101001111100000000000000 +000001001100000000000000010001000000000001110100000010 +000000100000000001000010001001101000000000110000000000 .logic_tile 8 11 -000000000000100111000011101001100000000000000000000000 -000000000001010001000011100101000000000001000000000010 -111000000000000001100110000001101011110110100000000000 -000000000000000111000010011001001010101101010000000000 -010000000001011101000000001101001011001100110000000000 -100000000010000011100010001011011011000000000000000000 -001000000000001001000011111101111110000010000000000100 -000000000000000011100011011011111000000000000000000000 -000000000000001111000010000011011000110011000000000001 -000000000000000011000100001001011000000000000000000000 -000000100000000001000010011101101100010111110000000000 -000010000000000000000111001111101101100111110000000000 -000000000000000001100000001101101110000000000000000100 -000000000000000001000000001001101110100000000001000100 -010000000000000000000110011000000000000000000100000000 -100000000000000000000010100011000000000010000000000000 +000000000000000111000000001000000000000010000000000000 +000000000000000000110000001011000000000000000000000000 +111000100000010000000110100001100000000000000000100000 +000000001100000000000010010001100000000001000000000000 +111000000111010000000000001011100000000000000010000000 +010000000000100000000000000101000000000001000000000001 +000001000000000001100110111011111111000100000000000010 +000000100000001111110010101001101101000000000001000000 +000000000000010000000000011011111011010000000000000000 +000100000000000000000010011101111101000000000001000000 +000000001100000101100010101000000000000010000000000000 +000000001110000000000110111101000000000000000000000000 +000000000000010000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000011100000001000000000000000000101000000 +000000000000000000000000000101000000000010000000000000 .logic_tile 9 11 -000000000000100111100111100001101101101100000000000001 -000000000000001011000110000001001010001100000010000000 -111001000001000101100110001011111011000010000000000000 -000000000000001001100011000011111010000000000001000000 -010010000000000000000111001101011011010010000000000000 -110001000000001101000011000011011000000000000000000000 -000011100001001011100111110001100000000001000000000000 -000011000000101111000110001111100000000000000000000000 -000000000000000111000000010001101010110000000000100000 -000000000000000000100010001111111101000000000000000000 -000011000000001001100000010000000000000000000101000010 -000001000000001011000010000001000000000010000000000000 -000010000000000000000010010000000000000000000100000000 -000000000000000000000111101001000000000010000000000000 -010000000000000000000000000000000000000000000100000000 -100000000000000000000000001001000000000010000010000000 +000000000000000000000000000101000000000000001000000000 +000000000000000001000000000000000000000000000000001000 +111000000000000101000000000000000001000000001000000000 +000000000000000000100000000000001011000000000000000000 +110000000000001000000000010011000001000000001000000000 +110000000000000101000011100000101010000000000000000000 +000000000000000101100000010000000000000000001000000000 +000000000000000101000010100000001011000000000000000000 +000000000000000011100011100000001000111100000000100000 +000000000000000000100000000000000000111100000000000000 +000001000000000000000000001001000001000000010000000000 +000010100000000000000000000001001010000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000001000000000000000000000000000000000000000101000000 +000000100000000000000000000011000000000010000000000000 .ramb_tile 10 11 -000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 000000010000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001100000000000000000000000000000000000 -000011000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +001000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 .logic_tile 11 11 -000001000000000000000111000101100000000000000000000000 -000010100000000000000010111001000000000001000000000010 -111000000000001001000111010101001011001101010000000100 -000000000000000011100111001111101011001111110000000000 -110000000000000001000010111001111001010111110000000000 -010000000000000000100010001011101001100111110000000000 -000000000000001000000010010111111000001111110001000000 -000000000000000001000110000011001101000110100000000000 -000000000000000001000010100001011001010111110000000000 -000000000110000000100011110111101001100111110000000000 -000000000000001011000010111011101111000010000000100000 -000000000000000111000011101111011101000000000000000000 -000000000000000111100010101101000000000000000000000000 -000000000000000000100011001101100000000001000000000000 -010000000000000001000000011000000000000000000110000000 -100000000000000000100011100011000000000010000001000100 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000100000000011000000000000000000000010000000000000 +000000000000000000000000000001000000000000000001000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000011000000000000000000000000000000 +000000000000000000000010101000000000000000000101000000 +000000000000000000000000000001000000000010001000000000 +000000000000000000010000001000000000000000000110000000 +000000000000000000000000001101000000000010001000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 12 11 -000000000000000111000011111011101010110011000000000000 -000000000000000111000011010111111010010010000000000000 -111000001110000111100010111011111010110000000001000000 -000000000000100111000111010011101010000000000000000000 -110000000001011011000000011011011000001001000000000000 -110000001010000001000011001101101100000101000000000000 -000000000100001001100110000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000001011000111001001000001000000100000000000 -000000000000001011000100001001001101000000000000000000 -000001000000000011000110110001011001110011000000000000 -000000000000000000000111000011101001010010000000000000 -000001000000000000000011100101000000000001000000000110 -000010100000000000000100000001100000000000000001000000 -010000000010000111000000000101100001000001010100000000 -100010000000000000000000001001101010000001100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +111000000010000000000000001001000000000000000100000000 +000000000000001011000000000111100000000001000000000000 +010000000000000000000000001101100000000000000100000000 +000000000000000000000000000001000000000001000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000001101100010110000000000000000000000000000 +000000000000000000000000001101100000000000000100000000 +000000000000000000000000000001100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000001000000000001101100000000000000100000000 +100000000000001111000000000111000000000001000000000000 .io_tile 13 11 -000000111000000010 -000100001000000000 -000010000000000000 -000001110000000001 000000000000000010 -000000000000110000 -001100000000000000 +000100000000010000 000000000000000000 +000000000000000001 +000001011000100010 +000000001000010000 +001100000000100000 000000000000000000 -000100000000000000 -000000000000000010 +000000110000000000 +000100001000000000 +000000000000010010 000000000000010000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 0 12 -000000000000000010 -000100000000000000 +000010000000000010 +000110110000000000 000000000000000000 -000000000001100001 -000000000000110010 -000000000000110000 +000000000000000001 +000000000000000010 +000000000000010000 000100000000000000 000000000000000000 000000000000000000 @@ -2837,167 +2837,167 @@ 000000000000000000 000000000000000000 000000000000000000 -000000011000000000 -000000000000000000 -.logic_tile 1 12 -000000000000000101000010010001000001000000010001000000 -000000000000000000000011110101101001000000000000000000 -111000000000000000000000011101000000000000000001000000 -000000000000000000000010001101101000000000010000000000 -010000000000000101000010000000000000000000000000000000 -010000000000000000000100000000000000000000000000000000 -000000000000000000000000010000000000000000000110000000 -000000000000000000000010000011000000000010000000000000 -000000000000000001000000000000000000000000000100100000 -000000000000000000000011001011000000000010000010000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000000000000000001000000000000000000100000000 -001100000000000000000000000111000000000010000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -.logic_tile 2 12 -000000000000101101100011011011001001000100000001000000 -000000000001001111000110111111111001000000000000000000 -111000000000001011110110000111011110010000000010000100 -000000000000000111000010101001111000000000000000000000 -110000000000001101100111011101000000000001010000000000 -010000000000000011000011010101101100000001100000000001 -000000000000000001100000000111101010000000000001000000 -000000000000001011000000001011101001100000000000000000 -000000000000001000000111111001100000000001010000000100 -001000001100000111000011101011101100000001100000000000 -000000000000000011100000010101000001000001100100000000 -000000000000000000100010000101101100000001011000000000 -001001000000100000000111100101100001000001100100000000 -001000101101000000000000000001001110000001011000000000 -010000000110000011100110101001000001000001100100000000 -010000000000000000100100000001101100000001011000000000 -.ramt_tile 3 12 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000 -000000000001010000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000001000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 12 +000000000000001111000000000011100000000000001000000000 +000000000000000011100000000000000000000000000000001000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000010000000001000000001000000000 +001000000000000000000010010000001100000000000000000000 +000000000000000000000000010000000000000000001000000000 +000000000000000000000011010000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000001101100000000000000001000000001000000000 +000000000000000101000000000000001011000000000000000000 +000000000000100000000000000000000001000000001000000000 +001000000001000000000000000000001110000000000000000000 +000000000000000111000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000010 +.logic_tile 2 12 +000000000000000000000000000011100000000000001000000000 +000000000000000111000011000000000000000000000000001000 +111000000000000011100011110000000000000000001000000000 +000000000000000111000111110000001111000000000000000000 +010000000000000000000000000000001000001100110000000000 +011000000000000101000000000000001000110011000000000010 +000000000000000000000111001000000000000010000000000100 +000000000000000000000000000001000000000000000000000000 +000000000000100000000011101000000000000010000000000000 +000000000001000000000011101001000000000000000000000000 +000000000000000011100000001000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000001000010010011111000000000001100110000000 +001000000000001011000111001101001101000001011000000000 +010000000000000000000000000111000000000001100101000000 +010000000000000000000000000101101101000001011000000000 +.ramt_tile 3 12 +000000000000001111000011101011100000000000 +000000010000001011000000001111100000000000 +111000000000000000000011100000000000000000 +000000010000000000000000001101000000000000 +000000000000000000000000000001000000000010 +000000000000000000000010000001000000000000 +000000000000001001000000000000000000000000 +000000000000000111100000001111000000000000 +000001000001010000000000000001000000000000 +000000100000000111000000000011100000000001 +000000000000000000000010001000000000000000 +000000000000000000000011100111000000000000 +000000001100000000000000001101100000000000 +000000000000000000000011101011000000000000 +010000000000000000000010101000000000000000 +110000000000000111000000001001000000000000 .logic_tile 4 12 -000000000000100111100010000000000000000000000000000000 -000000000000010000100111110000000000000000000000000000 -111000000000000001100011001001000001000000100000000000 -000100000000001011000010100011101001000000000000000100 -110000000000000000000011001011100001000010100000000001 -110000000000000000000011001001101011000010010000000000 -000000000000000101000010101001100000000010100000100000 -000000000000000101000100000101001101000010010000000000 -000000000001000000000111001001100001000010100000000010 -001010100000101001000100000101001101000010010001000000 -000000000010100111100110000101000000000001000100000000 -000000000000010000100010011001100000000000000000000000 -000000000000000000000111100101000000000001000100100000 -001000000000000000000000000011100000000000000000000000 -110000001110000000000000000011000000000000000100000000 -000000000000000000000000000001000000000001000000000000 +000000000001011000000111111011100000000001010000000001 +000000000000000011000111110011001010000001100000000000 +111000000000001000000110011101111101010000000000000000 +000000000000001011000110011011111011000000000000000010 +010000000000000111000111001000000000000010000000000000 +011000000000000000000011001111000000000000000001000000 +000000000000001001100110010101100000000000000000000000 +001000000000000011000110011011001001000000010000000000 +000000000000000000000010000101000000000001010000000000 +000000000010001111000011101101101010000001100000000010 +000000000000101111100111011001100001000000100000000000 +000000001110001111000111111011101001000000000000000000 +000000000000000000000000000111100000000001100100000000 +001000001110000000000011100001101101000001011001000000 +010000000000000000000111011011000000000001100101000000 +010000000000000000000110110101001111000001011000000000 .logic_tile 5 12 -000000001100101011100010000001000000000010000000000000 -000000000000010001000010000111100000000000000000000000 -111000101110001011100011010111101101000010000001000000 -000000001010000011100011100111101111000000000000000000 -010000000000001001100111100001011010100000000000000000 -110000000000001111000100000101011000000000000000000000 -000000000000000101000110011101100000000010100000000100 -000000000000001011100011100101101010000010010000000000 -000000000000001001100111101011011001010000000000000000 -000000000000000111100011111011111001000000000000000010 -000000000000001001000111110011000001000001100100000000 -000000000000001111000010100011101000000001011001000000 -000000000001000001000000000011100001000001100100100000 -001000000000001111100000001001101000000001011000000000 -010000000000000000000110111011100001000001100100000000 -110000000000000000000010100011001000000001011000000000 +000000000000000001100111011101000000000000100000000000 +000010100000001011000011000001101010000000000000000000 +000000000000001001000110011011011011000100000000000000 +000000000000000001100010000001101011000000000000000000 +000000000000000001100000011001011011010111000000100000 +001000000000001111000011001011101001111111000000000000 +000100000010001011100010100001001100010000000000000100 +000100000000001111000010010011101000000000000000100000 +000000000000001011000000010011000000000000100000000000 +000000000000001011110011100011001000000000110000000000 +000000000000000000000000000101001000000000000000000000 +000000000000000000000011110111011100100000000000000010 +000100000000011011100000000001100001000000000000000000 +001000000000101011110000000111101011000000010000000000 +000000000000000111100000000111100001000000000000000000 +001000000000000000100011110101001111000000010000000000 .logic_tile 6 12 -000001000000000000000110010101101100000000000000000000 -000010000000000000000010101101101001100000000000000000 -111010100000001001100111101111101010010000000000000000 -000000000000000111010010011101111011000000000000000000 -110000000000101011100010011101101000100000000000000000 -110000000000010101100110100101011010000000000000000100 -000000000000001011000000000011100001000000100000000000 -000000000000000001100010011011101101000000000000000000 -000000000000000111100111101001101011010000000000000000 -000000000000000000000000001001001000000000000000000000 -000000000000000000000110011000000000000000000100000001 -000000000000000000000010101001000000000010000000000000 -000000000000000000000011101000000000000000000100000000 -001000000000000000000000000111000000000010000000000000 -000000000000000101100110111000000000000000000100000000 -000000000000000000100011011111000000000010000000000000 +000010000000001001000010001011111011010010100000000000 +000001000000000111000110011101011111110011110000000000 +111000000010000000000011111111100000000001000000000000 +000010000000000000000011100111000000000000000000000000 +110000000000001111000110110001000000000000000001000001 +010000000000000011000111010101101011000000010000000001 +000000000000001101100011101111000000000000010000000000 +000000000000000001100010101001101010000000000000000000 +000000000000000000000010010011000000000001000000000100 +000000000000010000000011001001100000000000000000000000 +000000000011011001000000000101111001110000000010100000 +000000000000100111000000001111011010100000000001000000 +000000000000000001100010110101101010000000000010000000 +001010000000000000000110000111011000100000000000000000 +110000000000000101100111100000000000000000000100000000 +010000000000001101100100000001000000000010001000000001 .logic_tile 7 12 -000000000000001001100110000000000000000000000000000000 -000000000000001111000010110000000000000000000000000000 -111000000000101001100010001001000000000000000000000000 -000000000000000101000111001101101001000000010000000000 -110000000000100000000000001001000001000000010000000000 -110000000001010000000000000001001001000000000000000000 -000000001010000111100000011011100000000010000000000000 -000000000000000000000010000001000000000000000001000000 -000000000000000000000011101000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000001000000000001000000000000000000100000000 -001001000110000001000000001001000000000010000000000000 -000000000000000000000010000000000000000000000100000000 -001000000000000000000100000001000000000010000001000000 -000000000000000000000000000000000000000000000100000100 -000100000000000000000000001011000000000010000001000000 +000000000000001000000110010111111011010000000000100000 +000000000000000101000010100011101110000000000000000000 +111000000010001101100000011101011000000011110001000000 +000000000000001111100010001111001111100011110000000000 +110000000000001001000110100101101111001100000000000000 +010000000000010001000011000011101111001000000000000000 +000000000000001101100011110101100000000010000000000000 +000010000000001111100010000011000000000000000000000000 +000000000000000101000111100101001011010000000000100000 +000000000000000111100110001001011011000000000000000000 +000010100001000000000000000001100000000001000000000000 +000001000000000000000000001011100000000000000000000000 +000000000010100000000000011001011000101101010100100000 +001000000001010001000010000111101000001100000001000001 +000010100000000001110110010111101010111100010100000010 +000001000110000000000011001001111101111100000000000000 .logic_tile 8 12 -000000000000000011100000001001101110000100010000000000 -000000000000000001000011100111101000100010000000000000 -111000000000001101100011011111001100100000000000000000 -000000000000000111100010000001101111000000000000000000 -011000000000000000000000011011111001000010000000000000 -011000001000000000000011111001011000000000000000000000 -000000000000100011100010100101111011010111110000000000 -001000001011010001100000001101101010100111110000000000 -000001001100001011100000010111100000000001000000000010 -000010100000000001000010001011000000000000000000000000 -000000000000000001100000000000000000000000000100000000 -000000000000000000000010010001000000000010000000000000 -000000000000000000000110111000000000000000000100000001 -001000001010001001000010101011000000000010000000000000 -011000000000001001100000000000000000000000000100100001 -100000000000000101000000000011000000000010000000000000 +000001000000001011000110000011100000000000001000000000 +000000100000001111000010100000000000000000000000001000 +111000000000001001100000010101100000000000001000000000 +000000000000001011000010000000000000000000000000000000 +010010100000000011000111000101001000001100110000000100 +111000000001001011000110010000101110110011000000000010 +000010000000000111000010101011001001000011000000000000 +000000000000110000100111100001111101000001000001000000 +000000000000010101100000011001000000000001000000000000 +000000000000100000000011111001100000000000000010000000 +000010100000000001000010000101000000000000000000000000 +000011000001010000000000001111001100000000010000000000 +000010000000010011100000011101011011111100000000000000 +001001001110100000100011001101011000011100000000000000 +010000000000100000000000000001000000000011000100000100 +100000000010010000000000000001000000000010000010000000 .logic_tile 9 12 -000001000000000111010111110001100000000000000000000000 -000000000000000001000111010111100000000011000000000000 -111010100000000000000011011001000001000010100000000000 -000000000000001101000011001011001110000010010000000000 -010001000000101001100010001101001111110011000000000000 -011010100001011111000010111001001011000000000000000000 -000000000000000111000111010011011100010010000000000000 -000000000000000000000011100011111000110011000000000000 -000001000001010101100010010101011100000010000000000000 -000010100000000011100011101111011011000000000000000000 -000000000000000111000111000101011011000110000000000010 -000010000000000000100011111101001100001010000000000000 -000100000000001011100011100011011111001101010000100000 -001110000000001011100000000011101000001111110000000000 -010000000000000000000110000111100001000001010100000000 -100000000000001101000000001001101011000001100000000000 +000000000000001111000000010101100000000000000001000001 +000000000000000011000011001001101000000000010000000000 +111000000000000001100000000111111001000000000000000000 +000000000000000000000011111111011011100000000000000000 +010000100010000011000010000111100001001100110001000000 +111001000000000111000000000000101101110011000000000000 +000000000000000111100110010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000001000000000001100000000001001101000100000010000000 +000010100000000000000000001001001111100000000000000000 +000000000011010000000000000101101110000010000000000001 +000000000000100000000011110101011110000000000000000000 +000000000000000000000111100001100000000000000000000000 +001000000000000011000010000101000000000001000000000000 +010000000000000111000010110101100000000010000100000000 +100000001010000000100111001111100000000000000010000000 .ramt_tile 10 12 -000001000010000000000000000000000000000000 -000000100000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3005,57 +3005,57 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 12 -000000000110000111000111010001001100110000000000000000 -000000000000001101100010011001101000110001010010000000 -111000000110001001000110000101101101100001000001000000 -000000000000000111100000000001011001110011000000000000 -010000000000000000000010001011101111001001000000000000 -111000000000000000000110000001001110000101000000000000 -000000000000000101100000000111000000000000000000000000 -001000000000000000100000001111101011000000010001000000 -000000000000001111100000010000000000000000000100000010 -000000000000001011000011110011000000000010000001000000 -000000000000000001100010001000000000000000000100000000 -000110100001010000000011111011000000000010000010000000 -000000000000000000000011111000000000000000000100100000 -001000000000001111000111111011000000000010000000100000 -010000000000000001000000001000000000000000000100000000 -100000000000000000000000000001000000000010000010100000 -.logic_tile 12 12 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000001000000000000000000100000100 -011000000000000000000000001111000000000010000000100100 -000000001110000000000000000000000000000000000100000100 -000000000000000000000000000011000000000010000000000000 -000010000000000011100000010000000000000000000000000000 -000001000000000000000011100000000000000000000000000000 -000000000000000011100000000000000000000000000110000110 -000000000110000000000000000111000000000010000000000000 -000000000000001000000111100000000000000000000100100100 -001000000000001111000100001111000000000010000000000000 -010000000001000000000110101000000000000000000100000000 -100000001010000000000100000011000000000010000000000000 +001100000000001011000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000001110000000000000000000000000000000000000000000 +000000000000000000000000000001100000000000000001000000 +000000000000000000000000001111000000000001000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000001001000000000000000110000000 +000010100000000000100000000011000000000001000010000000 +000000000000000000000000001011000000000000000100000000 +001000000000000000000010000111100000000001000000000000 +010000000000000011100110101111100000000000000100000000 +100000000000000000100000000011100000000001000010000000 +.logic_tile 12 12 +000000000000000011100000000001100000000000001000000000 +001000000000000000100010100000000000000000000000001000 +000000000000000101100010100000000000000000001000000000 +000000000000000101000000000000001000000000000000000000 +000000000000000101000000000000001000001100111000000000 +001000000000000000000000000000001010110011000000000000 +000000000000000000000000010000001001001100111000000000 +000000000100000000000010100000001011110011000000000000 +000010100000000000000011100000001000001100111000000000 +000001000000000000000100000000001001110011000000000000 +000000000000000000000000000000001001001100111000000000 +001000000000000000000000000000001001110011000000000000 +000010100000000000000000000000001001001100111000000000 +001001000000000000000000000000001000110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001101110011000000000000 .io_tile 13 12 -000000000000000010 -000011110000000000 -000001011000000000 -000000000000000001 -000000000000000010 -000000000000010000 -000100000000000000 +000001111000000010 +000000001000000000 000000000000000000 +000000000000000001 +000000000000010010 +000000000000110000 +000110000000000000 +000001010000000000 000000000000000000 000100000000000000 000000000000000010 -000000000000110000 +000000000000010000 000000000000000000 000000000000000001 000000000000000010 @@ -3067,7 +3067,7 @@ 000000000000000001 000000000000000010 000000000000010000 -000100000001100000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -3078,211 +3078,211 @@ 000000000000000000 000000000000000000 .logic_tile 1 13 -000000000000000111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 +000100000000000111000110000001100000000000001000000000 +000100000000001001100100000000100000000000000000001000 +000000000000000011000011000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000011000000000001000000001000000000 +001000000000000000000000000000001101000000000000000000 +001000000000010000000000000000000001000000001000000000 +000000000000100000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000010000000000000000000000000000000000000001000000000 +000001001110000000000010000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +001000000000000000000000000000001011000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 .logic_tile 2 13 -000000100000100000000000000000000000000000000100000000 -001001000001001111000000000001000000000010000000100000 -111000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000010 -010000000000000000000000000000000000000000000100000000 -001000000000001111000000000001000000000010000000000010 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000101000000000000000000000000000000000000000000000000 -001100000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000001000000000101100000000001000000000000 +000000000000000000000011101101000000000000000000000010 +111000000000000111000011111001111010000011010010000000 +000000000000000111100111101001001000000011000000000000 +010000000000000001000110100111000000000000000000000010 +010000000000000000100100001011100000000001000010000000 +001000000000001111000110111001100000000011000000000000 +000000000000000001100011110001101000000001000010000000 +000000000000100011100000000001100000000000110000000000 +000000000001010011000011000101101100000000010001000000 +000000000000001000000010101101111001110000000000000000 +000000000000000011000100001101101101010000000010000000 +000000000000000000000000001000000000000000000100000000 +001001000000000000000011110011000000000010000000000010 +110000000000000111100000000000000000000000000100100000 +110000000000000000110000000011000000000010000000000000 .ramb_tile 3 13 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001110100000000000000000000000000000 +000100000000010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000001011010000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 13 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000100000000 -000000000000000000000000001111000000000010000000100000 -000000000000000000000000000000000000000000000100000100 -000000000000000000000010101011000000000010000000000000 -000010100000000000000000000000000000000000000100000001 -000001000000000000000000001111000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000010101011000000000010000000000100 -010000000000000000000000000000000000000000000100000000 -100000000000000000000000001111000000000010000000000000 +000000100000000000000011110000000000000010000000000000 +000000001000000111000011110111000000000000000000000010 +111000000000001111100110011011000000000001000000000000 +000000000001011011100011110101100000000000000000000001 +010000000000000011100110000001100000000000010000000000 +011000000000000000100000000101001011000000000000000000 +000000000000000000000110000101100000000001000000000000 +000000000000001111000000001001101111000011000000000000 +000000000000000000000111011000000000000010000000100000 +000000000000000000000011111001000000000000000001000000 +000000000000000000000000000000000000000010000000100000 +000000000000000000000000001011000000000000000000000000 +000000100000000011100000001000000000000010000000100000 +001000000000000000000011100111000000000000000000000010 +010000000001000101100000000001000001001100110100000000 +010000000000000000100000000000001001110011000000000010 .logic_tile 5 13 -000000000001011000000000000000000000000000000000000000 -001000000000010011000010000000000000000000000000000000 -111000000000000000000000011101100000000001000000000000 -000000000000000000000010111111000000000000000000000001 -010000000000001000000111001101100000000010100000000100 -111000000000000011000100001101001100000010010000000000 -000000000000010001100110000101100000000000000000000000 -000000000000001011000010000011000000000001000000100000 -000010000000001101100110010011101111000100000000000000 -000000000000001011000110010111111001001100000000000100 -000000000000000111100111111011100000000011000000000100 -000000000100000000100011101101100000000010000000000000 -000000000001000001100000010011100000000001100100100000 -001000000000100000100010101011001000000001011000000000 -010000000000000000000000000001000000000001100100000000 -110000000000000000000010111011101010000001011000000000 +000000000000000111100010001001000000000000000001000000 +000000000000000000100010001111000000000001000000000000 +111000000100000000000110011011011110101000000001000000 +000000000000000000000010001101111001100100000000000000 +010000000000000001000011001011100000000000110000000000 +011000000000000001100000000111001011000000010000000000 +000000000000000000000000011111000000000000000000000010 +000000000000000000000010001001000000000001000000000010 +000000000000000111100000011011000001000000010000000000 +000000000000000000100010001111001001000000000010000001 +000000000000000111100000000101000000000001000000000000 +000000001100000000100000000101000000000000000001000000 +000000000000000011000000000001100000000011000000000000 +001000000000000000000000000111100000000010000011100000 +000000000000100111100011011000000000000000000100000000 +000000000000000000000111011111000000000010000000000000 .logic_tile 6 13 -000001000100000101100000011101000000000000010000000000 -000010000000001001100011100111001000000000000000000100 -111001000001010011100000001111000000000000000000000000 -000000100000000000100000000011000000000001000000000100 -110000000000000101000000010011011001010000000000000000 -111000000000000101000010001001001011000000000000000000 -000000000000000000000010001101000000000010000000000000 -000000100000000000000100001111001011000011000000000000 -000001001010000001000000000011100000000000000010000000 -000000100000000000100000000111000000000001000000000100 -000001000000000001100000000000000000000000000100000000 -000000001100010000000000000001000000000010000000000000 -000100000010000101100010010000000000000000000000000000 -001100000000000000100111110000000000000000000000000000 -000000000000100101100000010000000000000000000100000000 -000000000001010000000010101111000000000010000000000000 +000000000100000111100010000011111010000011000000000000 +000000000001010001010011010001001011000001000000000000 +111001100000000000000110011011000000000000000000000000 +000001000000001111000010101001100000000001000000000000 +010001000000001001100010000101100000000000000001000001 +011010001100000001010110100101100000000001000000000001 +000000000000001000000000010001100000000011000000000000 +000000000001010101000010100001101011000001000000000100 +000000000110000000000000011101100001000000100000000010 +000000100000000000000011010001101110000000110000000000 +000000000000000111000000000111000001000000000000100000 +000100000000001111000000001001101110000000010000000000 +000110000000000000000110011101101011110000000000000000 +001000001100000000000011010001101100100000000001000000 +010000000000000011100000000000000000000000000110000000 +100000000000001111000000001111000000000010000000000000 .logic_tile 7 13 -000100000000001001100111111111000000000000000000000000 -000100000000000011000111110001101011000000010000000001 -111000100000001000000000000111100001000000000000000000 -000010000000000111000010101111101010000000010000000000 -110000000000000000000110000101100001000000100000000000 -111000000000000000000010100111001011000000000000000000 -000000000000001001100010101001000000000001000000000000 -000000000000000111000000001011100000000000000000000000 -000000000000000000000000000111101010100000000001000000 -000000000000000000000010011011111011000000000000000000 -000000000000000000000111001001000000000001000000000000 -000000000000000000000000001111000000000000000000000000 -000000000000000011100011101001000001000000010000000000 -001000000000000000100011010101001001000000000000000000 -000000000000000000000111000000000000000000000100000000 -000000000000000000000011011001000000000010000010000010 +000000000000101101100111100001001010000000000000000000 +000000000001010111000111100101101001100000000010000000 +111000000000001001100110011001100000000000110000000000 +000000000000000001000111100101001101000000100000000000 +010010100000001001100111001001100000000000000000000010 +111001000000000111000011101011001000000000010000000000 +000001000000001011100111100101100000000000000000100000 +000010001000000111100000001111101011000000010000100000 +000000000000000111100011101001111101010000000000000000 +000000000000000000100000000101101001000000000000000000 +000000000000000111000000010101100000000001000000000000 +000000001010000111100010000011100000000000000000100000 +000000000000000000000011111011100000000000010000000000 +001000000001010000000010010011001111000000110000000000 +010000000000000000000000000000000000000000000100000000 +101000000000000000000000000001000000000010000000000010 .logic_tile 8 13 -000001000000001000000000001000000000000010000000000000 -000000100000000011000000001111000000000000000000100001 -111000000000000101000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -010000000000000000000010111001111100001001000000000000 -101000000000000000000011000001001000000101000000000010 -000000000000000000000000000101000000000000000000000100 -001000000000000000000000001011100000000001000000000000 -000000100000000111000110100101001110001100110000000010 -000000000000001111000000000000101100110011000000100011 -000000000000000001000000000000000000000000000100000100 -000000000000000000100000000011000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000001111000000000000000000000000000000000000 -010000000000000000000010100000000000000000000000000000 -100000000110000000000100000000000000000000000000000000 +000000000000000101000010100001000000000000001000000000 +000000000000000000100100000000101100000000000000000000 +111000000000000101100011100011001000001100111000000000 +000000000000000000100100000000101000110011000000000100 +010000000000001000000010000001101000001100111000000000 +111000000000000011010000000000000000110011000000000100 +000000000010001101100111100000001000001100110000000000 +000000000000001001000000000000000000110011000000000000 +000000000110000000000000011101011101000011100000000000 +000000000000001101000010111101001111000001110000000000 +000000001100000111100000001111011101010000000000000000 +000000000000000000100011110101111001110000000000000010 +000000000000000000000111001111100000000001000000000000 +001000000000000000000100000101000000000000000001000000 +010000000000001000000110000000000000000000000100000000 +101000000000000001000000000101000000000010000010000000 .logic_tile 9 13 -000000000000000000000000001101011001010111110000000000 -000000000000000111000010001011101110100111110000000000 -111000000000001111100010100001011111001001000000000000 -000000000000100101000000001011001000000101000000000000 -010000000000001001100011110001100001000010100000000000 -110000000000000001000011111001101000000010010000000000 -000000000000000000010000001111011111001001000000000000 -000000000000000011000000000101001000000101000000000000 -000000000000100000000010000101000000000000000000000000 -000000000001000000000100000101000000000001000000000000 -000010100000000000000000010000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000000111000000000000000000000000000100000000 -001000000110000000100000000000000000000010000000000001 -000000000000000011100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 +000000000001011000000010000101000000000001100000000000 +000000000000100001000011011001001101000001010001000000 +111001000001010101110000010101000000000001000000000010 +000000000000000000000010000111100000000000000000000000 +110010100000011000000111001001100000000000000000000000 +111001000000000111000100001001001000000000010000000001 +000000000001100111100110000000000000000000000000000000 +000000000001010101000000000000000000000000000000000000 +000000100000000000000000011000000000000010000000000001 +000001000000000000000011111101000000000000000000000000 +000000000010000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000111110011111011001100000000000000 +001000000000000001100011110111001111000100000000000000 +000000000000000000000110000101100000000000110100000100 +000000000000000000000100001111001001000000010000000000 .ramb_tile 10 13 -000000000000000000000000000000000000000000 +000001001110000000000000000000000000000000 000000010000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 .logic_tile 11 13 -000000000000000000000000000101000000000000000000000000 -000000000000000000000010000101100000000001000000000000 -111000000100000001100110011101001101001001000000000000 -010000000000000000000011001001101100000101000000000000 -010000000000000001100111011011011100010111110000000000 -010000000000000000000010001101101100100111110000000000 -000000000000000000000110001001101101001001000000000000 -000000000000000000000100000001101100000101000000000010 -000000000000001000000010100000000000000000000100100000 -000000000000000111000000000000000000000010000000000000 -000000000000000111100000000000000000000000000100000000 -001000000000000000000000000000000000000010000000000000 -000000000001010000000000000000000000000000000100000000 -001000000000000000000000000000000000000010000000100000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000000000000000010000000000000 +000000000000001011100000001001011000111111110000000000 +001000000000001111100000001101011000001111110001000000 +111000000000000111100011001011000000000000000100000000 +000000000000000000000100000001100000000001000000000000 +010000000000000000000000001011100000000000000100000000 +001000000000000000000000000101000000000001000000000000 +000000000000000111100010001111000000000000000100000000 +000000000000000000000000000001100000000001000000000000 +000000000000000101100000011011000000000000000100000000 +000000000000000000000010100101000000000001000000000010 +000000000000001101100000011011100000000000000100000000 +000000000000000101000010100001000000000001000000000000 +000010100000001000000110101111000000000000000100000000 +001001000000000101000000000101000000000001000000000000 +010000000000000000000000001011100000000000000100000000 +100000000000000000000000000001100000000001000000000000 .logic_tile 12 13 -010010100000000000000000010000000000000000000000000000 -000001000000000000000011010000000000000000000000000000 -111000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -011000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000100 -001000000000000000000000000111000000000010000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001001100000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000011000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000011110110100000001001001100111000000000 +001000000000000000100000000000001001110011000000010000 +000001000000000000000000010000001000001100111000000000 +000000000000000000000010100000001000110011000000000000 +000000000000000101100000010000001000001100111000000000 +001000000000000000000010100000001010110011000000000000 +000000000000000101110110100000001000001100111000000000 +000000000000001101000000000000001001110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000000000000000000001000001100111000000000 +001000001110000000000000000000001011110011000000000000 +000000000000000000000000000000001001001100111000000000 +001000000000000000000000000000001011110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 .io_tile 13 13 -000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 @@ -3299,59 +3299,57 @@ 000000000000000000 000000000000000000 .io_tile 0 14 -000000111000000010 +000000000000000010 000100000000000000 000000000000000000 000000000000000001 -000000000000100010 -000000000000010000 +000001010000010010 +000000111000010000 001100000000000000 000000000000000000 -000000011000000000 -000100001000000000 -000000000000000010 +000000000000000000 +000100000000000000 +000000000000010010 000000000000010000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .logic_tile 1 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000000000000000000000000000000000110000000 -000000000000000000000000001111000000000010000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000001001000000000001100000000000001000000000 +000000000000001111000000000000000000000000000000001000 +000000000000000111000000000001000000000000001000000000 +000000000000000000100000000000001111000000000000000000 +000000000000000000000010000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000101000000000000000000000000001000000000 +000000000000000000100000000000001101000000000000000000 +000000000000000000000011100000000001000000001000000000 +000000000000000111000000000000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000011100000001100000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 .logic_tile 2 14 -000000000000000111100000001001100001000011000000000001 -000000000000001001100011001001101011000001000000000000 -111000000000000000000110000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -010000000000001000000010000001001010101100000001000001 -110000000000001101000100001001001000111100000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 +000000000000000000000010100001100000000000001000000000 +000000000000000000000110110000100000000000000000001000 +000000100000110000010111100000000000000000001000000000 +000001000001100000000010110000001011000000000000000000 +000000100000000000000000000000001001001100111000000000 +000000000000000000010011010000001001110011000000000000 +000000000000000101000000000000001000001100111000000000 +000000000000001101100000000000001001110011000000000000 +000000000001010011000000000000001000001100111000000000 +000000000000100000100000000000001001110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 +000001000000000000000000000000001001001100111000000000 +000000100000000000000000000000001010110011000000000001 +000000000000000000000000000000001000001100110000000000 +000000000000000000000000000000001100110011000000000000 .ramt_tile 3 14 -000001000000000000000000000000000000000000 -000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3361,124 +3359,126 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 14 -000001000000001011100110001111100000000000000000000100 -000000100000000011100000001101100000000001000000000010 -111000001010001000000110010011100001000000100000000000 -000000000000001101000011110001101001000001000000000000 -010000000000001001100110001001000000000001000001000000 -000000000000000011000011111001100000000000000000100010 -000000000000000000000110010001000000000000000001000000 -000000000000000000000011111101000000000001000000000000 -001000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000011101000000000000010000000000 -000000000000000000000010101101001010000000000000000001 -000010001100001000000011100101100001000000000000000000 -000001000000000101000000001101101000000000010000000101 -010000000000000000000000010111000000000011000110000000 -100000000000000000000010100111100000000010000000000000 +000000000000000000010010100000000000000000000000000000 +111000000000000111100000001000000000000010000000000000 +000000000110000000100000000011000000000000000001000000 +010000000000010000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000010000000000000000000000000000 +000000000000000000100010100000000000000000000000000000 +000000000000000000000000001000000000000010000000100000 +000000000000000000000000001011000000000000000000000000 +000000000000000000000000001101100000000010010100100000 +000000000000000000000000000001101100000010100000000100 .logic_tile 5 14 -000000000000001001100110010001001010110011110000000000 -000000000000000001000110011111111011010010100000000000 -111000000000001001100111100101111011101101000000000000 -000000000000001001000100001001101011101110000000000000 -010000000000000001100110011001011010000100000000000000 -000000000000000000000010010111001000100000000000000001 -000000000010001011100111111111001101100001010000000000 -000000000000001001100110001001101111110011110000000000 -000000000000001000000000001111000000000000100000000000 -000000000000000011000000001101001001000000000000000000 -000000000000001000000110000011100001000000010000000000 -000000000000000001000000001001001101000000110000000000 -000000000000101000000111100101100000000010000100000000 -000000000001000011000100000101000000000011000000100000 -010000000000000001100000011001100000000001000100000000 -100000000000000000000010100001000000000000000000000000 +000000000000000101000000010111100000000000001000000000 +000000000000000000100010000000100000000000000000001000 +111000000000101000000000000000000001000000001000000000 +000000000000001111000000000000001110000000000000000000 +110000000000000000000000000000001001001100111000000000 +010000000000000000000000000000001110110011000000000000 +000010100000000000000000000000001001001100111000000000 +000001000000010000000000000000001101110011000000000000 +000000000000000000000000000000001000001100110000000000 +000000000000000000000011100000000000110011000000000000 +000000000000000101100110101001000000000001010000100000 +000000000100000000000000000011101000000001100000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000001101100000010000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 .logic_tile 6 14 -000010100000000000000110010001000001000011000001000000 -000000000000000000000010000101101110000001000000000000 -111000000000000011100110001101101111010000000001000000 -000000000000000101100100000001011011000000000000000000 -010010100000000001100000001101000000000010000000000000 -010000000000011011000010010111000000000000000000000000 -000000000000001111100010101101100000000000000000000000 -000000000000000001100010010011101011000000010010000000 -000000000000000000000000001101100000000010000000000000 -000000000000000000000000000001100000000011000000000000 -001000000000000001000010101111100001000010000000000000 -000000000110001101100010110101101001000000010000000010 -000100000000000000000110001011101111000100000000000000 -000100000000000000000110001011111100000000000000000000 -011000000000000001110111000111000000000011000100100000 -100000000000000000000100001001100000000010000000000000 +000000000000001001000110000101000000000000000000000000 +000000000000000001110011101011000000000001000000000010 +111000000000000101110110001001000000000011010000000000 +000000000000010000000000000011001000000011000000100000 +010000000000001000000110100000000000000000000000000000 +010000000000000011000000000000000000000000000000000000 +000000000000100000000010101101000000000001010001000010 +000000001010000000000100001001101100000001100000000000 +001000000000000101000000011000000000000000000100100001 +000000000000000000100010111101000000000010000000000000 +000000000000000101100000001000000000000000000100100000 +000000000000000000100010110001000000000010000000000100 +000000001100000000000000001000000000000000000100000100 +000000000010000000000000001011000000000010000001000000 +010000000000000000000000000000000000000000000101000100 +100000000000000000000000001001000000000010000000000000 .logic_tile 7 14 -000000000000000111000000000101011110101100000000000000 -000000000000000000000010011111001011001100000000000001 -111000000001000101100000010101000001000000000000000000 -000000000000000000000010100001001000000000010000000000 -010000000001011101010111001001000000000000010000000000 -010000000000101111000100001001001011000000110000000000 -000000000000001011000010111111000001000000100000000001 -000000000000000101100010101101101000000000000000000000 -000011101010000001100111101011101101000010110000000000 -000001000000000000010000001011001011000011010000000000 -000000000000000111100000011111000001000000100000000010 -000000000000000000000011000001101110000000110000000000 -000000000000000000000011001011000001000011000000000000 -000000000001000000000000000101101101000011010000000000 -000000000000001000000000001000000000000000000110000000 -000000001100000101000000000101000000000010000000000000 +000000000000001111000110011001000000000001000000000001 +000000000000001111000010001001000000000000000000000000 +111000000000001000000010000001111011101000100001000100 +000000000000000001000111011001011011110100100000000000 +110000000000000001000110001001001111100110100000000000 +100000000000000000010000000101011001100101010000000000 +000000000000001001100111111111001101000000000000000000 +000000000000000001000110101011011011110000000000000000 +000000000000000011100000001011000000000010000000000000 +000000000000000000010010011111001011000011000000000000 +000000000000000000000011101001000001000011000000000000 +000000000000000000000100000101001011000000110000000000 +000000000000000011100000000111111000001100000100000000 +000000000000000000000010000101011001001101010000100000 +000000000000000000000011100000000000000000000000000000 +000001000000001001000100000000000000000000000000000000 .logic_tile 8 14 -000000000000000000000111110101100000000001000001000000 -000000000000000000000111111011000000000000000000000000 -111000000000100001100110100011000001000000000000000100 -000000000000001111000011001101101011000000010000000010 -010001001110000000000110110001000001000010000000000000 -010010100010000000000010101101101001000011000000000000 -000000000000101000000000010000000000000000000000000000 -000010100000000101000010100000000000000000000000000000 -000000000000000000000000011001111011001100000000000000 -000000000010000000000010001011011001101100000000000000 -000000000000000000000000001111111001000100000000000000 -000000000000000000000011111001101111000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000100000000000000001000000000010000000000000 -001000000000000000000111110000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 +000001000000001000000011100111000000001100110000000000 +000010000010000111000011010000000000110011000000000000 +111000000001011011100111000001000000000001000000000000 +000000000000101011100100001001100000000000000000000000 +110000000000001111000010110011011101101011110000000000 +100000000000000111000010001101111000011011110000000000 +000000000000001000000010000001000000000000000001000000 +000000000000000001000111001001100000000011000000000000 +000000000000001000000010101101111000100101010000000000 +000100000000000001000000000111101010100110100000000000 +000010000000000001000010000101111101001100000100000100 +000001000000000000100110010101111010001101010000000000 +000010000000000101100000000011101011110000000100000000 +000001000000001001000000000001011101111000000000000010 +000001000000000101100000000111001101110000000100000000 +000010000000000000000000000101001001111001010000100000 .logic_tile 9 14 -000000000000010000000000000000000000000000000000000000 -000000000000100000000011000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010100000000000000000011010000000000000000000000000000 -110100000000000000000011110000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001000000000111100000000000000000000000000000 -000000100000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000101000000000011000000000001 -000000000000000000000000001001100000000000000000000000 -000000000000000000010000000000000000000000000100100000 -000000000000000000000000001011000000000010000000000000 +000000000000001101100111101101011001101100100000000000 +000000000000000001100100001001101011110101000000000000 +111000000000001011000110011101000000000000000000000000 +000000000000000001000010001111100000000001000000000000 +110000000000000011000110011001000000000001000000000000 +010000000000000000000010001111000000000000000000000000 +000000000000000111100011000011111010001100110000000000 +000000000000000000000111000000001001110011000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000011101111000000000011000001000000 +000010000011001001000000001001000000000011000000000000 +000001000000100111100000001101000000000000000000000000 +000000000000000000000000001111100001000000010000000000 +000100000000000000000000000001001011000000000000000000 +010100000000001000000010011101000001000001000101000110 +100101000000001101000011011001001100000011000000000000 .ramt_tile 10 14 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3486,39 +3486,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 14 +000000000000000000000000011000000000000010000000000000 +000000000000000000000011001001000000000000000000000000 +111000000000010000000110011000000000000010000000000000 +000000000000000000000010001001000000000000000000000000 +010000000000000000000010000000000000000000000000000000 +010000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -111000000000000000000010000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000001000000000000000000111000000 -000000000000001101000000001001000000000010000000100111 -000000000000000000000000000000000000000000000100000010 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000101000000000010000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101100001001100110100000000 +000000000000000000000000000000101001110011000010100100 +010000000000000000000000001001100000000000000100000000 +100000000000000000000000001001100000000011000000000100 .logic_tile 12 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000011100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010010000000000000000000000000000000000000000000000000 -100001000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100100000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110101000000000000000000100100100 -000000000000000000000100001011000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000010000000000000000000000000000000000000000 +000000000000001000000000000000001001001100111000000000 +000000000000000001000000000000001010110011000000010000 +111000000000000011000010110000001001001100111000000000 +000000000000000000000010000000001101110011000000000000 +010000000000000001100000010000001001001100111000000000 +000000000000000000000010000000001001110011000000000000 +000000000000000001100000000000001001001100110001000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000001100000000000000100000000 +000000000000000000000011101011100000000001000000000000 +000001000000100000000110000001000000000000000100000000 +000000000001000000000000001111000000000001000000000000 +000000000000000000000000000101000000000000000100000000 +000000000000000000000011101011100000000001000000000000 +010000000000000000000000000101000000000000000100000000 +100000000000000000000000001111000000000001000000000000 .io_tile 13 14 000000000000000000 000000000000000000 @@ -3533,11 +3533,11 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000010000 000000000000000000 000000000000000000 -.io_tile 0 15 000000000000000000 +.io_tile 0 15 +000000000000011000 000000000000000000 000000000000000000 000000000000000000 @@ -3554,161 +3554,161 @@ 000000000000000000 000000000000000000 .logic_tile 1 15 -000000000000000000000000000000000000000010000001000011 -000000000000000000000000000000000000000000000000000001 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000011000000000101100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000100000000110010000000000000000001000000000 +000000000000010000000010000000001011000000000000000000 +010000000000000000000111100000001001001100111001000000 +110000000000000000000010110000001001110011000000000000 +000000000000000101100000000000001001001100110001000000 +000000000000000000100010110000001001110011000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001011000000000000000000100000 +000000000000000000000010011000000000000010000000000000 +000000000010000000000110111011000000000000000000000010 +000000000000000000000000001000000000000000000101000000 +000000000000000000000000000101000000000010001000000000 +010000000000000000000000000000000000000000000101000000 +100000000000000000000000000001000000000010001000000000 .logic_tile 2 15 -000000000000001001100010100001111001001010000001000000 -000000000000001101000110111101011000001001000000000000 -111000000000001011100011001001000000000010000000000000 -000000000000001101000100001101101001000000000000000000 -110000000000000000000011001101000000000010000000000000 -110000000000000101000100001011000000000011000000000000 -000000000000000111000000011101100000000000000001000000 -000000000000001101000010001011001000000000110000000000 -000000000000000011100110000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000001010000000011000000000000000000000000000000 -000000000000100000000010000000000000000000000000000000 -000000000000000000000010000101101100001100110000000000 -000000000000000000000000000000000000110011000000000000 -000000000000000000000000000001000000000010000100000001 -000000000000000000000000001011001010000011000010000000 +000000000010000111000010101101100000000001000000000000 +000000000000000000010010010101100000000000000000000000 +111000000000000101000011101011100000000000110000000000 +000000000000000101000110011001101111000001100000000000 +010000000000001001100000001101011101011001010100000000 +010000000000000001000010100001001100011010100000000010 +000000000000000101000010110101111111011001010100000000 +000000000000000000100010111001001011011010100000000010 +000000000000000011000000001001100001000001010100000000 +000000000000001001000000001001001110000001100000000010 +000000000000000011100000000011000001000001010100000000 +000000001100001001100010110001001100000001100000000010 +000000000000000000000000000101000001000001010100000000 +000000000000000000000010110101101110000001100000000010 +000000000000000011100000000101100001000001010100000011 +000000000000000000100000000011101100000001100000000000 .ramb_tile 3 15 -000001000000100000000000000000000000000000 -000000110001010000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000010010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 15 -000000000000000000000011001101111000000100000000000000 -000000000000000000000010010111001010000000000000000001 -111000000000000001000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -010000000000000111100110100000000000000000000000000000 -010000000000000011100111010000000000000000000000000000 -000000000000001111100000000000000000000000000000000000 -000000000000001101000011010000000000000000000000000000 -000000000000000000000000001101101010100100000000000000 -000000000000000000000000000101101011010100000000000000 -000000000000001000000000010011100000000000000010000000 -000000000000000001000011101101100000000001000000000000 -000000000000000000000000001001011111000111110100000000 -000000000000000000000000000001111100000011110000000100 -000000000000000001100000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000011000000000101000001000001010001000001 +000000000000000000000000001001101110000001100000000000 +110000000000000000000011000000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000011000000001101100001000001010001000001 +000000000000000000100000000001001110000001100000000000 +000000000000000000000111000000000000000000000000000000 +000000001110000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000001011000000000010001100000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000001000000000000000000000000000000000000000 +100000000000000101000000000000000000000000000000000000 .logic_tile 5 15 -000000000000000111100000001011100000000011110000000000 -000000000000000000100000001101101010000011100000000001 -111000000000000000000000010000000000000000000000000000 -000100000000001101000011000000000000000000000000000000 -110000000000000011100010101001011100010010000000000000 -010000000000000000000110111101011010000000000000000001 -000000000000000000000010100101000000000001000100000000 -000000000000001011000000001011100000000000000000000010 -000000000001000000000110001101100000000000110100000001 -000000000000000000000111111001001111000000000010000001 -000000000000000000000110000001100000000001000100000000 -000100000000000000000100001011000000000000000000000000 -000000000000000000000110000101000000000001000100000001 -000000000001010000000100001111100000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000111000110001101101000000100000000000000 +000000000000000001000010100001111011100000000000000000 +000000000000000001100110001001000000000000000000000000 +000000000000000101010011001001001010000000110000000000 +000000000000001000000010111011001101110011000000000000 +000000000000000001000010000011011001000000000000000000 +000000000000000001100110001101101001110011000000000000 +000000000000000011000011000111011010000000000000000000 +000000000000001001000010000011101011110000000000100001 +000000000000001111100111100011111011000000000000000000 +000000000000001000000010010011000000001100110000000000 +000000000000000111000011010000101100110011000000000000 +000000000000000000000000001101011000110100000011000000 +000000000000000000000000001101001000111100010000000000 +000000000000101000000000000011100000000011000000000000 +000000000000000111000000000001100000000000000000000000 .logic_tile 6 15 -000000000000001011100011001000000000000010000000000000 -000010000000001011010100000101000000000000000000000000 -111000000001000000000111011000000000000010000000000000 -000000000000001101000010101001000000000000000000000000 -010000000001000101100111010000001000001100110001000000 -110000000000100000100111010000011010110011000000000000 -000000000000001111100000011001100000000000000000000000 -000000000000000101100010001001000000000001000000000010 +000000000000000101100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001111111001111111110000000000 -000000000000000000000000000101101011011111110000000001 -000000000000000000000000000001011001001000010000000000 -000000000000000000000000000001011001000100010000000000 -000000000000000000000110100000000000000000000100000000 -000000000000000000000000001101000000000010000000000010 -.logic_tile 7 15 -000000000000010001000000010101000000000000001000000000 -000000000000100000100011000000100000000000000000001000 -111000000000000111100000010000000000000000001000000000 -000100000000000000000010000000001100000000000000000000 -010000000000000000000000010000000001000000001000000000 -010000000000000000000010110000001110000000000000000000 -001000000000000000000000000000000001000000001000000000 -000000000000001011000000000000001110000000000000000000 -000000000000000101100000000000001000111100000000100000 -000000000000000000000000000000000000111100000000000000 -000000000000000000000011101000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 -000000000000000000000000000001011010001100110000000000 -000000000000000000000000000000000000110011000000000000 -010000000000001101100000001001100000000001000100000010 -100000000000000101000000001011100000000000000000000000 -.logic_tile 8 15 -000000000000001001100000000011111000001100110001000000 -000000000000000001000010000000110000110011000000000000 -111000000000000000000011011001100000000000000000000000 -000000000000000000000110001011100000000011000000000000 -010000000000001001100010100101100000000000000001000000 -010000000000000001000110110111000000000001000000000000 -000000001110000101000000000001000000000000000000000000 -000000000000001101100000000001000000000011000001100000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000000000110000000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000011100000000000000000000010000100000000 -000000000000000000000000000101000000000000000000000000 -010000000000000000000000000000000000000000000100000000 -110000000000000000000000000101000000000010001000000000 -.logic_tile 9 15 -000000000000000101100000000101100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -110000000000000000000000000000001001001100110001000000 -110000001000000000000000000000001010110011000000000000 -000000000000000101100000010000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000000000000000010000000000010 -000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000100100000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100001000000110010000000 +000000000000000000000000000001101010000001100000000000 +.logic_tile 7 15 +000000000000000001100000010001101110010111110000000000 +000000000000000011000010000001011010100111110000000000 +111000000000000001100011111001111101000001110000000000 +000000000000000000000111011011111001000011100000000000 +110000000000000001000000001101101110010100000000000000 +100000001100000000010000001101011010100100000000000000 +000000000000001111000110101011000000000000000000100000 +000000000000000001000000000011100000000001000000100000 +000000000001001000000000010111111111100101100000000000 +000000000000000001000010111111101010001100110000000000 +000000000000000101100010000011100000000000000000000000 +000000000000010111100110000111000000000011000000000000 +000100000000000000000010000001000000000010000100000000 +000100000000000001000010001001000000000000000010000000 +000000000000001000000000010001101101000111110100000010 +000000000000001001000010011111101101000011110000000000 +.logic_tile 8 15 +000000000000000000000010000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +111000000000000101000111001011001001101011110000000000 +000000000000001101100000000101111001011011110000000000 +110000000000000000000110010000000000000000000000000000 +100000000000000000000011010000000000000000000000000000 +000000000000001000000000000111001001101011110000000000 +000000000000001011000000001101011001011011110000000000 +000010000000000001100000011001101100110000000100000000 +000001000000000000000010101111001001111000000000000010 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111001001101010110000000100000000 +000000000000000000000100001011011001111000000010000000 +.logic_tile 9 15 +000000000000000001010000000101000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000000010001101001001100111000000000 +000000000000000000000010000000101010110011000000000000 +000000000000000000000000000011001001001100111000000000 +000000000000000101000000000000101110110011000000000000 +000000000000001101100000000000001000001100110000000000 +000000000000000001100010100000000000110011000000000000 +000000000000000000000011100101100000000000000000000000 +000000000000000000000010001111100000000001000000000000 +000000000000000000000000000011111100101000000000000000 +000000000000000111000011010001011101110000000000000000 +000000000000000000000011100111111110010100010000000000 +000000000000000000000000001011011111001100010000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000111000011010000000000000000000000000000 .ramb_tile 10 15 -000010100001000000000000000000000000000000 -000001010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3724,39 +3724,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000011001000000000000010000001000000 -000000000000000000000000001001000000000000000000000000 -110000000000000000000000000000000000000000000110000000 -010000000000000000000000000000000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000110000000 +000000000000000000000010100001100001000000000000000000 +000000000000000001000110110101101001000000010000000000 +000000000000000101000010110101100001000000010001000000 +000000000000001101100010000101101000000000000000000000 +000000000000001011100000000000000000000010000000000000 +000000000000000001000010011001000000000000000000000000 +000000000000000000000010100000000000000010000000000000 +000000000000001101000110111101000000000000000000000000 000000000000000000000000000000000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000000000000000 +000000001110000000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000000101101001000100000000000000 +000000000000000000000000001001111000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 .logic_tile 12 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000110110111000000000000001000000000 +000000000000000000000010100000000000000000000000001000 +000000000000001000000110100111000000000000001000000000 +000000000000000101000000000000101100000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000101100000000111000001000000001000000000 +000000000000000000000000000000101110000000000000000000 +000000000000000001100000000000000001000000001000000000 +000000000000000000100000000000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000001000000011000000000001000000001000000000 +000000000000001001000000000000001000000000000000000000 +000000000000001000000000000000000000000000001000000000 +000000000000001001000000000000001001000000000000000000 .io_tile 13 15 000000000000000000 000000000000000000 @@ -3794,37 +3794,37 @@ .logic_tile 1 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000001100000000000000000000000000100000000 +010000000000000000100000000101000000000010001010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010001000000011 +010000000000000101100000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 2 16 -000000000000000000000110000101100000000000001000000000 -000000000000000000000010100000000000000000000000001000 -111000000000000000000110000011100000000000001000000000 -000000000000000000000010100000101110000000000000000000 -010000001100000000000000010001101000001100111000000000 -010000000000000000000010000000101100110011000000000000 -000000000000001001100010100101101000001100110000000000 -000000000000000001000000000000001110110011000000000000 -000000000000001000000000001011100000000011000100000000 -000000000000001001000000001001000000000010000000100000 -000000000000000111000000010111100000000011000100000000 -000000000000000000000010001001000000000010000000000000 -000000000000001000000000001101100001000000110100000010 -000000000000001001000000001011001011000000000000000000 -010000000000000111000000000111100000000011000100000000 -100000000000000000000000001101000000000010000000000000 +000000000000000101000000000101100000000000001000000000 +000000000000000101000000000000001000000000000000000000 +000000000000000000000111110001101001001100111000000001 +000000000000000000000111110000101101110011000000000000 +000000000000000101000000000001101000001100111000100000 +000000000000000000000000000000100000110011000000000000 +000000000000001011100111110000001000001100110000000100 +000000000000000001100110100000000000110011000000000000 +000000000000000111100000000001100000000000000000000001 +000000000000000000000000000101100000000011000000000000 +000000000000000000000110011011100000000001010000000001 +000000000000000000000010001101101111000001100000000000 +000000000000000000000000000011000001001100110000000000 +000000000000000000000011100000001010110011000000000000 +000000000000000000000000000101100000000001000000000000 +000000000000000000000000000001100000000000000000000000 .ramt_tile 3 16 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3836,121 +3836,118 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000000000000110010111100000000000001000000000 +000000000000000000000010000000101100000000000000000000 +110000000000000000000110010001101000001100110000000000 +010000000000000000000010000000101110110011000000000000 +000000000000000000000000011111100001000010000000000000 +000000000000000000000010001111101001000000000000000000 +000000000000000011100000001111000000000000000000000010 +000000000000000000000011111001000000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000001011100000000101000000000001000000000000 +000100100000000101000000001101100000000011000000000000 +010001000000000000000110111000000000000000000100000000 +100010000000000000000010101101000000000010000100000000 .logic_tile 5 16 -000000000000001011100000000011100000000000001000000000 -000000000000001111000011100000100000000000000000001000 -000000000000000000010111000011000000000000001000000000 -000000000000000000000100000000001100000000000000000000 -000000000000000001000000000001001000001100111000000000 -000000000000000001000000000000001110110011000000000000 -000000000000000000000000000101001000001100111000000000 -000000000000000000000000000000001100110011000000000000 -000000000000000111100000000001101000001100111000000000 -000000000000001111100000000000101110110011000000000000 -000000000000000000000010000001001000001100111000000000 -000000000000000000000100000000101100110011000000000000 -000000000000000111100000000101001000001100111000000000 -000000000000000000100000000000001110110011000000000000 -000000000000000000000000000001001000001100110000000000 -000000000000000000000000000000001100110011000000000000 +000000000000100000000110001001011011000100100000000000 +000000000001010000000011110101101110000000000000000000 +111000000000001001100000010000000000000000000000000000 +000000000000001111000010000000000000000000000000000000 +010000000000000000000110100000000000000000000000000000 +010000001100000000000000000000000000000000000000000000 +000000000000000001100110010001111000001100110000000000 +000000000000001001000010000000100000110011000000000000 +000000000000000000000000001101100000000011000000000000 +000000000000000000000000000111001011000011110000100010 +000000000000000000000000001101100000000011000000000000 +000000000000000000000000001101100000000000000000000000 +000000000000000000000000000001000000000001000100000000 +000000000000000000000000001101100000000011000100000000 +010000000100000001100000001000000000000000000100000000 +100000000000010000100000000001000000000010000100000000 .logic_tile 6 16 -000000000000000001000000001000000000000010000000000000 -000000000000000000100010001101000000000000000000000000 -111000000000000001100110111000000000000010000000000000 -000000000000000000000010100011000000000000000000000000 -010000000000000000000000001000000000000010000000000000 -010000000000000000000000001011000000000000000000000000 -000000000000000101100110011000000000000010000000000000 -000000000000000000000010000001000000000000000000000000 -000000000110000000000000001001111011000010000000000000 -000000000000000000000010010111011011000000000000000000 -000000000000000001100000010101100000000001000100000000 -000000000000000000100010000001000000000000000000000000 -000000000000000000000000000001100000000001000100000000 -000000000000000000000000001011100000000000000000000000 -010000000000000001100000000001100000000001000100000000 -100000000000000000100000000001100000000000000000000000 +000000000000000000000110010001100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +111000000000000011000000000111100001000000001000000000 +000000000000010000000000000000001111000000000000000000 +110000000000000000000110000101101001001100111000000000 +110000000000000000000100000000101101110011000000000000 +000000000000000000000110010101101001001100110000000000 +000000000000000000000010000000101111110011000000000000 +000100000000000000000010000000000000000010000000000000 +000100000000000000000000000111000000000000000000000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000011110111101001000000000000000000 +000000000000001001000011000011000000000011000100000000 +000000000000000101000000001001100000000010000000000000 +010000000000000101100000000101000000000011000100000000 +100000000000000000000011111001100000000010000000000000 .logic_tile 7 16 -000000000000000101100000010111000000000000001000000000 -000000000000000000000010100000000000000000000000001000 -000000000000000101000000000000000001000000001000000000 -000010000000000000000000000000001000000000000000000000 -000000000000001000000110100000000000000000001000000000 -000000000000000101000000000000001110000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001011000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000001001100000000000000000000000001000000000 -000000000000001001100000000000001001000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000001 +000000000000000000000110000001011010001100110000000000 +000000000000000000000011100000110000110011000000000000 +111000000000000101100000001111000001000000000000000100 +000000000000000000000000000011101000000000110000000000 +011010100000001000000010100000000000000000000000000000 +010001000000000001000000000000000000000000000000000000 +000000000000000000000000000101000000000011000101000000 +000000000000000000000010010101100000000010000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000001100000001111000000000000110100000000 +000000000000000000000000000011101100000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 +100010000000000000000000000000000000000000000000000000 .logic_tile 8 16 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000001000000010100001100001000000001000000000 -000000000000001011000010100000101101000000000000000000 -110000000000000000000110010011001001001100111000000000 -110000000000000000000010000000101111110011000000000000 -000000000000000001100010100001101001001100110000000000 -000000000000000000000010100000001101110011000000000000 -000000000000000000000010001101111111000100000000000000 -000000000000000000000000001101111101000000000000000000 -000000000000000000000011111000000000000010000000000000 -000000000000000000000111111111000000000000000000000000 -000000000000000000000010000000000000000000000100000000 -000000000000000000000000001101000000000010001000100000 -010000000000000000000011100000000000000000000100000000 -110000000000000000000110011101000000000010000001000000 +000000000000000101100111100001000000000000001000000000 +000000000000000000100100000000100000000000000000001000 +000000000000000011000000000101000001000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000001111000011000101001000001100111000000000 +000000000000001011100000000000000000110011000000100000 +000000000000000000000011000101001001001100111000000000 +000000000000000000000000000000101111110011000000000010 +000000000001000000000000000001101001001100111000000000 +000000100010000000000000000000001101110011000000000000 +000001000000000000000000010101101001001100111000000000 +000000100000000000000011000000101111110011000000000000 +000000000000000000000000000001001001001100110000000000 +000000000000000000000000000000001101110011000000000010 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 .logic_tile 9 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001000000111100111100000000000001000000000 +000000000000001111000100000000100000000000000000001000 +000000000000001111100000000001100000000000001000000000 +000000000000000111000000000000001001000000000000000000 +000000001110000000000000010101100000000000001000000000 +000000000000000000000011110000100000000000000000000000 +000000000001010000000000010001000000000000001000000000 +000000000000100000010011100000001001000000000000000000 +000000000000000000000000010101000000000000001000000000 +000000000000000000000011110000100000000000000000000000 +000000000000000000000000000011000000000000001000000000 +000000000000000000000000000000101001000000000000000000 +000000000000000000010000000001100000000000001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000001000111100000001000000 +000000000000000000000011110000000000111100000000100000 .ramt_tile 10 16 -000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3958,43 +3955,46 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 16 -000000000000001000000000000000000000000010000000000000 -000000000000001111000000000001000000000000000010000000 -111000000000001000000000010000000000000000000000000000 -000000000000000111000011010000000000000000000000000000 -110000000000000000000000001000000000000000000100000000 -010000000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000111000000000010000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 +000000000000000000000110010101100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +111000000000001001100110010000000001000000001000000000 +000000000000000001000010000000001011000000000000000000 +110000000000000000000000000000001000001100111100000000 +010000000000000000000000000000001001110011000001000100 +000000000000001000000000010000001000001100111100000000 +000000000000000011000011000000001001110011000001000000 +000000000000000000000000010000001001001100111100100000 +000000000000000000000011000000001000110011000001000000 +000001000000000000000000000000001001001100111100100000 +000000000000000000000000000000001000110011000001000000 +000000000000000000000000000000001001001100111100100000 +000000000000000000000000000000001001110011000000000010 +010000000000000000000000000000001001001100110101000000 +100000000000000000000000000000001001110011000000000010 .logic_tile 12 16 -000000000000100000000000001000000000000010000000000000 -000000000001110000000011111101000000000000000000000000 -111000000000000001000111000000000000000000000000000000 -000000000000000001000100000000000000000000000000000000 -010000000000001000000000000000000000000000000000000000 -010000000000000001000000000000000000000000000000000000 -010000000000000111100111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000001001100000000001000000000000 -110000000000000000000000000001000000000000000000100000 -000000000010000000000000001000000000000000000100000100 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000100000000 -110000000000000000000000000101000000000010000001000000 +000000000000000011000000001001101000101100000000000000 +000000000000000000100000000101101100111100000000010100 +111000000000001000000110100000000000000000000100000000 +000000000000000011000000000001000000000010000000000000 +110010000000000000000000000000000000000000000100000000 +000001000000000000000000001111000000000010000000000100 +000000000000000101100000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000000 +000000000000001111100000010000000000000000000110000000 +000000000000001001100010110111000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +000000000000000101100110100000000000000000000100000000 +000000000000000000100100001011000000000010000000000000 +010000000000001000000000011000000000000000000100000000 +010000000000001101000010111111000000000010000001000000 .io_tile 13 16 000000000000000000 000000000000000000 @@ -4059,7 +4059,7 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4071,7 +4071,7 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000001000000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -4098,20 +4098,20 @@ 000000000000000000 000000000000000000 .io_tile 6 17 -000000111000000000 -000000001000000000 000000000000000000 -000000000001100000 -000000000000000100 000000000000000000 -000100000000000000 000000000000000000 000000000000000000 +000000000000000100 +000000000000001100 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 +000010000000000000 +000010010000000000 000000000000000000 000000000000000000 .io_tile 7 17 @@ -4119,8 +4119,8 @@ 000000000000000000 000000000000000000 000000000000000000 -000001011000000100 -000000001000000100 +000000000000000100 +000000000000001100 000100000000000000 000000000000000000 000000000000000000 @@ -4128,7 +4128,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000110000000000 000000000000000000 000000000000000000 .io_tile 8 17 @@ -4145,7 +4145,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 .io_tile 9 17 @@ -4153,8 +4153,8 @@ 000111110000000000 000000000000000000 000000000000000001 -000001010010000001 -000000001001000000 +000001110010000001 +000000000001000000 001100000000000000 000000000000000000 000000000000000000 @@ -4166,5244 +4166,6441 @@ 000000000000000001 000000000000000000 .io_tile 10 17 -000000000000000010 -000100000000000000 -000000000000000000 +000010000000000010 +000101010000000000 +000001111000000000 +000000001000000001 000000000000000001 -000000000001000001 000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000011000010 -000001010001000000 -000001010000000000 +000000000000000010 +000000000001000000 +000000000000000000 000000000000000001 000000000000000001 000000000000000000 .io_tile 11 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 +000000000000000010 000100000000000000 000000000000000000 +000000000000000001 +000000000011000001 +000000000011000000 +001100000000000000 000000000000000000 000000000000000000 +000100000000000000 +000001010001000010 +000000000011000000 000000000000000000 -000000000000000000 -000000000000000000 +000000000000000001 +000000000000000001 +000001010000000000 .io_tile 12 17 +000000011000100010 +000100001000000000 000000000000000000 -000000000000000000 -000000000000100000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 +000000000000000001 +000000000000000001 +000000000001000000 +001100000000000000 000000000000000000 000000000000000000 000100000000000000 +000000000011000010 +000000000001000000 +000000011000000000 +000000001000000001 +000000000000000001 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.sym 1 $abc$32112$n29$2 -.sym 2 $abc$32112$n435$2 -.sym 3 $0\KBD_FREEZE[0:0]$2 -.sym 4 $abc$32112$n720$2 -.sym 5 $abc$32112$n992$2 -.sym 6 $abc$32112$n839$2 +.ram_data 3 9 +000000040000000a00000003000000020000003f000100000000001e00000000 +000000000000000000010000000100010004009f000000060000000500000003 +0000001500e7002900e0001900070005000100a1000600090001000500000000 +0005009500010081000800750001009500020081000800950001007500010025 +0003009100030075000100950002009100050029000100190008000500010075 +0000008100650029000000190007000500650025000000150008007500060095 +00000000000000000000000000000000000000000000000000000000000000c0 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +.ram_data 3 3 +0000000000000000000000000000000000000000000000000000000000005555 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +.ram_data 3 1 +000000010001000100e70058004c0053000100010001000100e0003900e10029 +0001000100010001002c002a0019003b000100010001000100e200150006003a +0001000100010001002c002a0019003b000100010001000100e200150006003a +0001000100010001002c002a0019003b000100010001000100e200150006003a +0001000100010001002c002a0019003b000100010001000100e200150006003a +0001000100010001002c002a0019003b000100010001000100e200150006003a +0001000100010001002c002a0019003b000100010001000100e200150006003a +0001000100010001002c002a0019003b000100010001000100e200150006003a +0001000100010001002c002a0019003b000100010001000100e200150006003a +0001000100010001002c002a0019003b000100010001000100e200150006003a +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +.ram_data 3 11 +0000000000000000000000000000000000000000000000000000000000005555 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +.sym 1 $abc$56607$n33$2 +.sym 2 $abc$56607$n901$2 +.sym 3 $abc$56607$n35$2 +.sym 4 $abc$56607$n1490$2 +.sym 5 $abc$56607$n31$2 +.sym 6 $abc$56607$n1471$2 .sym 7 CLK$2$2 -.sym 8 $abc$32112$n693$2 -.sym 50 I2C.received_byte[2] -.sym 184 I2C.received_byte[1] -.sym 297 $abc$32112$n2168 -.sym 298 I2C.received_byte[0] -.sym 405 $abc$32112$n532 -.sym 406 $abc$32112$n518 -.sym 408 $abc$32112$n562 -.sym 411 $abc$32112$n525 -.sym 412 I2C.received_byte[6] -.sym 521 $abc$32112$n1330 -.sym 523 $abc$32112$n1327 -.sym 524 $abc$32112$n1518 -.sym 526 I2C.FLT_SDA.out -.sym 633 $abc$32112$n859 -.sym 635 $abc$32112$n848_1 -.sym 636 $abc$32112$n555 -.sym 637 $abc$32112$n858 -.sym 639 I2C.received_byte[5] -.sym 746 $abc$32112$n1520 -.sym 747 $abc$32112$n847 -.sym 748 $abc$32112$n1519 -.sym 749 $abc$32112$n1331 -.sym 750 I2C.FLT_SCL.counter[2] -.sym 751 I2C.FLT_SCL.counter[0] -.sym 753 I2C.FLT_SCL.counter[1] +.sym 8 $abc$56607$n1018$2 +.sym 54 $abc$56607$n2583 +.sym 177 $abc$56607$n2528 +.sym 178 $abc$56607$n2624 +.sym 179 $abc$56607$n2626 +.sym 181 $abc$56607$n2625 +.sym 182 $abc$56607$n2628 +.sym 183 $abc$56607$n2623 +.sym 184 $abc$56607$n2627 +.sym 293 $abc$56607$n2212 +.sym 294 $abc$56607$n2213 +.sym 295 $abc$56607$n2214 +.sym 296 $abc$56607$n2215 +.sym 297 $abc$56607$n2216 +.sym 298 $abc$56607$n2217 +.sym 405 $abc$56607$n1524 +.sym 406 $abc$56607$n1526 +.sym 407 $abc$56607$n1530 +.sym 408 I2C.byte_counter[4] +.sym 409 I2C.byte_counter[3] +.sym 410 I2C.byte_counter[7] +.sym 411 I2C.byte_counter[2] +.sym 412 I2C.byte_counter[5] +.sym 519 $abc$56607$n2210 +.sym 520 $abc$56607$n1521 +.sym 521 $abc$56607$n1520 +.sym 522 $abc$56607$n1277 +.sym 523 $abc$56607$n1306 +.sym 524 I2C.byte_counter[0] +.sym 525 I2C.byte_counter[6] +.sym 526 I2C.byte_counter[1] +.sym 633 $abc$56607$n1523 +.sym 634 $abc$56607$n1302_1 +.sym 635 $abc$56607$n1301 +.sym 637 $abc$56607$n1303 +.sym 638 $abc$56607$n1529 +.sym 639 $abc$56607$n1305 +.sym 640 I2C.i2c_start_latency +.sym 748 KEYBOARD.init_ram_cnt[2] +.sym 749 KEYBOARD.init_ram_cnt[3] +.sym 750 KEYBOARD.init_ram_cnt[4] +.sym 751 KEYBOARD.init_ram_cnt[5] +.sym 752 KEYBOARD.init_ram_cnt[6] +.sym 753 KEYBOARD.init_ram_cnt[7] .sym 830 CLK$2 .sym 836 CLK$2 -.sym 944 $abc$32112$n720 -.sym 975 $abc$32112$n650 -.sym 977 I2C_INPUT_DATA[1][2] -.sym 979 I2C_INPUT_DATA[1][1] -.sym 980 I2C_INPUT_DATA[1][7] -.sym 981 I2C_INPUT_DATA[1][4] -.sym 1088 $abc$32112$n651_1 -.sym 1089 $abc$32112$n649 -.sym 1090 I2C_INPUT_DATA[1][0] -.sym 1092 I2C_INPUT_DATA[1][6] -.sym 1093 I2C_INPUT_DATA[1][3] -.sym 1094 I2C_INPUT_DATA[1][5] -.sym 1202 $abc$32112$n973_1 -.sym 1203 $abc$32112$n648 -.sym 1205 I2C_INPUT_DATA[0][3] -.sym 1206 I2C_INPUT_DATA[0][2] -.sym 1208 I2C_INPUT_DATA[0][1] +.sym 860 KEYBOARD.init_ram_cnt[8] +.sym 862 KEYBOARD.init_ram_cnt[1] +.sym 864 KEYBOARD.init_ram_cnt[0] +.sym 944 $abc$56607$n1490 +.sym 974 $abc$56607$n673 +.sym 975 $abc$56607$n674 +.sym 976 $abc$56607$n805 +.sym 977 $abc$56607$n2612 +.sym 978 $abc$56607$n2611 +.sym 979 $abc$56607$n807 +.sym 980 I2C_HID_DESC.real_adress[3] +.sym 981 I2C_HID_DESC.real_adress[2] +.sym 1090 I2C_INPUT_LEN[2] +.sym 1091 I2C_INPUT_LEN[3] +.sym 1092 I2C_INPUT_LEN[4] +.sym 1093 I2C_INPUT_LEN[5] +.sym 1094 I2C_INPUT_LEN[6] +.sym 1095 I2C_INPUT_LEN[7] +.sym 1209 $abc$56607$n2569 .sym 1289 COM_TX$2 -.sym 1323 COM_TX$2 +.sym 1323 $abc$56607$n2580 .sym 1403 I2C.is_read -.sym 1431 COM_DSR$2 -.sym 1432 I2C_HID_DESC.last_rd_request +.sym 1437 $abc$56607$n2570 .sym 1517 COM_DSR$2 .sym 1522 COM_DCD$2 -.sym 1544 $true$2 -.sym 1880 $abc$32112$n1732 -.sym 1881 $abc$32112$n1734 -.sym 1882 $abc$32112$n1736 +.sym 1546 $abc$56607$n1817 +.sym 1547 $abc$56607$n1820 +.sym 1548 $abc$56607$n2613 +.sym 1549 $abc$56607$n276 +.sym 1550 ring_rd[2] +.sym 1551 ring_rd[3] +.sym 1660 ring_rd[1] +.sym 1664 ring_rd[0] +.sym 1851 $false +.sym 1853 KEYBOARD.ROWS_EN[13] +.sym 1856 $false +.sym 1858 KEYBOARD.ROWS_EN[14] +.sym 1880 $abc$56607$n1979 +.sym 1881 $abc$56607$n1981 +.sym 1882 $abc$56607$n1983 .sym 1883 I2C.FLT_SCL.RESET .sym 1884 rststate[1] .sym 1885 rststate[3] .sym 1886 rststate[2] -.sym 2066 $abc$32112$n1730 -.sym 2067 I2C_INPUT_DATA[0][7] -.sym 2068 I2C_INPUT_DATA[0][4] -.sym 2203 I2C.FLT_SDA.out +.sym 2065 $abc$56607$n360 +.sym 2066 $abc$56607$n361 +.sym 2067 $abc$56607$n2529 +.sym 2068 $abc$56607$n2051 +.sym 2069 KEYBOARD.row_counter[2] +.sym 2070 KEYBOARD.kbd_code[4] +.sym 2147 $true +.sym 2184 $abc$56607$n2528$2 +.sym 2185 $false +.sym 2186 $abc$56607$n2528 +.sym 2187 $false +.sym 2188 $false +.sym 2190 $auto$alumacc.cc:470:replace_alu$12147.C[3] +.sym 2192 $false +.sym 2193 $abc$56607$n2627 +.sym 2196 $auto$alumacc.cc:470:replace_alu$12147.C[4] +.sym 2198 $false +.sym 2199 $abc$56607$n2623 +.sym 2202 $auto$alumacc.cc:470:replace_alu$12147.C[5] .sym 2204 $false -.sym 2205 $false -.sym 2206 $false -.sym 2231 $abc$32112$n532 -.sym 2232 CLK$2$2 -.sym 2233 $false -.sym 2362 I2C.FLT_SDA.out +.sym 2205 $abc$56607$n2628 +.sym 2208 $auto$alumacc.cc:470:replace_alu$12147.C[6] +.sym 2210 $false +.sym 2211 $abc$56607$n2624 +.sym 2214 $auto$alumacc.cc:470:replace_alu$12147.C[7] +.sym 2216 $false +.sym 2217 $abc$56607$n2625 +.sym 2220 $abc$56607$n2583$2 +.sym 2222 $false +.sym 2223 $abc$56607$n2626 +.sym 2230 $abc$56607$n2583$2 +.sym 2234 $abc$56607$n2615 +.sym 2235 $abc$56607$n2530 +.sym 2236 $abc$56607$n879 +.sym 2237 $abc$56607$n372 +.sym 2238 $abc$56607$n2617 +.sym 2239 $abc$56607$n1977 +.sym 2240 rststate[0] +.sym 2241 I2C.i2c_bit_counter[3] +.sym 2320 $abc$56607$n1521 +.sym 2321 $false +.sym 2322 $false +.sym 2323 $false +.sym 2326 $abc$56607$n1527 +.sym 2327 $false +.sym 2328 $false +.sym 2329 $false +.sym 2332 $abc$56607$n1530 +.sym 2333 $false +.sym 2334 $false +.sym 2335 $false +.sym 2344 $abc$56607$n1529 +.sym 2345 $false +.sym 2346 $false +.sym 2347 $false +.sym 2350 $abc$56607$n1526 +.sym 2351 $false +.sym 2352 $false +.sym 2353 $false +.sym 2356 $abc$56607$n1524 +.sym 2357 $false +.sym 2358 $false +.sym 2359 $false +.sym 2362 $abc$56607$n1523 .sym 2363 $false .sym 2364 $false .sym 2365 $false -.sym 2366 $abc$32112$n525 -.sym 2367 CLK$2$2 -.sym 2368 $false -.sym 2369 $abc$32112$n2175 -.sym 2370 $abc$32112$n994 -.sym 2372 $abc$32112$n1011 -.sym 2373 $abc$32112$n652 -.sym 2375 $abc$32112$n1018 -.sym 2376 I2C.i2c_bit_counter[3] +.sym 2369 $abc$56607$n873_1 +.sym 2370 $abc$56607$n2047 +.sym 2371 $abc$56607$n2248 +.sym 2372 $abc$56607$n2045 +.sym 2373 $abc$56607$n358 +.sym 2374 $abc$56607$n880 +.sym 2375 I2C.i2c_bit_counter[1] +.sym 2376 I2C.i2c_bit_counter[0] .sym 2417 $true -.sym 2454 $abc$32112$n994$2 +.sym 2454 $abc$56607$n1520$2 .sym 2455 $false -.sym 2456 $abc$32112$n994 +.sym 2456 $abc$56607$n1520 .sym 2457 $false .sym 2458 $false -.sym 2460 $auto$alumacc.cc:470:replace_alu$4584.C[4] -.sym 2462 $true$2 -.sym 2463 $abc$32112$n1009 -.sym 2466 $auto$alumacc.cc:470:replace_alu$4584.C[5] +.sym 2460 $auto$alumacc.cc:470:replace_alu$12152.C[2] +.sym 2462 $false +.sym 2463 $abc$56607$n1521 +.sym 2466 $auto$alumacc.cc:470:replace_alu$12152.C[3] +.sym 2467 $false .sym 2468 $false -.sym 2469 $abc$32112$n1018 -.sym 2472 $auto$alumacc.cc:470:replace_alu$4584.C[6] +.sym 2469 $abc$56607$n1523 +.sym 2470 $auto$alumacc.cc:470:replace_alu$12152.C[2] +.sym 2472 $auto$alumacc.cc:470:replace_alu$12152.C[4] +.sym 2473 $false .sym 2474 $false -.sym 2475 $abc$32112$n1017 -.sym 2478 $auto$alumacc.cc:470:replace_alu$4584.C[7] +.sym 2475 $abc$56607$n1524 +.sym 2476 $auto$alumacc.cc:470:replace_alu$12152.C[3] +.sym 2478 $auto$alumacc.cc:470:replace_alu$12152.C[5] +.sym 2479 $false .sym 2480 $false -.sym 2481 $abc$32112$n1015 -.sym 2484 $abc$32112$n2168$2 +.sym 2481 $abc$56607$n1526 +.sym 2482 $auto$alumacc.cc:470:replace_alu$12152.C[4] +.sym 2484 $auto$alumacc.cc:470:replace_alu$12152.C[6] +.sym 2485 $false .sym 2486 $false -.sym 2487 $abc$32112$n1014 -.sym 2494 $abc$32112$n2168$2 -.sym 2497 I2C.FLT_SDA.out +.sym 2487 $abc$56607$n1527 +.sym 2488 $auto$alumacc.cc:470:replace_alu$12152.C[5] +.sym 2490 $auto$alumacc.cc:470:replace_alu$12152.C[7] +.sym 2491 $false +.sym 2492 $false +.sym 2493 $abc$56607$n1529 +.sym 2494 $auto$alumacc.cc:470:replace_alu$12152.C[6] +.sym 2497 $false .sym 2498 $false -.sym 2499 $false -.sym 2500 $false -.sym 2501 $abc$32112$n518 -.sym 2502 CLK$2$2 -.sym 2503 $false -.sym 2590 $abc$32112$n685 -.sym 2591 $abc$32112$n696 +.sym 2499 $abc$56607$n1530 +.sym 2500 $auto$alumacc.cc:470:replace_alu$12152.C[7] +.sym 2504 $abc$56607$n2043 +.sym 2505 $abc$56607$n1321 +.sym 2506 $abc$56607$n1315_1 +.sym 2507 $abc$56607$n1527 +.sym 2508 $abc$56607$n1492 +.sym 2509 $false$2 +.sym 2510 I2C_HID_DESC.last_rd_request +.sym 2590 $abc$56607$n2043 +.sym 2591 I2C.byte_counter[3] .sym 2592 $false .sym 2593 $false -.sym 2596 $abc$32112$n685 -.sym 2597 $abc$32112$n692 +.sym 2596 $abc$56607$n2043 +.sym 2597 I2C.byte_counter[4] .sym 2598 $false .sym 2599 $false -.sym 2608 $abc$32112$n699 -.sym 2609 $abc$32112$n696 -.sym 2610 $false -.sym 2611 $false -.sym 2626 $abc$32112$n685 -.sym 2627 $abc$32112$n693_1 -.sym 2628 $abc$32112$n1051 -.sym 2629 $false -.sym 2632 I2C.FLT_SDA.out -.sym 2633 $false -.sym 2634 $false -.sym 2635 $false -.sym 2636 $abc$32112$n562 +.sym 2602 $abc$56607$n2043 +.sym 2603 I2C.byte_counter[7] +.sym 2604 $false +.sym 2605 $false +.sym 2608 $abc$56607$n2214 +.sym 2609 $abc$56607$n1301 +.sym 2610 $abc$56607$n1526 +.sym 2611 $abc$56607$n1304 +.sym 2614 $abc$56607$n2213 +.sym 2615 $abc$56607$n1524 +.sym 2616 $abc$56607$n1306 +.sym 2617 $false +.sym 2620 $abc$56607$n2217 +.sym 2621 $abc$56607$n1301 +.sym 2622 $abc$56607$n1530 +.sym 2623 $abc$56607$n1304 +.sym 2626 $abc$56607$n2212 +.sym 2627 $abc$56607$n1301 +.sym 2628 $abc$56607$n1523 +.sym 2629 $abc$56607$n1304 +.sym 2632 $abc$56607$n2215 +.sym 2633 $abc$56607$n1527 +.sym 2634 $abc$56607$n1301 +.sym 2635 $abc$56607$n1304 +.sym 2636 $true .sym 2637 CLK$2$2 .sym 2638 $false -.sym 2639 $abc$32112$n1180 -.sym 2640 $abc$32112$n849 -.sym 2641 $abc$32112$n842 -.sym 2642 $abc$32112$n1517 -.sym 2643 $abc$32112$n848 -.sym 2644 I2C.FLT_SDA.counter[2] -.sym 2645 I2C.FLT_SDA.counter[1] -.sym 2646 I2C.FLT_SDA.counter[0] -.sym 2687 $true -.sym 2724 I2C.FLT_SDA.counter[0]$2 +.sym 2639 $abc$56607$n874 +.sym 2640 $abc$56607$n1487 +.sym 2641 $abc$56607$n1304 +.sym 2642 $abc$56607$n877 +.sym 2643 $abc$56607$n1276 +.sym 2644 $abc$56607$n1248 +.sym 2645 $abc$56607$n1300 +.sym 2646 I2C.received_byte[0] .sym 2725 $false -.sym 2726 I2C.FLT_SDA.counter[0] -.sym 2727 $false +.sym 2726 $true$2 +.sym 2727 $abc$56607$n1520 .sym 2728 $false -.sym 2730 $auto$alumacc.cc:470:replace_alu$4622.C[2] -.sym 2732 I2C.FLT_SDA.counter[1] -.sym 2733 $true$2 -.sym 2737 $false -.sym 2738 I2C.FLT_SDA.counter[2] -.sym 2739 $true$2 -.sym 2740 $auto$alumacc.cc:470:replace_alu$4622.C[2] -.sym 2749 $false -.sym 2750 I2C.FLT_SDA.counter[0] +.sym 2731 $abc$56607$n2043 +.sym 2732 I2C.byte_counter[1] +.sym 2733 $false +.sym 2734 $false +.sym 2737 $abc$56607$n2043 +.sym 2738 I2C.byte_counter[0] +.sym 2739 $false +.sym 2740 $false +.sym 2743 I2C.byte_counter[2] +.sym 2744 I2C.byte_counter[3] +.sym 2745 I2C.byte_counter[0] +.sym 2746 I2C.byte_counter[1] +.sym 2749 $abc$56607$n1301 +.sym 2750 $abc$56607$n1304 .sym 2751 $false -.sym 2752 $true$2 -.sym 2755 I2C.FLT_SDA.counter[2] -.sym 2756 I2C.FLT_SDA.counter[0] -.sym 2757 I2C.FLT_SDA.counter[1] -.sym 2758 $abc$32112$n1330 -.sym 2767 I2C.SDAF -.sym 2768 $false -.sym 2769 $false -.sym 2770 $false -.sym 2771 $abc$32112$n848 +.sym 2752 $false +.sym 2755 $abc$56607$n2210 +.sym 2756 $abc$56607$n1520 +.sym 2757 $abc$56607$n1301 +.sym 2758 $abc$56607$n1304 +.sym 2761 $abc$56607$n2216 +.sym 2762 $abc$56607$n1301 +.sym 2763 $abc$56607$n1529 +.sym 2764 $abc$56607$n1304 +.sym 2767 $abc$56607$n1306 +.sym 2768 I2C.byte_counter[0] +.sym 2769 I2C.byte_counter[1] +.sym 2770 $abc$56607$n2043 +.sym 2771 $true .sym 2772 CLK$2$2 -.sym 2773 $0\KBD_FREEZE[0:0]$2 -.sym 2776 $abc$32112$n1182 -.sym 2777 $abc$32112$n577 -.sym 2778 $abc$32112$n539 -.sym 2780 $abc$32112$n692 -.sym 2781 I2C.received_byte[7] -.sym 2860 I2C.FLT_SCL.out -.sym 2861 I2C.SCLF -.sym 2862 $abc$32112$n847 +.sym 2773 $false +.sym 2775 $abc$56607$n2606 +.sym 2776 $abc$56607$n1283 +.sym 2777 I2C_TRANS +.sym 2778 $abc$56607$n2518 +.sym 2779 $abc$56607$n875 +.sym 2781 I2C.received_byte[4] +.sym 2860 $abc$56607$n2043 +.sym 2861 I2C.byte_counter[2] +.sym 2862 $false .sym 2863 $false -.sym 2872 $abc$32112$n1520 -.sym 2873 $abc$32112$n1334 -.sym 2874 I2C.FLT_SCL.out -.sym 2875 I2C.SCLF -.sym 2878 $abc$32112$n699 -.sym 2879 $abc$32112$n693_1 -.sym 2880 $abc$32112$n1051 -.sym 2881 $false -.sym 2884 $abc$32112$n1519 -.sym 2885 $abc$32112$n848_1 -.sym 2886 $false -.sym 2887 $false -.sym 2896 I2C.FLT_SDA.out -.sym 2897 $false -.sym 2898 $false +.sym 2866 $abc$56607$n1523 +.sym 2867 $abc$56607$n1303 +.sym 2868 I2C.byte_counter[0] +.sym 2869 I2C.byte_counter[1] +.sym 2872 $abc$56607$n1302_1 +.sym 2873 $abc$56607$n1529 +.sym 2874 $false +.sym 2875 $false +.sym 2884 I2C.byte_counter[3] +.sym 2885 I2C.byte_counter[4] +.sym 2886 I2C.byte_counter[5] +.sym 2887 I2C.byte_counter[7] +.sym 2890 $abc$56607$n2043 +.sym 2891 I2C.byte_counter[6] +.sym 2892 $false +.sym 2893 $false +.sym 2896 I2C.i2c_start_latency +.sym 2897 I2C.i2c_state_machine +.sym 2898 $abc$56607$n2043 .sym 2899 $false -.sym 2906 $abc$32112$n555 +.sym 2902 $abc$56607$n2043 +.sym 2903 $false +.sym 2904 $false +.sym 2905 $false +.sym 2906 $abc$56607$n1305 .sym 2907 CLK$2$2 .sym 2908 $false -.sym 2911 $abc$32112$n1334 -.sym 2912 $abc$32112$n696 -.sym 2913 $abc$32112$n720_1 -.sym 2915 $abc$32112$n1102 -.sym 2916 I2C_INPUT_DATA[0][5] -.sym 2995 I2C.FLT_SCL.counter[0] -.sym 2996 I2C.FLT_SCL.counter[1] +.sym 2909 $abc$56607$n925 +.sym 2910 $abc$56607$n924 +.sym 2912 $abc$56607$n923 +.sym 2913 $abc$56607$n2621 +.sym 2915 KEYBOARD.ram_adr[6] +.sym 2916 KEYBOARD.ram_adr[5] +.sym 2957 $true +.sym 2994 KEYBOARD.init_ram_cnt[0]$2 +.sym 2995 $false +.sym 2996 KEYBOARD.init_ram_cnt[0] .sym 2997 $false .sym 2998 $false -.sym 3001 I2C.FLT_SCL.counter[0] -.sym 3002 I2C.FLT_SCL.counter[1] -.sym 3003 I2C.FLT_SCL.counter[2] -.sym 3004 $false -.sym 3007 $abc$32112$n847 -.sym 3008 $abc$32112$n1331 -.sym 3009 $false -.sym 3010 $false +.sym 3000 $auto$alumacc.cc:470:replace_alu$12188.C[2] +.sym 3002 $false +.sym 3003 KEYBOARD.init_ram_cnt[1] +.sym 3006 $auto$alumacc.cc:470:replace_alu$12188.C[3] +.sym 3007 $false +.sym 3008 $false +.sym 3009 KEYBOARD.init_ram_cnt[2] +.sym 3010 $auto$alumacc.cc:470:replace_alu$12188.C[2] +.sym 3012 $auto$alumacc.cc:470:replace_alu$12188.C[4] .sym 3013 $false -.sym 3014 I2C.FLT_SCL.counter[0] -.sym 3015 $false -.sym 3016 $true$2 -.sym 3019 $abc$32112$n847 -.sym 3020 $abc$32112$n1334 -.sym 3021 $false -.sym 3022 $false -.sym 3025 $abc$32112$n1519 +.sym 3014 $false +.sym 3015 KEYBOARD.init_ram_cnt[3] +.sym 3016 $auto$alumacc.cc:470:replace_alu$12188.C[3] +.sym 3018 $auto$alumacc.cc:470:replace_alu$12188.C[5] +.sym 3019 $false +.sym 3020 $false +.sym 3021 KEYBOARD.init_ram_cnt[4] +.sym 3022 $auto$alumacc.cc:470:replace_alu$12188.C[4] +.sym 3024 $auto$alumacc.cc:470:replace_alu$12188.C[6] +.sym 3025 $false .sym 3026 $false -.sym 3027 $false -.sym 3028 $false -.sym 3037 $abc$32112$n1520 +.sym 3027 KEYBOARD.init_ram_cnt[5] +.sym 3028 $auto$alumacc.cc:470:replace_alu$12188.C[5] +.sym 3030 $auto$alumacc.cc:470:replace_alu$12188.C[7] +.sym 3031 $false +.sym 3032 $false +.sym 3033 KEYBOARD.init_ram_cnt[6] +.sym 3034 $auto$alumacc.cc:470:replace_alu$12188.C[6] +.sym 3036 $auto$alumacc.cc:470:replace_alu$12188.C[8] +.sym 3037 $false .sym 3038 $false -.sym 3039 $false -.sym 3040 $false -.sym 3041 $abc$32112$n859 +.sym 3039 KEYBOARD.init_ram_cnt[7] +.sym 3040 $auto$alumacc.cc:470:replace_alu$12188.C[7] +.sym 3041 $abc$56607$n1459 .sym 3042 CLK$2$2 -.sym 3043 $0\KBD_FREEZE[0:0]$2 -.sym 3045 $abc$32112$n1313_1 -.sym 3046 $abc$32112$n1087 -.sym 3048 $abc$32112$n1314_1 -.sym 3050 I2C_INPUT_DATA[0][0] -.sym 3180 $abc$32112$n1020 -.sym 3181 $abc$32112$n919 -.sym 3183 $abc$32112$n995 -.sym 3184 I2C_TX_REPORT[1] -.sym 3185 I2C_TX_REPORT[6] -.sym 3186 I2C_TX_REPORT[5] -.sym 3271 I2C_INPUT_DATA[1][1] -.sym 3272 I2C_INPUT_DATA[1][2] -.sym 3273 I2C_INPUT_DATA[1][4] -.sym 3274 I2C_INPUT_DATA[1][7] -.sym 3283 I2C.received_byte[2] +.sym 3043 $abc$56607$n35$2 +.sym 3048 $abc$56607$n2229 +.sym 3049 $abc$56607$n1052 +.sym 3050 $abc$56607$n660 +.sym 3051 I2C_OUT_DESC_MASK[5] +.sym 3130 $false +.sym 3131 $false +.sym 3132 KEYBOARD.init_ram_cnt[8] +.sym 3133 $auto$alumacc.cc:470:replace_alu$12188.C[8] +.sym 3142 KEYBOARD.init_ram_cnt[0] +.sym 3143 KEYBOARD.init_ram_cnt[1] +.sym 3144 $false +.sym 3145 $false +.sym 3154 $false +.sym 3155 $true$2 +.sym 3156 KEYBOARD.init_ram_cnt[0] +.sym 3157 $false +.sym 3176 $abc$56607$n1459 +.sym 3177 CLK$2$2 +.sym 3178 $abc$56607$n35$2 +.sym 3179 $abc$56607$n1099_1 +.sym 3180 $abc$56607$n806 +.sym 3181 I2C_HID_DESC.real_adress[7] +.sym 3182 I2C_HID_DESC.real_adress[0] +.sym 3183 I2C_HID_DESC.real_adress[6] +.sym 3184 I2C_HID_DESC.real_adress[4] +.sym 3185 I2C_HID_DESC.real_adress[1] +.sym 3186 I2C_HID_DESC.real_adress[5] +.sym 3265 I2C.byte_counter[2] +.sym 3266 I2C.byte_counter[3] +.sym 3267 $abc$56607$n674 +.sym 3268 I2C.byte_counter[1] +.sym 3271 I2C.byte_counter[4] +.sym 3272 I2C.byte_counter[5] +.sym 3273 I2C.byte_counter[6] +.sym 3274 I2C.byte_counter[7] +.sym 3277 I2C.byte_counter[2] +.sym 3278 $abc$56607$n806 +.sym 3279 $abc$56607$n674 +.sym 3280 I2C.byte_counter[3] +.sym 3283 I2C_INPUT_LEN[6] .sym 3284 $false .sym 3285 $false .sym 3286 $false -.sym 3295 I2C.received_byte[1] -.sym 3296 $false -.sym 3297 $false +.sym 3289 I2C_INPUT_LEN[5] +.sym 3290 $false +.sym 3291 $false +.sym 3292 $false +.sym 3295 I2C.byte_counter[3] +.sym 3296 I2C.byte_counter[2] +.sym 3297 $abc$56607$n674 .sym 3298 $false -.sym 3301 I2C.received_byte[7] +.sym 3301 I2C.byte_counter[3] .sym 3302 $false .sym 3303 $false .sym 3304 $false -.sym 3307 I2C.received_byte[4] +.sym 3307 I2C.byte_counter[2] .sym 3308 $false .sym 3309 $false .sym 3310 $false -.sym 3311 $abc$32112$n2244 +.sym 3311 $abc$56607$n1492 .sym 3312 CLK$2$2 -.sym 3313 $false -.sym 3314 $abc$32112$n997 -.sym 3315 $abc$32112$n991_1 -.sym 3317 $abc$32112$n403 -.sym 3318 I2C_INPUT_DATA[2][6] -.sym 3319 I2C_INPUT_DATA[2][5] -.sym 3320 I2C_INPUT_DATA[2][1] -.sym 3321 I2C_INPUT_DATA[2][3] -.sym 3400 I2C_INPUT_DATA[1][0] -.sym 3401 I2C_INPUT_DATA[1][3] -.sym 3402 I2C_INPUT_DATA[1][5] -.sym 3403 I2C_INPUT_DATA[1][6] -.sym 3406 $abc$32112$n650 -.sym 3407 $abc$32112$n651_1 -.sym 3408 $false -.sym 3409 $false -.sym 3412 I2C.received_byte[0] -.sym 3413 $false -.sym 3414 $false -.sym 3415 $false -.sym 3424 I2C.received_byte[6] -.sym 3425 $false -.sym 3426 $false -.sym 3427 $false -.sym 3430 I2C.received_byte[3] -.sym 3431 $false -.sym 3432 $false -.sym 3433 $false -.sym 3436 I2C.received_byte[5] -.sym 3437 $false -.sym 3438 $false -.sym 3439 $false -.sym 3446 $abc$32112$n2244 +.sym 3313 $abc$56607$n35$2 +.sym 3314 $abc$56607$n1056 +.sym 3315 $abc$56607$n855 +.sym 3316 $abc$56607$n2610 +.sym 3317 I2C_OUT_DESC_MASK[4] +.sym 3318 I2C_OUT_DESC_MASK[2] +.sym 3319 I2C_OUT_DESC_MASK[0] +.sym 3320 I2C_OUTPUT_TYPE[0] +.sym 3321 I2C_OUT_DESC_MASK[7] +.sym 3362 $true +.sym 3399 I2C.byte_counter[0]$2 +.sym 3400 $false +.sym 3401 I2C.byte_counter[0] +.sym 3402 $false +.sym 3403 $false +.sym 3405 $auto$alumacc.cc:470:replace_alu$12141.C[2] +.sym 3407 I2C.byte_counter[1] +.sym 3408 $true$2 +.sym 3411 $auto$alumacc.cc:470:replace_alu$12141.C[3] +.sym 3412 $false +.sym 3413 I2C.byte_counter[2] +.sym 3414 $true$2 +.sym 3415 $auto$alumacc.cc:470:replace_alu$12141.C[2] +.sym 3417 $auto$alumacc.cc:470:replace_alu$12141.C[4] +.sym 3418 $false +.sym 3419 I2C.byte_counter[3] +.sym 3420 $true$2 +.sym 3421 $auto$alumacc.cc:470:replace_alu$12141.C[3] +.sym 3423 $auto$alumacc.cc:470:replace_alu$12141.C[5] +.sym 3424 $false +.sym 3425 I2C.byte_counter[4] +.sym 3426 $true$2 +.sym 3427 $auto$alumacc.cc:470:replace_alu$12141.C[4] +.sym 3429 $auto$alumacc.cc:470:replace_alu$12141.C[6] +.sym 3430 $false +.sym 3431 I2C.byte_counter[5] +.sym 3432 $true$2 +.sym 3433 $auto$alumacc.cc:470:replace_alu$12141.C[5] +.sym 3435 $auto$alumacc.cc:470:replace_alu$12141.C[7] +.sym 3436 $false +.sym 3437 I2C.byte_counter[6] +.sym 3438 $true$2 +.sym 3439 $auto$alumacc.cc:470:replace_alu$12141.C[6] +.sym 3442 $false +.sym 3443 I2C.byte_counter[7] +.sym 3444 $true$2 +.sym 3445 $auto$alumacc.cc:470:replace_alu$12141.C[7] +.sym 3446 $abc$56607$n1180 .sym 3447 CLK$2$2 -.sym 3448 $false -.sym 3449 $abc$32112$n672 -.sym 3450 $abc$32112$n974_1 -.sym 3451 $abc$32112$n539_1 -.sym 3452 $abc$32112$n647 -.sym 3453 $abc$32112$n537 -.sym 3454 UART_TX_DATA[5] -.sym 3455 UART_TX_DATA[4] -.sym 3456 UART_TX_DATA[6] -.sym 3535 $abc$32112$n649 -.sym 3536 $abc$32112$n652 -.sym 3537 I2C_INPUT_DATA[0][1] +.sym 3448 $abc$56607$n35$2 +.sym 3451 $abc$56607$n2477 +.sym 3452 $abc$56607$n274 +.sym 3453 $abc$56607$n2609 +.sym 3454 $abc$56607$n2607 +.sym 3455 UART_TX_DATA[5] +.sym 3456 UART_TX_DATA[7] +.sym 3497 $true +.sym 3534 $abc$56607$n2607$2 +.sym 3535 $false +.sym 3536 $abc$56607$n2607 +.sym 3537 $false .sym 3538 $false -.sym 3541 I2C_INPUT_DATA[0][1] -.sym 3542 $abc$32112$n652 -.sym 3543 $abc$32112$n649 -.sym 3544 $false -.sym 3553 I2C.received_byte[3] +.sym 3540 $auto$alumacc.cc:470:replace_alu$12031.C[3] +.sym 3542 $false +.sym 3543 $abc$56607$n2608 +.sym 3546 $auto$alumacc.cc:470:replace_alu$12031.C[4] +.sym 3548 $false +.sym 3549 $abc$56607$n2609 +.sym 3552 $auto$alumacc.cc:470:replace_alu$12031.C[5] .sym 3554 $false -.sym 3555 $false -.sym 3556 $false -.sym 3559 I2C.received_byte[2] +.sym 3555 $abc$56607$n2610 +.sym 3558 $auto$alumacc.cc:470:replace_alu$12031.C[6] .sym 3560 $false -.sym 3561 $false -.sym 3562 $false -.sym 3571 I2C.received_byte[1] +.sym 3561 $abc$56607$n2611 +.sym 3564 $auto$alumacc.cc:470:replace_alu$12031.C[7] +.sym 3566 $false +.sym 3567 $abc$56607$n2612 +.sym 3570 $abc$56607$n2569$2 .sym 3572 $false -.sym 3573 $false -.sym 3574 $false -.sym 3581 $abc$32112$n2246 -.sym 3582 CLK$2$2 -.sym 3583 $false -.sym 3584 I2C_OUT_DESC_MASK[3] -.sym 3585 I2C_OUT_DESC_MASK[5] -.sym 3586 I2C_OUT_DESC_MASK[6] -.sym 3712 $abc$32112$n12 -.sym 3713 $false -.sym 3714 $false -.sym 3715 $false -.sym 3719 $abc$32112$n705 -.sym 3721 $abc$32112$n424 -.sym 3726 UART.TX_sig_last -.sym 3811 $abc$32112$n10 -.sym 3812 $false -.sym 3813 $false -.sym 3814 $false -.sym 3817 I2C.wr +.sym 3573 $abc$56607$n2613 +.sym 3580 $abc$56607$n2569$2 +.sym 3584 $abc$56607$n1050 +.sym 3585 $abc$56607$n787 +.sym 3586 $abc$56607$n667 +.sym 3587 $abc$56607$n753 +.sym 3588 $abc$56607$n795 +.sym 3589 $abc$56607$n1073 +.sym 3590 KEYBOARD.ram_adr[4] +.sym 3591 KEYBOARD.ram_adr[7] +.sym 3632 $true +.sym 3669 $abc$56607$n282$3 +.sym 3670 $false +.sym 3671 $abc$56607$n282 +.sym 3672 $false +.sym 3673 $false +.sym 3675 $auto$alumacc.cc:470:replace_alu$12098.C[3] +.sym 3677 $false +.sym 3678 $abc$56607$n280 +.sym 3681 $auto$alumacc.cc:470:replace_alu$12098.C[4] +.sym 3683 $false +.sym 3684 $abc$56607$n279 +.sym 3687 $auto$alumacc.cc:470:replace_alu$12098.C[5] +.sym 3689 $false +.sym 3690 $abc$56607$n277 +.sym 3693 $auto$alumacc.cc:470:replace_alu$12098.C[6] +.sym 3695 $false +.sym 3696 $abc$56607$n276 +.sym 3699 $auto$alumacc.cc:470:replace_alu$12098.C[7] +.sym 3701 $false +.sym 3702 $abc$56607$n274 +.sym 3705 $abc$56607$n2580$2 +.sym 3707 $false +.sym 3708 $abc$56607$n273 +.sym 3715 $abc$56607$n2580$2 +.sym 3721 $abc$56607$n1824 +.sym 3722 $abc$56607$n1827 +.sym 3723 $abc$56607$n1830 +.sym 3724 $abc$56607$n1833 +.sym 3725 $abc$56607$n1836 +.sym 3726 $abc$56607$n1839 +.sym 3767 $true +.sym 3804 $abc$56607$n282$2 +.sym 3805 $false +.sym 3806 $abc$56607$n282 +.sym 3807 $false +.sym 3808 $false +.sym 3810 $auto$alumacc.cc:470:replace_alu$12036.C[3] +.sym 3812 $true$2 +.sym 3813 $abc$56607$n280 +.sym 3816 $auto$alumacc.cc:470:replace_alu$12036.C[4] .sym 3818 $false -.sym 3819 $false -.sym 3820 $false -.sym 3851 $true -.sym 3852 CLK$2$2 -.sym 3853 $0\KBD_FREEZE[0:0]$2 -.sym 3854 $abc$32112$n533 -.sym 3855 $abc$32112$n709 -.sym 3856 $abc$32112$n592 -.sym 3857 $abc$32112$n708 -.sym 3860 $abc$32112$n1404 -.sym 3861 UART.tx_activity +.sym 3819 $abc$56607$n279 +.sym 3822 $auto$alumacc.cc:470:replace_alu$12036.C[5] +.sym 3824 $false +.sym 3825 $abc$56607$n277 +.sym 3828 $auto$alumacc.cc:470:replace_alu$12036.C[6] +.sym 3830 $false +.sym 3831 $abc$56607$n276 +.sym 3834 $auto$alumacc.cc:470:replace_alu$12036.C[7] +.sym 3836 $false +.sym 3837 $abc$56607$n274 +.sym 3840 $abc$56607$n2570$2 +.sym 3842 $false +.sym 3843 $abc$56607$n273 +.sym 3850 $abc$56607$n2570$2 +.sym 3854 $abc$56607$n2545 +.sym 3855 $10\ring_rd[3:0][1] +.sym 3856 report_data_radr[4] +.sym 3857 report_data_radr[3] +.sym 3858 report_data_radr[5] +.sym 3859 report_data_radr[6] +.sym 3860 report_data_radr[2] +.sym 3861 report_data_radr[7] +.sym 3902 $true +.sym 3939 ring_rd[0]$2 .sym 3940 $false -.sym 3941 $false +.sym 3941 ring_rd[0] .sym 3942 $false .sym 3943 $false -.sym 3991 $abc$32112$n1407 -.sym 3992 $abc$32112$n1408 -.sym 3993 UART.tx_clk_counter[0] -.sym 3994 UART.tx_clk_counter[3] -.sym 3995 UART.tx_clk_counter[1] -.sym 3996 UART.tx_clk_counter[2] +.sym 3945 $auto$alumacc.cc:470:replace_alu$12117.C[2] +.sym 3947 $false +.sym 3948 ring_rd[1] +.sym 3951 $auto$alumacc.cc:470:replace_alu$12117.C[3] +.sym 3952 $false +.sym 3953 $false +.sym 3954 ring_rd[2] +.sym 3955 $auto$alumacc.cc:470:replace_alu$12117.C[2] +.sym 3958 $false +.sym 3959 $false +.sym 3960 ring_rd[3] +.sym 3961 $auto$alumacc.cc:470:replace_alu$12117.C[3] +.sym 3964 I2C_INPUT_LEN[7] +.sym 3965 $false +.sym 3966 $false +.sym 3967 $false +.sym 3970 I2C.received_byte[5] +.sym 3971 $false +.sym 3972 $false +.sym 3973 $false +.sym 3976 $10\ring_rd[3:0][2] +.sym 3977 $false +.sym 3978 $false +.sym 3979 $false +.sym 3982 $10\ring_rd[3:0][3] +.sym 3983 $false +.sym 3984 $false +.sym 3985 $false +.sym 3986 $abc$56607$n999 +.sym 3987 CLK$2$2 +.sym 3988 $abc$56607$n35$2 +.sym 3990 $abc$56607$n1832 +.sym 3991 $abc$56607$n1835 +.sym 3992 $abc$56607$n1838 +.sym 3993 $abc$56607$n2543 +.sym 3994 $10\ring_rd[3:0][0] +.sym 3995 $abc$56607$n1811 +.sym 3996 $abc$56607$n2691 +.sym 4087 $10\ring_rd[3:0][1] +.sym 4088 $false +.sym 4089 $false +.sym 4090 $false +.sym 4111 $10\ring_rd[3:0][0] +.sym 4112 $false +.sym 4113 $false +.sym 4114 $false +.sym 4121 $abc$56607$n999 +.sym 4122 CLK$2$2 +.sym 4123 $abc$56607$n35$2 +.sym 4212 $false +.sym 4214 KEYBOARD.ROWS_EN[15] +.sym 4237 KEYBOARD.kbd_code_hid[0] +.sym 4238 KEYBOARD.kbd_code_hid[1] +.sym 4239 KEYBOARD.kbd_code_hid[2] +.sym 4240 KEYBOARD.kbd_code_hid[3] +.sym 4241 KEYBOARD.kbd_code_hid[4] +.sym 4242 KEYBOARD.kbd_code_hid[5] +.sym 4243 KEYBOARD.kbd_code_hid[6] +.sym 4244 KEYBOARD.kbd_code_hid[7] .sym 4274 $false -.sym 4311 $auto$alumacc.cc:470:replace_alu$4596.C[1] -.sym 4313 $0\KBD_FREEZE[0:0]$2 +.sym 4311 $auto$alumacc.cc:470:replace_alu$12126.C[1] +.sym 4313 $abc$56607$n35$2 .sym 4314 rststate[0] -.sym 4317 $auto$alumacc.cc:470:replace_alu$4596.C[2] +.sym 4317 $auto$alumacc.cc:470:replace_alu$12126.C[2] .sym 4318 $false .sym 4319 $false .sym 4320 rststate[1] -.sym 4321 $auto$alumacc.cc:470:replace_alu$4596.C[1] -.sym 4323 $auto$alumacc.cc:470:replace_alu$4596.C[3] +.sym 4321 $auto$alumacc.cc:470:replace_alu$12126.C[1] +.sym 4323 $auto$alumacc.cc:470:replace_alu$12126.C[3] .sym 4324 $false .sym 4325 $false .sym 4326 rststate[2] -.sym 4327 $auto$alumacc.cc:470:replace_alu$4596.C[2] +.sym 4327 $auto$alumacc.cc:470:replace_alu$12126.C[2] .sym 4330 $false .sym 4331 $false .sym 4332 rststate[3] -.sym 4333 $auto$alumacc.cc:470:replace_alu$4596.C[3] +.sym 4333 $auto$alumacc.cc:470:replace_alu$12126.C[3] .sym 4336 rststate[3] .sym 4337 rststate[2] .sym 4338 rststate[1] .sym 4339 rststate[0] -.sym 4342 $abc$32112$n1012 -.sym 4343 $abc$32112$n1732 +.sym 4342 $abc$56607$n1251 +.sym 4343 $abc$56607$n1979 .sym 4344 $false .sym 4345 $false -.sym 4348 $abc$32112$n1012 -.sym 4349 $abc$32112$n1736 +.sym 4348 $abc$56607$n1251 +.sym 4349 $abc$56607$n1983 .sym 4350 $false .sym 4351 $false -.sym 4354 $abc$32112$n1012 -.sym 4355 $abc$32112$n1734 +.sym 4354 $abc$56607$n1251 +.sym 4355 $abc$56607$n1981 .sym 4356 $false .sym 4357 $false .sym 4358 $true .sym 4359 CLK$2$2 .sym 4360 $false +.sym 4437 $true +.sym 4474 $abc$56607$n2045$2 +.sym 4475 $false +.sym 4476 $abc$56607$n2045 +.sym 4477 $false +.sym 4478 $false +.sym 4480 $auto$alumacc.cc:470:replace_alu$12155.C[2] +.sym 4482 $abc$56607$n2047 +.sym 4483 $true$2 +.sym 4486 $auto$alumacc.cc:470:replace_alu$12155.C[3] +.sym 4487 $false +.sym 4488 $abc$56607$n2049 +.sym 4489 $true$2 +.sym 4490 $auto$alumacc.cc:470:replace_alu$12155.C[2] +.sym 4492 $abc$56607$n2529$2 .sym 4493 $false -.sym 4494 $0\KBD_FREEZE[0:0]$2 -.sym 4495 rststate[0] -.sym 4496 $false -.sym 4499 I2C.received_byte[7] -.sym 4500 $false -.sym 4501 $false -.sym 4502 $false -.sym 4505 I2C.received_byte[4] +.sym 4494 $abc$56607$n2051 +.sym 4495 $true$2 +.sym 4496 $auto$alumacc.cc:470:replace_alu$12155.C[3] +.sym 4502 $abc$56607$n2529$2 +.sym 4505 $abc$56607$n2617 .sym 4506 $false .sym 4507 $false .sym 4508 $false -.sym 4521 $abc$32112$n2246 +.sym 4511 $abc$56607$n2248 +.sym 4512 $false +.sym 4513 $false +.sym 4514 $false +.sym 4517 $abc$56607$n2287 +.sym 4518 $abc$56607$n2246 +.sym 4519 $false +.sym 4520 $false +.sym 4521 $abc$56607$n1490$2 .sym 4522 CLK$2$2 .sym 4523 $false -.sym 4560 $true -.sym 4597 $abc$32112$n1011$2 -.sym 4598 $false -.sym 4599 $abc$32112$n1011 +.sym 4524 KEYBOARD.RAM.r_data[0] +.sym 4526 KEYBOARD.RAM.r_data[1] +.sym 4528 KEYBOARD.RAM.r_data[2] +.sym 4530 KEYBOARD.RAM.r_data[3] +.sym 4598 $abc$56607$n2045 +.sym 4599 $false .sym 4600 $false .sym 4601 $false -.sym 4603 $auto$alumacc.cc:470:replace_alu$4562.C[2] -.sym 4605 $true$2 -.sym 4606 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] -.sym 4609 $auto$alumacc.cc:470:replace_alu$4562.C[3] -.sym 4611 $false -.sym 4612 $abc$32112$n994 -.sym 4615 $auto$alumacc.cc:470:replace_alu$4562.C[4] +.sym 4604 $abc$56607$n2047 +.sym 4605 $false +.sym 4606 $false +.sym 4607 $false +.sym 4610 $abc$56607$n361 +.sym 4611 $abc$56607$n372 +.sym 4612 $abc$56607$n2529 +.sym 4613 $false +.sym 4616 $false .sym 4617 $false -.sym 4618 $abc$32112$n1009 -.sym 4621 $auto$alumacc.cc:470:replace_alu$4562.C[5] -.sym 4623 $false -.sym 4624 $abc$32112$n1018 -.sym 4627 $auto$alumacc.cc:470:replace_alu$4562.C[6] -.sym 4629 $false -.sym 4630 $abc$32112$n1017 -.sym 4633 $auto$alumacc.cc:470:replace_alu$4562.C[7] -.sym 4635 $false -.sym 4636 $abc$32112$n1015 -.sym 4639 $abc$32112$n2175$2 -.sym 4641 $false -.sym 4642 $abc$32112$n1014 -.sym 4724 $abc$32112$n2175$2 -.sym 4727 I2C.byte_counter[2] -.sym 4728 $false +.sym 4618 $true$2 +.sym 4619 $abc$56607$n2529 +.sym 4622 I2C.i2c_bit_counter[3] +.sym 4623 $abc$56607$n2043 +.sym 4624 $false +.sym 4625 $false +.sym 4628 $false +.sym 4629 $abc$56607$n35$2 +.sym 4630 rststate[0] +.sym 4631 $false +.sym 4634 $abc$56607$n1251 +.sym 4635 $abc$56607$n1977 +.sym 4636 $false +.sym 4637 $false +.sym 4640 $abc$56607$n1290_1 +.sym 4641 $abc$56607$n2617 +.sym 4642 $abc$56607$n361 +.sym 4643 $abc$56607$n1293 +.sym 4644 $true +.sym 4645 CLK$2$2 +.sym 4646 $false +.sym 4647 KEYBOARD.RAM.r_data[4] +.sym 4649 KEYBOARD.RAM.r_data[5] +.sym 4651 KEYBOARD.RAM.r_data[6] +.sym 4653 KEYBOARD.RAM.r_data[7] +.sym 4721 $abc$56607$n874 +.sym 4722 $abc$56607$n878 +.sym 4723 $abc$56607$n879 +.sym 4724 $false +.sym 4727 $abc$56607$n2043 +.sym 4728 I2C.i2c_bit_counter[1] .sym 4729 $false .sym 4730 $false -.sym 4739 I2C.byte_counter[0] -.sym 4740 $false +.sym 4733 $abc$56607$n2237 +.sym 4734 KEYBOARD.row_counter[2] +.sym 4735 $abc$56607$n33$2 +.sym 4736 $false +.sym 4739 $abc$56607$n2043 +.sym 4740 I2C.i2c_bit_counter[0] .sym 4741 $false .sym 4742 $false -.sym 4745 I2C_INPUT_DATA[0][4] -.sym 4746 I2C_INPUT_DATA[0][5] -.sym 4747 I2C_INPUT_DATA[0][6] -.sym 4748 I2C_INPUT_DATA[0][7] -.sym 4757 I2C.byte_counter[4] -.sym 4758 $false -.sym 4759 $false -.sym 4760 $false -.sym 4763 $abc$32112$n1318 -.sym 4764 $abc$32112$n1320_1 -.sym 4765 $abc$32112$n506 -.sym 4766 $false +.sym 4745 $false +.sym 4746 $abc$56607$n2045 +.sym 4747 $false +.sym 4748 $true$2 +.sym 4751 I2C.i2c_bit_counter[0] +.sym 4752 I2C.i2c_bit_counter[1] +.sym 4753 $abc$56607$n2043 +.sym 4754 $false +.sym 4757 $abc$56607$n1290_1 +.sym 4758 $abc$56607$n2047 +.sym 4759 $abc$56607$n1293 +.sym 4760 $abc$56607$n880 +.sym 4763 $abc$56607$n1290_1 +.sym 4764 $abc$56607$n2045 +.sym 4765 $abc$56607$n1293 +.sym 4766 $abc$56607$n358 .sym 4767 $true .sym 4768 CLK$2$2 .sym 4769 $false -.sym 4806 $true -.sym 4843 $abc$32112$n1011$3 -.sym 4844 $false -.sym 4845 $abc$32112$n1011 -.sym 4846 $false +.sym 4844 I2C.FLT_SDA.out +.sym 4845 $abc$56607$n14 +.sym 4846 I2C.FLT_SCL.out .sym 4847 $false -.sym 4849 $auto$alumacc.cc:470:replace_alu$4573.C[2] -.sym 4851 $false -.sym 4852 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] -.sym 4855 $auto$alumacc.cc:470:replace_alu$4573.C[3] -.sym 4857 $true$2 -.sym 4858 $abc$32112$n994 -.sym 4861 $auto$alumacc.cc:470:replace_alu$4573.C[4] -.sym 4863 $true$2 -.sym 4864 $abc$32112$n1009 -.sym 4867 $auto$alumacc.cc:470:replace_alu$4573.C[5] -.sym 4869 $false -.sym 4870 $abc$32112$n1018 -.sym 4873 $auto$alumacc.cc:470:replace_alu$4573.C[6] +.sym 4850 I2C.FLT_SCL.out +.sym 4851 I2C.FLT_SDA.out +.sym 4852 $abc$56607$n14 +.sym 4853 I2C.wr +.sym 4856 $abc$56607$n1268_1 +.sym 4857 I2C.i2c_state_machine +.sym 4858 $false +.sym 4859 $false +.sym 4862 $abc$56607$n2043 +.sym 4863 I2C.byte_counter[5] +.sym 4864 $false +.sym 4865 $false +.sym 4868 I2C_HID_DESC.last_rd_request +.sym 4869 I2C.wr +.sym 4870 I2C.FLT_SCL.RESET +.sym 4871 $false +.sym 4874 $false .sym 4875 $false -.sym 4876 $abc$32112$n1017 -.sym 4879 $auto$alumacc.cc:470:replace_alu$4573.C[7] +.sym 4876 $false +.sym 4877 $false +.sym 4880 I2C.wr .sym 4881 $false -.sym 4882 $abc$32112$n1015 -.sym 4885 $abc$32112$n2178 -.sym 4887 $false -.sym 4888 $abc$32112$n1014 -.sym 4967 $abc$32112$n939 -.sym 4968 I2C.is_read -.sym 4969 $abc$32112$n637 -.sym 4970 $abc$32112$n2178 -.sym 4973 I2C.FLT_SDA.counter[0] -.sym 4974 I2C.FLT_SDA.counter[1] -.sym 4975 I2C.FLT_SDA.counter[2] -.sym 4976 $abc$32112$n842 -.sym 4979 I2C.FLT_SDA.out -.sym 4980 I2C.SDAF +.sym 4882 $false +.sym 4883 $false +.sym 4890 $true +.sym 4891 CLK$2$2 +.sym 4892 $abc$56607$n35$2 +.sym 4967 $abc$56607$n875 +.sym 4968 $abc$56607$n877 +.sym 4969 $false +.sym 4970 $false +.sym 4973 I2C.byte_counter[7] +.sym 4974 $abc$56607$n1276 +.sym 4975 $false +.sym 4976 $false +.sym 4979 $abc$56607$n1300 +.sym 4980 $abc$56607$n877 .sym 4981 $false .sym 4982 $false -.sym 4985 I2C.FLT_SDA.counter[0] -.sym 4986 I2C.FLT_SDA.counter[1] -.sym 4987 $false -.sym 4988 $false -.sym 4991 $abc$32112$n1518 -.sym 4992 $abc$32112$n842 -.sym 4993 $abc$32112$n1517 -.sym 4994 $abc$32112$n1327 -.sym 4997 $abc$32112$n1518 -.sym 4998 $false -.sym 4999 $false +.sym 4985 I2C.FLT_SDA.out +.sym 4986 $abc$56607$n14 +.sym 4987 I2C.FLT_SCL.out +.sym 4988 I2C.i2c_state_machine +.sym 4991 I2C.byte_counter[4] +.sym 4992 I2C.byte_counter[5] +.sym 4993 I2C.byte_counter[6] +.sym 4994 $abc$56607$n1277 +.sym 4997 $abc$56607$n358 +.sym 4998 $abc$56607$n880 +.sym 4999 $abc$56607$n872 .sym 5000 $false -.sym 5003 $abc$32112$n1517 -.sym 5004 $false -.sym 5005 $false +.sym 5003 $abc$56607$n1280 +.sym 5004 $abc$56607$n1285 +.sym 5005 $abc$56607$n875 .sym 5006 $false -.sym 5009 I2C.FLT_SDA.counter[2] -.sym 5010 I2C.FLT_SDA.counter[0] -.sym 5011 I2C.FLT_SDA.counter[1] -.sym 5012 $abc$32112$n1327 -.sym 5013 $abc$32112$n849 +.sym 5009 I2C.FLT_SDA.out +.sym 5010 $false +.sym 5011 $false +.sym 5012 $false +.sym 5013 $abc$56607$n1248 .sym 5014 CLK$2$2 -.sym 5015 $0\KBD_FREEZE[0:0]$2 -.sym 5102 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] -.sym 5103 $abc$32112$n1180 -.sym 5104 $false +.sym 5015 $false +.sym 5096 $abc$56607$n2605 +.sym 5097 $false +.sym 5098 $false +.sym 5099 $false +.sym 5102 $abc$56607$n358 +.sym 5103 $abc$56607$n880 +.sym 5104 $abc$56607$n887 .sym 5105 $false -.sym 5108 $abc$32112$n693_1 -.sym 5109 $abc$32112$n699 -.sym 5110 $abc$32112$n1051 +.sym 5108 I2C.i2c_state_machine +.sym 5109 I2C.i2c_start_latency +.sym 5110 $false .sym 5111 $false -.sym 5114 $abc$32112$n693_1 -.sym 5115 $abc$32112$n685 -.sym 5116 $abc$32112$n1051 +.sym 5114 UART.tx_bit_counter[0] +.sym 5115 UART.tx_bit_counter[1] +.sym 5116 $false .sym 5117 $false -.sym 5126 $abc$32112$n1051 -.sym 5127 $abc$32112$n693_1 -.sym 5128 $false -.sym 5129 $false +.sym 5120 I2C.i2c_start_latency +.sym 5121 $abc$56607$n2043 +.sym 5122 I2C.i2c_state_machine +.sym 5123 I2C.is_read .sym 5132 I2C.FLT_SDA.out .sym 5133 $false .sym 5134 $false .sym 5135 $false -.sym 5136 $abc$32112$n577 +.sym 5136 $abc$56607$n1283 .sym 5137 CLK$2$2 .sym 5138 $false -.sym 5175 $true -.sym 5212 I2C.FLT_SCL.counter[0]$2 -.sym 5213 $false -.sym 5214 I2C.FLT_SCL.counter[0] -.sym 5215 $false -.sym 5216 $false -.sym 5218 $auto$alumacc.cc:470:replace_alu$4619.C[2] -.sym 5220 I2C.FLT_SCL.counter[1] -.sym 5221 $true$2 -.sym 5225 $false -.sym 5226 I2C.FLT_SCL.counter[2] -.sym 5227 $true$2 -.sym 5228 $auto$alumacc.cc:470:replace_alu$4619.C[2] -.sym 5231 $abc$32112$n693_1 -.sym 5232 $abc$32112$n1051 -.sym 5233 $false -.sym 5234 $false -.sym 5237 $abc$32112$n718 -.sym 5238 $abc$32112$n1252_1 -.sym 5239 I2C.FLT_SCL.RESET +.sym 5213 KEYBOARD.init_ram_cnt[2] +.sym 5214 KEYBOARD.init_ram_cnt[3] +.sym 5215 KEYBOARD.init_ram_cnt[4] +.sym 5216 KEYBOARD.init_ram_cnt[8] +.sym 5219 KEYBOARD.init_ram_cnt[5] +.sym 5220 KEYBOARD.init_ram_cnt[6] +.sym 5221 KEYBOARD.init_ram_cnt[7] +.sym 5222 $false +.sym 5231 KEYBOARD.init_ram_cnt[0] +.sym 5232 KEYBOARD.init_ram_cnt[1] +.sym 5233 $abc$56607$n924 +.sym 5234 $abc$56607$n925 +.sym 5237 $abc$56607$n2519 +.sym 5238 $false +.sym 5239 $false .sym 5240 $false -.sym 5249 I2C.received_byte[1] -.sym 5250 I2C.received_byte[2] -.sym 5251 I2C.received_byte[4] -.sym 5252 I2C.received_byte[7] -.sym 5255 I2C.received_byte[5] +.sym 5249 KEYBOARD.init_ram_cnt[6] +.sym 5250 $false +.sym 5251 $false +.sym 5252 $false +.sym 5255 KEYBOARD.init_ram_cnt[5] .sym 5256 $false .sym 5257 $false .sym 5258 $false -.sym 5259 $abc$32112$n2246 +.sym 5259 $abc$56607$n1462 .sym 5260 CLK$2$2 -.sym 5261 $false -.sym 5342 $abc$32112$n999 -.sym 5343 $abc$32112$n995 -.sym 5344 $abc$32112$n693_1 -.sym 5345 $abc$32112$n1051 -.sym 5348 $abc$32112$n989 -.sym 5349 $abc$32112$n997 -.sym 5350 $abc$32112$n1053 -.sym 5351 $abc$32112$n696 -.sym 5360 $abc$32112$n1091 -.sym 5361 $abc$32112$n1313_1 -.sym 5362 $abc$32112$n1087 -.sym 5363 $abc$32112$n1053 -.sym 5372 I2C.received_byte[0] -.sym 5373 $false +.sym 5261 KEYBOARD.init_ram_cnt[8] +.sym 5262 I2C_HID_DESC.VAL[0] +.sym 5263 I2C_HID_DESC.VAL[1] +.sym 5264 I2C_HID_DESC.VAL[2] +.sym 5265 I2C_HID_DESC.VAL[3] +.sym 5266 I2C_HID_DESC.VAL[4] +.sym 5267 I2C_HID_DESC.VAL[5] +.sym 5268 I2C_HID_DESC.VAL[6] +.sym 5269 I2C_HID_DESC.VAL[7] +.sym 5298 $true +.sym 5335 $abc$56607$n2621$2 +.sym 5336 $false +.sym 5337 $abc$56607$n2621 +.sym 5338 $false +.sym 5339 $false +.sym 5341 $auto$alumacc.cc:470:replace_alu$12026.C[2] +.sym 5343 $false +.sym 5344 $abc$56607$n2518 +.sym 5347 $auto$alumacc.cc:470:replace_alu$12026.C[3] +.sym 5349 $false +.sym 5350 $abc$56607$n2604 +.sym 5353 $abc$56607$n2229$2 +.sym 5355 $false +.sym 5356 $abc$56607$n2606 +.sym 5363 $abc$56607$n2229$2 +.sym 5366 I2C_HID_DESC.VAL[5] +.sym 5367 REPORT_DATA.r_data[5] +.sym 5368 I2C_OUT_DESC_MASK[5] +.sym 5369 $false +.sym 5372 $abc$56607$n2518 +.sym 5373 $abc$56607$n2519 .sym 5374 $false .sym 5375 $false -.sym 5382 $abc$32112$n2246 +.sym 5378 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5379 $false +.sym 5380 $false +.sym 5381 $false +.sym 5382 $abc$56607$n1191 .sym 5383 CLK$2$2 -.sym 5384 $false -.sym 5465 $abc$32112$n951 -.sym 5466 $abc$32112$n1272_1 +.sym 5384 $abc$56607$n35$2 +.sym 5459 $abc$56607$n670 +.sym 5460 I2C.is_read +.sym 5461 $false +.sym 5462 $false +.sym 5465 I2C.byte_counter[0] +.sym 5466 I2C.byte_counter[1] .sym 5467 $false .sym 5468 $false -.sym 5471 I2C.byte_counter[3] -.sym 5472 $abc$32112$n874 -.sym 5473 $abc$32112$n871 -.sym 5474 I2C.byte_counter[2] -.sym 5483 I2C_HID_DESC.VAL[5] -.sym 5484 I2C_TX_REPORT[5] -.sym 5485 I2C_OUT_DESC_MASK[5] -.sym 5486 $false -.sym 5489 $abc$32112$n1030 -.sym 5490 $abc$32112$n1272_1 -.sym 5491 $abc$32112$n951 -.sym 5492 $abc$32112$n2168 -.sym 5495 $abc$32112$n1020 -.sym 5496 $abc$32112$n1066 +.sym 5471 I2C.byte_counter[7] +.sym 5472 $abc$56607$n2477 +.sym 5473 I2C_OUTPUT_TYPE[1] +.sym 5474 I2C_OUTPUT_TYPE[0] +.sym 5477 I2C.byte_counter[0] +.sym 5478 $abc$56607$n2471 +.sym 5479 I2C_OUTPUT_TYPE[1] +.sym 5480 I2C_OUTPUT_TYPE[0] +.sym 5483 I2C_OUTPUT_TYPE[1] +.sym 5484 I2C_OUTPUT_TYPE[0] +.sym 5485 I2C.byte_counter[5] +.sym 5486 I2C.byte_counter[6] +.sym 5489 I2C.byte_counter[4] +.sym 5490 $false +.sym 5491 $false +.sym 5492 $false +.sym 5495 I2C.byte_counter[1] +.sym 5496 $false .sym 5497 $false .sym 5498 $false -.sym 5501 $abc$32112$n1059 -.sym 5502 $abc$32112$n1020 -.sym 5503 $false +.sym 5501 I2C_OUTPUT_TYPE[1] +.sym 5502 I2C_OUTPUT_TYPE[0] +.sym 5503 I2C.byte_counter[5] .sym 5504 $false -.sym 5505 $abc$32112$n403 +.sym 5505 $abc$56607$n1492 .sym 5506 CLK$2$2 -.sym 5507 $abc$32112$n992$2 -.sym 5582 I2C_HID_DESC.VAL[6] -.sym 5583 I2C_TX_REPORT[6] -.sym 5584 I2C_OUT_DESC_MASK[6] +.sym 5507 $abc$56607$n35$2 +.sym 5508 REPORT_DATA.r_data[0] +.sym 5510 REPORT_DATA.r_data[1] +.sym 5512 REPORT_DATA.r_data[2] +.sym 5514 REPORT_DATA.r_data[3] +.sym 5582 I2C_HID_DESC.VAL[7] +.sym 5583 REPORT_DATA.r_data[7] +.sym 5584 I2C_OUT_DESC_MASK[7] .sym 5585 $false -.sym 5588 I2C_HID_DESC.VAL[3] -.sym 5589 I2C_TX_REPORT[3] -.sym 5590 I2C_OUT_DESC_MASK[3] -.sym 5591 $false -.sym 5600 $abc$32112$n637 -.sym 5601 I2C.is_read +.sym 5588 I2C_INPUT_LEN[4] +.sym 5589 I2C_INPUT_LEN[5] +.sym 5590 I2C_INPUT_LEN[6] +.sym 5591 I2C_INPUT_LEN[7] +.sym 5594 I2C_INPUT_LEN[4] +.sym 5595 $false +.sym 5596 $false +.sym 5597 $false +.sym 5600 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5601 $false .sym 5602 $false .sym 5603 $false -.sym 5606 I2C.received_byte[6] +.sym 5606 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 5607 $false .sym 5608 $false .sym 5609 $false -.sym 5612 I2C.received_byte[5] +.sym 5612 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 5613 $false .sym 5614 $false .sym 5615 $false -.sym 5618 I2C.received_byte[1] -.sym 5619 $false +.sym 5618 $abc$56607$n1093 +.sym 5619 $abc$56607$n1099_1 .sym 5620 $false .sym 5621 $false -.sym 5624 I2C.received_byte[3] +.sym 5624 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 5625 $false .sym 5626 $false .sym 5627 $false -.sym 5628 $abc$32112$n2241 +.sym 5628 $abc$56607$n1191 .sym 5629 CLK$2$2 -.sym 5630 $false -.sym 5705 I2C_INPUT_DATA[0][0] -.sym 5706 I2C_INPUT_DATA[0][3] -.sym 5707 I2C_INPUT_DATA[2][1] -.sym 5708 I2C_INPUT_DATA[0][2] -.sym 5711 I2C_INPUT_DATA[0][2] -.sym 5712 I2C_INPUT_DATA[0][3] -.sym 5713 $abc$32112$n648 -.sym 5714 I2C_INPUT_DATA[0][0] -.sym 5717 UART_TX_DATA[5] -.sym 5718 UART_TX_DATA[7] -.sym 5719 $abc$32112$n535 -.sym 5720 $false -.sym 5723 I2C_INPUT_DATA[0][3] -.sym 5724 I2C_INPUT_DATA[0][0] -.sym 5725 I2C_INPUT_DATA[0][2] -.sym 5726 $abc$32112$n648 -.sym 5729 UART_TX_DATA[4] -.sym 5730 UART_TX_DATA[6] -.sym 5731 $abc$32112$n535 +.sym 5630 $abc$56607$n35$2 +.sym 5631 REPORT_DATA.r_data[4] +.sym 5633 REPORT_DATA.r_data[5] +.sym 5635 REPORT_DATA.r_data[6] +.sym 5637 REPORT_DATA.r_data[7] +.sym 5667 $true +.sym 5704 I2C.byte_counter[5]$2 +.sym 5705 $false +.sym 5706 I2C.byte_counter[5] +.sym 5707 $false +.sym 5708 $false +.sym 5710 $auto$alumacc.cc:470:replace_alu$12164.C[7] +.sym 5712 $false +.sym 5713 I2C.byte_counter[6] +.sym 5717 $false +.sym 5718 $false +.sym 5719 I2C.byte_counter[7] +.sym 5720 $auto$alumacc.cc:470:replace_alu$12164.C[7] +.sym 5723 I2C.received_byte[6] +.sym 5724 $false +.sym 5725 $false +.sym 5726 $false +.sym 5729 I2C_INPUT_LEN[3] +.sym 5730 $false +.sym 5731 $false .sym 5732 $false -.sym 5735 $abc$32112$n995 -.sym 5736 I2C.received_byte[5] -.sym 5737 I2C.is_read +.sym 5735 I2C_INPUT_LEN[1] +.sym 5736 $false +.sym 5737 $false .sym 5738 $false -.sym 5741 $abc$32112$n993 -.sym 5742 I2C.received_byte[4] +.sym 5741 $abc$56607$n1052 +.sym 5742 I2C.received_byte[5] .sym 5743 I2C.is_read .sym 5744 $false -.sym 5747 $abc$32112$n997 -.sym 5748 I2C.received_byte[6] +.sym 5747 $abc$56607$n1056 +.sym 5748 I2C.received_byte[7] .sym 5749 I2C.is_read .sym 5750 $false -.sym 5751 $abc$32112$n409 +.sym 5751 $abc$56607$n888 .sym 5752 CLK$2$2 -.sym 5753 $abc$32112$n25 -.sym 5828 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5829 $false +.sym 5753 $abc$56607$n19 +.sym 5828 $abc$56607$n1180 +.sym 5829 $abc$56607$n795 .sym 5830 $false .sym 5831 $false -.sym 5834 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5835 $false -.sym 5836 $false -.sym 5837 $false -.sym 5840 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5841 $false +.sym 5834 $abc$56607$n788 +.sym 5835 $abc$56607$n21 +.sym 5836 $abc$56607$n754 +.sym 5837 $abc$56607$n742 +.sym 5840 I2C_TRANS +.sym 5841 last_trans .sym 5842 $false .sym 5843 $false -.sym 5874 $abc$32112$n435$2 +.sym 5846 $abc$56607$n742 +.sym 5847 $abc$56607$n747 +.sym 5848 $abc$56607$n754 +.sym 5849 $false +.sym 5852 $abc$56607$n754 +.sym 5853 $abc$56607$n788 +.sym 5854 I2C.FLT_SCL.RESET +.sym 5855 $false +.sym 5858 $abc$56607$n826 +.sym 5859 $abc$56607$n2580 +.sym 5860 $abc$56607$n2570 +.sym 5861 $abc$56607$n21 +.sym 5864 KEYBOARD.init_ram_cnt[4] +.sym 5865 $false +.sym 5866 $false +.sym 5867 $false +.sym 5870 KEYBOARD.init_ram_cnt[7] +.sym 5871 $false +.sym 5872 $false +.sym 5873 $false +.sym 5874 $abc$56607$n1462 .sym 5875 CLK$2$2 -.sym 5876 $0\KBD_FREEZE[0:0]$2 -.sym 5951 UART_WR -.sym 5952 UART.TX_sig_last -.sym 5953 UART.tx_activity +.sym 5876 KEYBOARD.init_ram_cnt[8] +.sym 5913 $true +.sym 5950 report_data_radr[0]$2 +.sym 5951 $false +.sym 5952 report_data_radr[0] +.sym 5953 $false .sym 5954 $false -.sym 5963 $abc$32112$n630_1 -.sym 5964 $abc$32112$n628 -.sym 5965 I2C.FLT_SCL.RESET -.sym 5966 $abc$32112$n640 -.sym 5993 UART_WR +.sym 5956 $auto$alumacc.cc:470:replace_alu$12120.C[2] +.sym 5958 $false +.sym 5959 report_data_radr[1] +.sym 5962 $auto$alumacc.cc:470:replace_alu$12120.C[3] +.sym 5963 $false +.sym 5964 $false +.sym 5965 report_data_radr[2] +.sym 5966 $auto$alumacc.cc:470:replace_alu$12120.C[2] +.sym 5968 $auto$alumacc.cc:470:replace_alu$12120.C[4] +.sym 5969 $false +.sym 5970 $false +.sym 5971 report_data_radr[3] +.sym 5972 $auto$alumacc.cc:470:replace_alu$12120.C[3] +.sym 5974 $auto$alumacc.cc:470:replace_alu$12120.C[5] +.sym 5975 $false +.sym 5976 $false +.sym 5977 report_data_radr[4] +.sym 5978 $auto$alumacc.cc:470:replace_alu$12120.C[4] +.sym 5980 $auto$alumacc.cc:470:replace_alu$12120.C[6] +.sym 5981 $false +.sym 5982 $false +.sym 5983 report_data_radr[5] +.sym 5984 $auto$alumacc.cc:470:replace_alu$12120.C[5] +.sym 5986 $auto$alumacc.cc:470:replace_alu$12120.C[7] +.sym 5987 $false +.sym 5988 $false +.sym 5989 report_data_radr[6] +.sym 5990 $auto$alumacc.cc:470:replace_alu$12120.C[6] +.sym 5993 $false .sym 5994 $false -.sym 5995 $false -.sym 5996 $false -.sym 5997 I2C.FLT_SCL.RESET -.sym 5998 CLK$2$2 -.sym 5999 $false -.sym 6074 $abc$32112$n534 -.sym 6075 $abc$32112$n537 -.sym 6076 $abc$32112$n1572 -.sym 6077 $abc$32112$n1558 -.sym 6080 $abc$32112$n1404 -.sym 6081 $abc$32112$n1407 -.sym 6082 $abc$32112$n1408 +.sym 5995 report_data_radr[7] +.sym 5996 $auto$alumacc.cc:470:replace_alu$12120.C[7] +.sym 6074 $10\ring_rd[3:0][0] +.sym 6075 $10\ring_rd[3:0][2] +.sym 6076 $false +.sym 6077 $false +.sym 6080 $abc$56607$n763 +.sym 6081 ring_rd[0] +.sym 6082 ring_rd[1] .sym 6083 $false -.sym 6086 I2C.FLT_SCL.RESET -.sym 6087 $abc$32112$n705 -.sym 6088 $false -.sym 6089 $false -.sym 6092 UART.tx_clk_counter[1] -.sym 6093 UART.tx_clk_counter[0] -.sym 6094 $abc$32112$n709 -.sym 6095 $false -.sym 6110 $false -.sym 6111 UART.tx_clk_counter[0] -.sym 6112 $false -.sym 6113 $true$2 -.sym 6116 $abc$32112$n708 -.sym 6117 $abc$32112$n710 -.sym 6118 $abc$32112$n705 +.sym 6086 $abc$56607$n1830 +.sym 6087 $abc$56607$n2545 +.sym 6088 $abc$56607$n2543 +.sym 6089 $abc$56607$n21 +.sym 6092 $abc$56607$n1827 +.sym 6093 $10\ring_rd[3:0][0] +.sym 6094 $10\ring_rd[3:0][2] +.sym 6095 $abc$56607$n21 +.sym 6098 $abc$56607$n1832 +.sym 6099 $abc$56607$n1833 +.sym 6100 $abc$56607$n21 +.sym 6101 $false +.sym 6104 $abc$56607$n1835 +.sym 6105 $abc$56607$n1836 +.sym 6106 $abc$56607$n21 +.sym 6107 $false +.sym 6110 $10\ring_rd[3:0][1] +.sym 6111 $abc$56607$n1824 +.sym 6112 $abc$56607$n21 +.sym 6113 $false +.sym 6116 $abc$56607$n1838 +.sym 6117 $abc$56607$n1839 +.sym 6118 $abc$56607$n21 .sym 6119 $false -.sym 6120 I2C.FLT_SCL.RESET +.sym 6120 $abc$56607$n1050 .sym 6121 CLK$2$2 .sym 6122 $false -.sym 6159 $true -.sym 6196 UART.tx_clk_counter[0]$2 -.sym 6197 $false -.sym 6198 UART.tx_clk_counter[0] -.sym 6199 $false -.sym 6200 $false -.sym 6202 $auto$alumacc.cc:470:replace_alu$4640.C[2] -.sym 6204 UART.tx_clk_counter[1] -.sym 6205 $true$2 -.sym 6208 $auto$alumacc.cc:470:replace_alu$4640.C[3] +.sym 6159 $false +.sym 6196 $auto$maccmap.cc:240:synth$12778.C[5] +.sym 6198 $abc$56607$n2543 +.sym 6199 $abc$56607$n2545 +.sym 6202 $auto$maccmap.cc:240:synth$12778.C[6] +.sym 6203 $false +.sym 6204 $10\ring_rd[3:0][2] +.sym 6205 $abc$56607$n2691 +.sym 6206 $auto$maccmap.cc:240:synth$12778.C[5] +.sym 6208 $auto$maccmap.cc:240:synth$12778.C[7] .sym 6209 $false -.sym 6210 UART.tx_clk_counter[2] -.sym 6211 $true$2 -.sym 6212 $auto$alumacc.cc:470:replace_alu$4640.C[2] +.sym 6210 $10\ring_rd[3:0][3] +.sym 6211 $false +.sym 6212 $auto$maccmap.cc:240:synth$12778.C[6] .sym 6215 $false -.sym 6216 UART.tx_clk_counter[3] -.sym 6217 $true$2 -.sym 6218 $auto$alumacc.cc:470:replace_alu$4640.C[3] -.sym 6221 $abc$32112$n1404 -.sym 6222 $abc$32112$n707 +.sym 6216 $false +.sym 6217 $false +.sym 6218 $auto$maccmap.cc:240:synth$12778.C[7] +.sym 6221 $10\ring_rd[3:0][1] +.sym 6222 $10\ring_rd[3:0][3] .sym 6223 $false .sym 6224 $false -.sym 6227 $abc$32112$n1408 -.sym 6228 $abc$32112$n707 -.sym 6229 $false +.sym 6227 ring_rd[0] +.sym 6228 $abc$56607$n1811 +.sym 6229 $abc$56607$n763 .sym 6230 $false -.sym 6233 UART.tx_clk_counter[1] -.sym 6234 UART.tx_clk_counter[0] -.sym 6235 UART.tx_activity +.sym 6233 $false +.sym 6234 $true$2 +.sym 6235 ring_rd[0] .sym 6236 $false -.sym 6239 $abc$32112$n1407 -.sym 6240 $abc$32112$n707 +.sym 6239 $10\ring_rd[3:0][1] +.sym 6240 $10\ring_rd[3:0][3] .sym 6241 $false .sym 6242 $false -.sym 6243 $abc$32112$n592 -.sym 6244 CLK$2$2 -.sym 6245 $0\KBD_FREEZE[0:0]$2 -.sym 6350 $abc$32112$n506 -.sym 6351 $abc$32112$n2096 -.sym 6424 $abc$32112$n1053 -.sym 6425 $abc$32112$n1054 -.sym 6426 $abc$32112$n2097 -.sym 6427 $abc$32112$n1825 -.sym 6428 $abc$32112$n2138 -.sym 6429 rststate[0] -.sym 6560 $abc$32112$n693_1 -.sym 6561 $abc$32112$n1821 -.sym 6562 $abc$32112$n1819 -.sym 6563 $abc$32112$n2137 -.sym 6564 $abc$32112$n1823 -.sym 6565 I2C.i2c_bit_counter[2] -.sym 6566 I2C.i2c_bit_counter[1] -.sym 6567 I2C.i2c_bit_counter[0] -.sym 6662 $abc$32112$n1116 -.sym 6663 $abc$32112$n1320_1 -.sym 6665 $abc$32112$n1017 -.sym 6666 $abc$32112$n2139 -.sym 6667 $abc$32112$n1318 -.sym 6668 $abc$32112$n1051 -.sym 6669 I2C_INPUT_DATA[0][6] -.sym 6765 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] -.sym 6766 $abc$32112$n1009 -.sym 6767 $abc$32112$n685 -.sym 6768 $abc$32112$n699 -.sym 6769 $abc$32112$n686 -.sym 6770 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] -.sym 6771 $abc$32112$n1065 -.sym 6868 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] -.sym 6869 $abc$32112$n546 -.sym 6871 $abc$32112$n1316_1 -.sym 6872 I2C.received_byte[4] -.sym 6968 $abc$32112$n1086 -.sym 6969 $abc$32112$n2237 -.sym 6970 $abc$32112$n2244 -.sym 6971 $abc$32112$n2246 -.sym 6972 $abc$32112$n2241 -.sym 6973 $abc$32112$n2227 -.sym 6974 $abc$32112$n1185 -.sym 6975 I2C.FLT_SCL.out -.sym 7071 $abc$32112$n939 -.sym 7072 $abc$32112$n661 -.sym 7073 $abc$32112$n1101 -.sym 7074 I2C_INPUT_DATA[3][7] -.sym 7075 I2C_INPUT_DATA[3][4] -.sym 7076 I2C_INPUT_DATA[3][5] -.sym 7077 I2C_INPUT_DATA[3][6] -.sym 7172 $abc$32112$n938 -.sym 7173 $abc$32112$n923 -.sym 7174 $abc$32112$n1022_1 -.sym 7175 $abc$32112$n1091 -.sym 7176 $abc$32112$n934 -.sym 7177 $abc$32112$n942 -.sym 7178 $abc$32112$n944 -.sym 7179 $abc$32112$n1272_1 -.sym 7274 $abc$32112$n933 -.sym 7275 $abc$32112$n950 -.sym 7276 $abc$32112$n932 -.sym 7277 $abc$32112$n951 -.sym 7278 $abc$32112$n949 -.sym 7279 $abc$32112$n987 -.sym 7280 I2C_TX_REPORT[3] -.sym 7281 I2C_TX_REPORT[7] -.sym 7376 $abc$32112$n953_1 -.sym 7377 $abc$32112$n999 -.sym 7378 $abc$32112$n941_1 -.sym 7379 I2C_HID_DESC.VAL[7] -.sym 7380 I2C_HID_DESC.VAL[0] -.sym 7381 I2C_HID_DESC.VAL[4] -.sym 7382 I2C_HID_DESC.VAL[2] -.sym 7383 I2C_HID_DESC.VAL[3] -.sym 7479 $abc$32112$n972_1 -.sym 7480 $abc$32112$n989 -.sym 7481 $abc$32112$n985 -.sym 7482 $abc$32112$n993 -.sym 7483 I2C_TX_REPORT[4] -.sym 7484 I2C_TX_REPORT[0] -.sym 7485 I2C_TX_REPORT[2] -.sym 7583 I2C_OUT_DESC_MASK[0] -.sym 7584 I2C_OUT_DESC_MASK[7] -.sym 7585 I2C_OUT_DESC_MASK[4] -.sym 7586 I2C_OUT_DESC_MASK[1] -.sym 7587 I2C_OUT_DESC_MASK[2] -.sym 7682 $abc$32112$n19 -.sym 7683 $abc$32112$n624 -.sym 7684 $abc$32112$n637 -.sym 7685 $abc$32112$n630_1 -.sym 7687 $abc$32112$n376 -.sym 7688 $abc$32112$n409 -.sym 7689 I2C_OUTPUT_TYPE[1] -.sym 7784 $abc$32112$n595 -.sym 7788 $abc$32112$n538 -.sym 7789 $abc$32112$n707 -.sym 7790 $abc$32112$n12 -.sym 8120 KEYBOARD.row_time[2] -.sym 8121 KEYBOARD.row_time[3] -.sym 8122 KEYBOARD.row_time[4] -.sym 8123 KEYBOARD.row_time[5] -.sym 8124 KEYBOARD.row_time[6] -.sym 8125 KEYBOARD.row_time[7] -.sym 8155 $true -.sym 8192 $abc$32112$n2137$2 -.sym 8193 $false -.sym 8194 $abc$32112$n2137 -.sym 8195 $false -.sym 8196 $false -.sym 8198 $auto$alumacc.cc:470:replace_alu$4557.C[2] -.sym 8200 $false -.sym 8201 $abc$32112$n2096 -.sym 8204 $auto$alumacc.cc:470:replace_alu$4557.C[3] -.sym 8206 $false -.sym 8207 $abc$32112$n2138 -.sym 8210 $abc$32112$n506$2 -.sym 8212 $false -.sym 8213 $abc$32112$n2139 -.sym 8220 $abc$32112$n506$2 -.sym 8223 $abc$32112$n1821 -.sym 8224 $false -.sym 8225 $false -.sym 8226 $false -.sym 8246 KEYBOARD.row_time[8] -.sym 8247 KEYBOARD.row_time[9] -.sym 8248 KEYBOARD.row_time[10] -.sym 8249 KEYBOARD.row_time[11] -.sym 8250 KEYBOARD.row_time[12] -.sym 8251 KEYBOARD.row_time[13] -.sym 8252 KEYBOARD.row_time[14] -.sym 8253 KEYBOARD.row_time[15] -.sym 8318 $true -.sym 8355 $abc$32112$n1819$2 -.sym 8356 $false -.sym 8357 $abc$32112$n1819 -.sym 8358 $false +.sym 6418 KBD_COLUMNS[0]$2 +.sym 6420 KBD_COLUMNS[1]$2 +.sym 6422 $abc$56607$n2246 +.sym 6423 KEYBOARD.kbd_code[2] +.sym 6425 KEYBOARD.row_counter[1] +.sym 6426 KEYBOARD.kbd_code[3] +.sym 6427 KEYBOARD.kbd_code[7] +.sym 6428 KEYBOARD.kbd_code[5] +.sym 6429 KEYBOARD.kbd_code[6] +.sym 6438 $false +.sym 6439 $false +.sym 6441 $false +.sym 6442 $false +.sym 6443 $false +.sym 6444 $false +.sym 6445 $false +.sym 6446 $false +.sym 6449 $false +.sym 6450 $false$2 +.sym 6451 $false +.sym 6452 $false +.sym 6453 $false +.sym 6454 $false +.sym 6455 $false +.sym 6456 $false +.sym 6457 $false +.sym 6458 $false +.sym 6459 $false +.sym 6564 $abc$56607$n1249 +.sym 6565 $abc$56607$n2616 +.sym 6566 $abc$56607$n2049 +.sym 6567 I2C.i2c_bit_counter[2] +.sym 6576 KEYBOARD.kbd_code[0] +.sym 6577 KEYBOARD.kbd_code[1] +.sym 6579 KEYBOARD.kbd_code[2] +.sym 6580 KEYBOARD.kbd_code[3] +.sym 6581 KEYBOARD.kbd_code[4] +.sym 6582 KEYBOARD.kbd_code[5] +.sym 6583 KEYBOARD.kbd_code[6] +.sym 6584 KEYBOARD.kbd_code[7] +.sym 6587 CLK$2$2 +.sym 6588 $true +.sym 6589 $true$2 +.sym 6662 $abc$56607$n878 +.sym 6663 $abc$56607$n1268_1 +.sym 6664 $abc$56607$n1285 +.sym 6665 $abc$56607$n1291 +.sym 6666 $abc$56607$n1293 +.sym 6667 $abc$56607$n1319 +.sym 6668 $abc$56607$n1290_1 +.sym 6669 $abc$56607$n16 +.sym 6670 $undef +.sym 6671 $undef +.sym 6672 $undef +.sym 6673 $undef +.sym 6674 $undef +.sym 6675 $undef +.sym 6676 $undef +.sym 6677 $undef +.sym 6678 KEYBOARD.ram_adr[0] +.sym 6679 KEYBOARD.ram_adr[1] +.sym 6680 $false +.sym 6681 KEYBOARD.ram_adr[2] +.sym 6682 KEYBOARD.ram_adr[3] +.sym 6683 KEYBOARD.ram_adr[4] +.sym 6684 KEYBOARD.ram_adr[5] +.sym 6685 KEYBOARD.ram_adr[6] +.sym 6686 KEYBOARD.ram_adr[7] +.sym 6687 $false +.sym 6688 $false +.sym 6689 CLK$2$2 +.sym 6690 KEYBOARD.ram_wr +.sym 6691 KEYBOARD.temp[0] +.sym 6692 $undef +.sym 6693 KEYBOARD.temp[1] +.sym 6694 $undef +.sym 6695 KEYBOARD.temp[2] +.sym 6696 $undef +.sym 6697 KEYBOARD.temp[3] +.sym 6698 $undef +.sym 6699 $true$2 +.sym 6764 $abc$56607$n1314 +.sym 6765 $abc$56607$n1318 +.sym 6766 $abc$56607$n1322 +.sym 6767 $abc$56607$n1320_1 +.sym 6768 $abc$56607$n1267 +.sym 6769 $abc$56607$n1271 +.sym 6770 $abc$56607$n1317 +.sym 6771 I2C.wr +.sym 6772 $undef +.sym 6773 $undef +.sym 6774 $undef +.sym 6775 $undef +.sym 6776 $undef +.sym 6777 $undef +.sym 6778 $undef +.sym 6779 $undef +.sym 6780 KEYBOARD.ram_adr[0] +.sym 6781 KEYBOARD.ram_adr[1] +.sym 6782 $false +.sym 6783 KEYBOARD.ram_adr[2] +.sym 6784 KEYBOARD.ram_adr[3] +.sym 6785 KEYBOARD.ram_adr[4] +.sym 6786 KEYBOARD.ram_adr[5] +.sym 6787 KEYBOARD.ram_adr[6] +.sym 6788 KEYBOARD.ram_adr[7] +.sym 6789 $false +.sym 6790 $false +.sym 6791 CLK$2$2 +.sym 6792 $true +.sym 6793 $true$2 +.sym 6794 KEYBOARD.temp[5] +.sym 6795 $undef +.sym 6796 KEYBOARD.temp[6] +.sym 6797 $undef +.sym 6798 KEYBOARD.temp[7] +.sym 6799 $undef +.sym 6800 KEYBOARD.temp[4] +.sym 6801 $undef +.sym 6868 $abc$56607$n2495 +.sym 6869 $abc$56607$n872 +.sym 6870 KEYBOARD.ram_adr[1] +.sym 6871 KEYBOARD.ram_adr[0] +.sym 6872 KEYBOARD.ram_adr[2] +.sym 6873 KEYBOARD.ram_adr[3] +.sym 6970 $abc$56607$n2603 +.sym 6971 $abc$56607$n2605 +.sym 6972 $abc$56607$n1268 +.sym 6973 $abc$56607$n2604 +.sym 6974 $abc$56607$n887 +.sym 6975 I2C.received_byte[2] +.sym 7070 $abc$56607$n1264 +.sym 7071 $abc$56607$n1258 +.sym 7072 $abc$56607$n1261 +.sym 7073 $abc$56607$n1266 +.sym 7074 $abc$56607$n1265 +.sym 7075 $abc$56607$n1263 +.sym 7076 $abc$56607$n1262 +.sym 7077 I2C.received_byte[1] +.sym 7172 $abc$56607$n2509 +.sym 7174 $abc$56607$n1054 +.sym 7175 $abc$56607$n1459 +.sym 7176 I2C_OUT_DESC_MASK[3] +.sym 7177 I2C_OUT_DESC_MASK[6] +.sym 7179 I2C_OUT_DESC_MASK[1] +.sym 7274 COM_TX$2 +.sym 7275 $abc$56607$n663 +.sym 7276 $abc$56607$n2471 +.sym 7277 $abc$56607$n1046 +.sym 7278 $abc$56607$n1044 +.sym 7279 $abc$56607$n658 +.sym 7280 $abc$56607$n1048 +.sym 7281 $abc$56607$n10 +.sym 7290 $false +.sym 7291 $false +.sym 7293 $false +.sym 7294 $false +.sym 7295 $false +.sym 7296 $false +.sym 7297 $false +.sym 7298 $false +.sym 7301 $false +.sym 7302 $false$2 +.sym 7303 $false +.sym 7304 $false +.sym 7305 $false +.sym 7306 $false +.sym 7307 $false +.sym 7308 $false +.sym 7309 $false +.sym 7310 $false +.sym 7311 $false +.sym 7376 $abc$56607$n1050_1 +.sym 7377 $abc$56607$n662 +.sym 7378 $abc$56607$n659 +.sym 7379 $abc$56607$n1042 +.sym 7380 UART_TX_DATA[0] +.sym 7381 UART_TX_DATA[2] +.sym 7382 UART_TX_DATA[6] +.sym 7383 UART_TX_DATA[4] +.sym 7392 I2C_HID_DESC.real_adress[0] +.sym 7393 I2C_HID_DESC.real_adress[1] +.sym 7395 I2C_HID_DESC.real_adress[2] +.sym 7396 I2C_HID_DESC.real_adress[3] +.sym 7397 I2C_HID_DESC.real_adress[4] +.sym 7398 I2C_HID_DESC.real_adress[5] +.sym 7399 I2C_HID_DESC.real_adress[6] +.sym 7400 I2C_HID_DESC.real_adress[7] +.sym 7403 CLK$2$2 +.sym 7404 $true +.sym 7405 $true$2 +.sym 7478 $abc$56607$n665 +.sym 7479 $abc$56607$n861 +.sym 7480 $abc$56607$n2608 +.sym 7481 $abc$56607$n857 +.sym 7482 $abc$56607$n664 +.sym 7483 $abc$56607$n858 +.sym 7484 UART_TX_DATA[1] +.sym 7485 UART_TX_DATA[3] +.sym 7486 $undef +.sym 7487 $undef +.sym 7488 $undef +.sym 7489 $undef +.sym 7490 $undef +.sym 7491 $undef +.sym 7492 $undef +.sym 7493 $undef +.sym 7494 report_data_wadr[0] +.sym 7495 report_data_wadr[1] +.sym 7496 $false +.sym 7497 report_data_wadr[2] +.sym 7498 report_data_wadr[3] +.sym 7499 report_data_wadr[4] +.sym 7500 report_data_wadr[5] +.sym 7501 report_data_wadr[6] +.sym 7502 report_data_wadr[7] +.sym 7503 $false +.sym 7504 $false +.sym 7505 CLK$2$2 +.sym 7506 report_wr_en +.sym 7507 report_data_wr[0] +.sym 7508 $undef +.sym 7509 report_data_wr[1] +.sym 7510 $undef +.sym 7511 report_data_wr[2] +.sym 7512 $undef +.sym 7513 report_data_wr[3] +.sym 7514 $undef +.sym 7515 $true$2 +.sym 7580 $abc$56607$n280 +.sym 7581 $abc$56607$n999 +.sym 7582 $abc$56607$n1039 +.sym 7583 $abc$56607$n1465 +.sym 7584 $abc$56607$n273 +.sym 7585 $abc$56607$n277 +.sym 7586 $abc$56607$n282 +.sym 7587 report_data_radr[0] +.sym 7588 $undef +.sym 7589 $undef +.sym 7590 $undef +.sym 7591 $undef +.sym 7592 $undef +.sym 7593 $undef +.sym 7594 $undef +.sym 7595 $undef +.sym 7596 report_data_radr[0] +.sym 7597 report_data_radr[1] +.sym 7598 $false +.sym 7599 report_data_radr[2] +.sym 7600 report_data_radr[3] +.sym 7601 report_data_radr[4] +.sym 7602 report_data_radr[5] +.sym 7603 report_data_radr[6] +.sym 7604 report_data_radr[7] +.sym 7605 $false +.sym 7606 $false +.sym 7607 CLK$2$2 +.sym 7608 $true +.sym 7609 $true$2 +.sym 7610 report_data_wr[5] +.sym 7611 $undef +.sym 7612 report_data_wr[6] +.sym 7613 $undef +.sym 7614 report_data_wr[7] +.sym 7615 $undef +.sym 7616 report_data_wr[4] +.sym 7617 $undef +.sym 7683 $abc$56607$n279 +.sym 7688 COM_DSR$2 +.sym 7689 report_data_radr[1] +.sym 7785 $10\ring_rd[3:0][2] +.sym 7787 $10\ring_rd[3:0][3] +.sym 7789 I2C.FLT_SCL.out +.sym 7888 $abc$56607$n1639 +.sym 7889 $abc$56607$n1009 +.sym 7890 $abc$56607$n1511 +.sym 7892 $abc$56607$n2484 +.sym 7893 I2C.FLT_SCL.counter[2] +.sym 8118 $abc$56607$n1343 +.sym 8119 $abc$56607$n1344 +.sym 8120 $abc$56607$n691 +.sym 8122 $abc$56607$n696 +.sym 8123 $abc$56607$n1492_1 +.sym 8124 KEYBOARD.temp[6] +.sym 8125 KEYBOARD.temp[5] +.sym 8242 KBD_COLUMNS[2]$2 +.sym 8244 KBD_COLUMNS[3]$2 +.sym 8246 $abc$56607$n1490_1 +.sym 8247 $abc$56607$n1347 +.sym 8248 $abc$56607$n1341 +.sym 8249 $abc$56607$n1349 +.sym 8250 $abc$56607$n2273 +.sym 8251 $abc$56607$n2287 +.sym 8252 KEYBOARD.kbd_code[1] +.sym 8253 KEYBOARD.kbd_code[0] +.sym 8356 $abc$56607$n33$2 +.sym 8357 KEYBOARD.row_counter[0] +.sym 8358 KEYBOARD.row_counter[1] .sym 8359 $false -.sym 8361 $auto$alumacc.cc:470:replace_alu$4616.C[2] -.sym 8363 $abc$32112$n1821 -.sym 8364 $true$2 -.sym 8367 $auto$alumacc.cc:470:replace_alu$4616.C[3] -.sym 8368 $false -.sym 8369 $abc$32112$n1823 -.sym 8370 $true$2 -.sym 8371 $auto$alumacc.cc:470:replace_alu$4616.C[2] -.sym 8373 $abc$32112$n2097$2 -.sym 8374 $false -.sym 8375 $abc$32112$n1825 -.sym 8376 $true$2 -.sym 8377 $auto$alumacc.cc:470:replace_alu$4616.C[3] -.sym 8383 $abc$32112$n2097$2 -.sym 8386 $abc$32112$n2139 +.sym 8362 $abc$56607$n2273 +.sym 8363 $false +.sym 8364 $false +.sym 8365 $false +.sym 8374 $abc$56607$n2246 +.sym 8375 $false +.sym 8376 $false +.sym 8377 $false +.sym 8380 $abc$56607$n2287 +.sym 8381 $abc$56607$n2244 +.sym 8382 $false +.sym 8383 $false +.sym 8386 $abc$56607$n2287 .sym 8387 $false .sym 8388 $false .sym 8389 $false -.sym 8392 $abc$32112$n1823 -.sym 8393 $false +.sym 8392 $abc$56607$n2287 +.sym 8393 $abc$56607$n2248 .sym 8394 $false .sym 8395 $false -.sym 8398 $abc$32112$n1012 -.sym 8399 $abc$32112$n1730 +.sym 8398 $abc$56607$n2287 +.sym 8399 $abc$56607$n2250 .sym 8400 $false .sym 8401 $false -.sym 8402 $true +.sym 8402 $abc$56607$n1490$2 .sym 8403 CLK$2$2 .sym 8404 $false -.sym 8405 $abc$32112$n1015 -.sym 8406 $abc$32112$n1392 -.sym 8408 $abc$32112$n8 -.sym 8409 I2C.byte_counter[4] -.sym 8412 I2C.byte_counter[6] -.sym 8479 I2C.i2c_bit_counter[0] -.sym 8480 I2C.i2c_bit_counter[1] -.sym 8481 $abc$32112$n1817 +.sym 8405 $abc$56607$n702 +.sym 8406 $abc$56607$n726 +.sym 8407 $abc$56607$n703 +.sym 8408 $abc$56607$n692 +.sym 8409 $abc$56607$n685 +.sym 8410 $abc$56607$n708 +.sym 8411 KEYBOARD.temp[2] +.sym 8412 KEYBOARD.temp[4] +.sym 8441 $true +.sym 8478 $abc$56607$n2615$2 +.sym 8479 $false +.sym 8480 $abc$56607$n2615 +.sym 8481 $false .sym 8482 $false -.sym 8485 $abc$32112$n1817 -.sym 8486 I2C.i2c_bit_counter[1] -.sym 8487 $false -.sym 8488 $false -.sym 8491 $abc$32112$n1817 -.sym 8492 I2C.i2c_bit_counter[0] -.sym 8493 $false -.sym 8494 $false -.sym 8497 $abc$32112$n1819 +.sym 8484 $auto$alumacc.cc:470:replace_alu$12064.C[2] +.sym 8486 $false +.sym 8487 $abc$56607$n2530 +.sym 8490 $auto$alumacc.cc:470:replace_alu$12064.C[3] +.sym 8492 $false +.sym 8493 $abc$56607$n2616 +.sym 8496 $abc$56607$n1249$2 .sym 8498 $false -.sym 8499 $false -.sym 8500 $false -.sym 8503 $abc$32112$n1817 -.sym 8504 I2C.i2c_bit_counter[2] -.sym 8505 $false -.sym 8506 $false -.sym 8509 $abc$32112$n1113 -.sym 8510 $abc$32112$n1823 -.sym 8511 $abc$32112$n1116 -.sym 8512 $abc$32112$n1053 -.sym 8515 $abc$32112$n1113 -.sym 8516 $abc$32112$n1821 -.sym 8517 $abc$32112$n693_1 -.sym 8518 $abc$32112$n1116 -.sym 8521 $abc$32112$n1113 -.sym 8522 $abc$32112$n1819 -.sym 8523 $abc$32112$n1116 -.sym 8524 $abc$32112$n1051 +.sym 8499 $abc$56607$n2617 +.sym 8506 $abc$56607$n1249$2 +.sym 8509 $abc$56607$n2049 +.sym 8510 $false +.sym 8511 $false +.sym 8512 $false +.sym 8515 $abc$56607$n2043 +.sym 8516 I2C.i2c_bit_counter[2] +.sym 8517 $false +.sym 8518 $false +.sym 8521 $abc$56607$n1290_1 +.sym 8522 $abc$56607$n2049 +.sym 8523 $abc$56607$n1293 +.sym 8524 $abc$56607$n360 .sym 8525 $true .sym 8526 CLK$2$2 .sym 8527 $false -.sym 8528 $abc$32112$n1094 -.sym 8529 $abc$32112$n1319_1 -.sym 8530 $abc$32112$n1127 -.sym 8531 $abc$32112$n1117 -.sym 8532 $abc$32112$n1095 -.sym 8533 $abc$32112$n1146 -.sym 8534 $abc$32112$n1108 -.sym 8535 I2C.is_ack -.sym 8602 $abc$32112$n1117 -.sym 8603 $abc$32112$n506 -.sym 8604 $false +.sym 8528 $abc$56607$n722 +.sym 8529 $abc$56607$n1282 +.sym 8530 $abc$56607$n719 +.sym 8531 $abc$56607$n1470 +.sym 8532 $abc$56607$n717 +.sym 8533 $abc$56607$n1292 +.sym 8534 KEYBOARD.ram_wr +.sym 8602 $abc$56607$n1249 +.sym 8603 $abc$56607$n16 +.sym 8604 I2C.FLT_SCL.out .sym 8605 $false -.sym 8608 $abc$32112$n1117 -.sym 8609 $abc$32112$n1319_1 -.sym 8610 $abc$32112$n691 -.sym 8611 $abc$32112$n2139 -.sym 8620 I2C.byte_counter[5] -.sym 8621 $false -.sym 8622 $false -.sym 8623 $false -.sym 8626 I2C.i2c_bit_counter[3] -.sym 8627 $abc$32112$n1817 -.sym 8628 $false -.sym 8629 $false -.sym 8632 $abc$32112$n2139 -.sym 8633 $abc$32112$n1054 -.sym 8634 $abc$32112$n1117 -.sym 8635 $false -.sym 8638 $false -.sym 8639 $abc$32112$n1819 -.sym 8640 $false -.sym 8641 $true$2 -.sym 8644 I2C.received_byte[6] +.sym 8608 I2C.FLT_SCL.out +.sym 8609 $abc$56607$n16 +.sym 8610 $false +.sym 8611 $false +.sym 8614 $abc$56607$n1271 +.sym 8615 I2C.FLT_SCL.out +.sym 8616 $abc$56607$n16 +.sym 8617 $abc$56607$n1249 +.sym 8620 $abc$56607$n1292 +.sym 8621 $abc$56607$n878 +.sym 8622 $abc$56607$n875 +.sym 8623 $abc$56607$n877 +.sym 8626 $abc$56607$n1267 +.sym 8627 $abc$56607$n878 +.sym 8628 $abc$56607$n875 +.sym 8629 $abc$56607$n877 +.sym 8632 $abc$56607$n1249 +.sym 8633 I2C.FLT_SCL.out +.sym 8634 $abc$56607$n16 +.sym 8635 $abc$56607$n1271 +.sym 8638 $abc$56607$n1267 +.sym 8639 $abc$56607$n1285 +.sym 8640 $abc$56607$n875 +.sym 8641 $abc$56607$n1291 +.sym 8644 I2C.FLT_SCL.out .sym 8645 $false .sym 8646 $false .sym 8647 $false -.sym 8648 $abc$32112$n2246 +.sym 8648 $true .sym 8649 CLK$2$2 .sym 8650 $false -.sym 8651 $abc$32112$n687 -.sym 8652 $abc$32112$n1114 -.sym 8653 $abc$32112$n1113 -.sym 8654 $abc$32112$n1115 -.sym 8655 $abc$32112$n1145 -.sym 8656 $abc$32112$n1104 -.sym 8657 $abc$32112$n1093_1 -.sym 8658 $abc$32112$n1014 -.sym 8731 I2C.byte_counter[1] -.sym 8732 $false -.sym 8733 $false -.sym 8734 $false -.sym 8737 I2C.byte_counter[3] -.sym 8738 $false -.sym 8739 $false -.sym 8740 $false -.sym 8743 $abc$32112$n1053 -.sym 8744 $abc$32112$n686 -.sym 8745 $false -.sym 8746 $false -.sym 8749 $abc$32112$n686 -.sym 8750 $abc$32112$n1053 +.sym 8651 $abc$56607$n1281 +.sym 8652 $abc$56607$n1280 +.sym 8653 $abc$56607$n1270 +.sym 8654 $abc$56607$n1272 +.sym 8655 $abc$56607$n1260 +.sym 8656 I2C.is_ack +.sym 8657 $abc$56607$n14 +.sym 8658 I2C.SDA_DIR +.sym 8725 $abc$56607$n1249 +.sym 8726 $abc$56607$n875 +.sym 8727 $abc$56607$n1315_1 +.sym 8728 $abc$56607$n1271 +.sym 8731 $abc$56607$n875 +.sym 8732 $abc$56607$n1319 +.sym 8733 $abc$56607$n1320_1 +.sym 8734 $abc$56607$n1321 +.sym 8737 $abc$56607$n1286 +.sym 8738 $abc$56607$n2583 +.sym 8739 $abc$56607$n875 +.sym 8740 $abc$56607$n1285 +.sym 8743 $abc$56607$n1271 +.sym 8744 $abc$56607$n875 +.sym 8745 $abc$56607$n1268_1 +.sym 8746 $abc$56607$n1249 +.sym 8749 $abc$56607$n1249 +.sym 8750 $abc$56607$n1268_1 .sym 8751 $false .sym 8752 $false -.sym 8755 $abc$32112$n1054 -.sym 8756 $abc$32112$n1065 -.sym 8757 $abc$32112$n687 -.sym 8758 $abc$32112$n2097 -.sym 8761 $false -.sym 8762 I2C.byte_counter[0] -.sym 8763 $true$2 -.sym 8764 $true$2 -.sym 8767 $false -.sym 8768 $false -.sym 8769 $true$2 -.sym 8770 $abc$32112$n2097 -.sym 8774 $abc$32112$n1315 -.sym 8775 $abc$32112$n1317_1 -.sym 8776 $abc$32112$n1277_1 -.sym 8777 $abc$32112$n1107 -.sym 8778 $abc$32112$n1151 -.sym 8779 $abc$32112$n1150 -.sym 8780 $abc$32112$n1149 -.sym 8781 I2C.wr +.sym 8755 $abc$56607$n2043 +.sym 8756 I2C.is_ack +.sym 8757 $false +.sym 8758 $false +.sym 8761 $abc$56607$n1318 +.sym 8762 $abc$56607$n1322 +.sym 8763 $abc$56607$n877 +.sym 8764 $false +.sym 8767 I2C.i2c_state_machine +.sym 8768 $abc$56607$n1321 +.sym 8769 $abc$56607$n1317 +.sym 8770 $false +.sym 8771 $true +.sym 8772 CLK$2$2 +.sym 8773 $false +.sym 8774 $abc$56607$n1288 +.sym 8775 $abc$56607$n1269 +.sym 8776 $abc$56607$n1284 +.sym 8777 $abc$56607$n1274 +.sym 8778 $abc$56607$n1462 +.sym 8779 $abc$56607$n1273 +.sym 8780 $abc$56607$n1286 +.sym 8781 I2C.i2c_state_machine .sym 8810 $true -.sym 8847 I2C.byte_counter[1]$2 +.sym 8847 $abc$56607$n2621$3 .sym 8848 $false -.sym 8849 I2C.byte_counter[1] +.sym 8849 $abc$56607$n2621 .sym 8850 $false .sym 8851 $false -.sym 8853 $auto$alumacc.cc:470:replace_alu$4602.C[3] -.sym 8855 I2C.byte_counter[2] -.sym 8856 $true$2 +.sym 8853 $auto$alumacc.cc:470:replace_alu$12111.C[2] +.sym 8855 $false +.sym 8856 $abc$56607$n2518 .sym 8860 $false -.sym 8861 I2C.byte_counter[3] -.sym 8862 $true$2 -.sym 8863 $auto$alumacc.cc:470:replace_alu$4602.C[3] -.sym 8866 $abc$32112$n699 -.sym 8867 $abc$32112$n692 +.sym 8861 $false +.sym 8862 $abc$56607$n2604 +.sym 8863 $auto$alumacc.cc:470:replace_alu$12111.C[2] +.sym 8866 $abc$56607$n360 +.sym 8867 $abc$56607$n873_1 .sym 8868 $false .sym 8869 $false -.sym 8878 $abc$32112$n1086 -.sym 8879 $abc$32112$n1314_1 -.sym 8880 $abc$32112$n1315 -.sym 8881 $abc$32112$n506 -.sym 8884 I2C.FLT_SDA.out -.sym 8885 $false -.sym 8886 $false +.sym 8872 KEYBOARD.init_ram_cnt[1] +.sym 8873 KEYBOARD.row_counter[0] +.sym 8874 KEYBOARD.row_counter[1] +.sym 8875 KEYBOARD.init_ram_cnt[8] +.sym 8878 $abc$56607$n2231 +.sym 8879 KEYBOARD.init_ram_cnt[0] +.sym 8880 KEYBOARD.init_ram_cnt[8] +.sym 8881 $false +.sym 8884 $abc$56607$n2237 +.sym 8885 KEYBOARD.init_ram_cnt[2] +.sym 8886 KEYBOARD.init_ram_cnt[8] .sym 8887 $false -.sym 8894 $abc$32112$n546 +.sym 8890 $abc$56607$n28 +.sym 8891 KEYBOARD.init_ram_cnt[3] +.sym 8892 KEYBOARD.init_ram_cnt[8] +.sym 8893 $false +.sym 8894 $abc$56607$n1462 .sym 8895 CLK$2$2 .sym 8896 $false -.sym 8897 $abc$32112$n1098 -.sym 8898 $abc$32112$n688 -.sym 8899 $abc$32112$n1103 -.sym 8900 $abc$32112$n1105 -.sym 8901 $abc$32112$n1097 -.sym 8902 $abc$32112$n1099_1 -.sym 8903 I2C.is_read -.sym 8904 I2C.SDA_DIR -.sym 8971 $abc$32112$n985 -.sym 8972 $abc$32112$n993 -.sym 8973 $abc$32112$n1053 -.sym 8974 $abc$32112$n692 -.sym 8977 $abc$32112$n1180 -.sym 8978 $abc$32112$n1185 -.sym 8979 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] -.sym 8980 $false -.sym 8983 I2C.byte_counter[2] -.sym 8984 I2C.byte_counter[1] -.sym 8985 $abc$32112$n1182 -.sym 8986 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] -.sym 8989 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] -.sym 8990 $abc$32112$n882 -.sym 8991 $abc$32112$n1182 -.sym 8992 $false -.sym 8995 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] -.sym 8996 $abc$32112$n1185 -.sym 8997 $abc$32112$n1180 +.sym 8897 $abc$56607$n898 +.sym 8898 $abc$56607$n899 +.sym 8899 $abc$56607$n25 +.sym 8900 $abc$56607$n2519 +.sym 8901 UART.tx_bit_counter[2] +.sym 8902 UART.tx_bit_counter[3] +.sym 8903 UART.tx_bit_counter[0] +.sym 8904 UART.tx_bit_counter[1] +.sym 8933 $true +.sym 8970 UART.tx_bit_counter[0]$2 +.sym 8971 $false +.sym 8972 UART.tx_bit_counter[0] +.sym 8973 $false +.sym 8974 $false +.sym 8976 $auto$alumacc.cc:470:replace_alu$12200.C[2] +.sym 8978 UART.tx_bit_counter[1] +.sym 8979 $true$2 +.sym 8982 $auto$alumacc.cc:470:replace_alu$12200.C[3] +.sym 8983 $false +.sym 8984 UART.tx_bit_counter[2] +.sym 8985 $true$2 +.sym 8986 $auto$alumacc.cc:470:replace_alu$12200.C[2] +.sym 8989 $false +.sym 8990 UART.tx_bit_counter[3] +.sym 8991 $true$2 +.sym 8992 $auto$alumacc.cc:470:replace_alu$12200.C[3] +.sym 8995 $abc$56607$n880 +.sym 8996 $abc$56607$n358 +.sym 8997 $abc$56607$n872 .sym 8998 $false -.sym 9001 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] -.sym 9002 $abc$32112$n882 -.sym 9003 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] -.sym 9004 $abc$32112$n1180 -.sym 9007 I2C.byte_counter[1] -.sym 9008 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] -.sym 9009 I2C.byte_counter[2] +.sym 9001 $abc$56607$n2603 +.sym 9002 $false +.sym 9003 $false +.sym 9004 $false +.sym 9007 $abc$56607$n873_1 +.sym 9008 $abc$56607$n360 +.sym 9009 $false .sym 9010 $false -.sym 9013 I2C.SCLF +.sym 9013 I2C.FLT_SDA.out .sym 9014 $false .sym 9015 $false .sym 9016 $false -.sym 9017 $abc$32112$n858 +.sym 9017 $abc$56607$n1268 .sym 9018 CLK$2$2 -.sym 9019 $0\KBD_FREEZE[0:0]$2 -.sym 9020 $abc$32112$n893 -.sym 9021 $abc$32112$n917 -.sym 9022 $abc$32112$n1273 -.sym 9023 $abc$32112$n898_1 -.sym 9024 $abc$32112$n1274_1 -.sym 9025 $abc$32112$n915 -.sym 9026 $abc$32112$n916 -.sym 9027 I2C.i2c_state_machine -.sym 9100 $abc$32112$n893 -.sym 9101 $abc$32112$n871 +.sym 9019 $false +.sym 9020 $abc$56607$n1302 +.sym 9021 $abc$56607$n885 +.sym 9022 $abc$56607$n1278 +.sym 9024 $abc$56607$n1290 +.sym 9025 $abc$56607$n882 +.sym 9026 $abc$56607$n1297 +.sym 9027 I2C.received_byte[6] +.sym 9094 $abc$56607$n1265 +.sym 9095 $abc$56607$n1266 +.sym 9096 $abc$56607$n360 +.sym 9097 $false +.sym 9100 $abc$56607$n872 +.sym 9101 $abc$56607$n882 .sym 9102 $false .sym 9103 $false -.sym 9106 I2C_INPUT_DATA[3][4] -.sym 9107 I2C_INPUT_DATA[3][5] -.sym 9108 I2C_INPUT_DATA[3][6] -.sym 9109 I2C_INPUT_DATA[3][7] -.sym 9112 $abc$32112$n1102 -.sym 9113 I2C.received_byte[3] -.sym 9114 I2C.received_byte[5] -.sym 9115 I2C.received_byte[6] -.sym 9118 I2C.received_byte[7] -.sym 9119 $false -.sym 9120 $false -.sym 9121 $false -.sym 9124 I2C.received_byte[4] -.sym 9125 $false -.sym 9126 $false -.sym 9127 $false -.sym 9130 I2C.received_byte[5] -.sym 9131 $false -.sym 9132 $false -.sym 9133 $false -.sym 9136 I2C.received_byte[6] +.sym 9106 $abc$56607$n1262 +.sym 9107 $abc$56607$n1263 +.sym 9108 $abc$56607$n1264 +.sym 9109 $abc$56607$n1267 +.sym 9112 $abc$56607$n1050_1 +.sym 9113 $abc$56607$n1054 +.sym 9114 $abc$56607$n358 +.sym 9115 $abc$56607$n880 +.sym 9118 $abc$56607$n1042 +.sym 9119 $abc$56607$n1046 +.sym 9120 $abc$56607$n358 +.sym 9121 $abc$56607$n880 +.sym 9124 $abc$56607$n1048 +.sym 9125 $abc$56607$n1056 +.sym 9126 $abc$56607$n360 +.sym 9127 $abc$56607$n885 +.sym 9130 $abc$56607$n1044 +.sym 9131 $abc$56607$n1052 +.sym 9132 $abc$56607$n360 +.sym 9133 $abc$56607$n882 +.sym 9136 I2C.FLT_SDA.out .sym 9137 $false .sym 9138 $false .sym 9139 $false -.sym 9140 $abc$32112$n2237 +.sym 9140 $abc$56607$n1258 .sym 9141 CLK$2$2 .sym 9142 $false -.sym 9143 $abc$32112$n897 -.sym 9144 $abc$32112$n914_1 -.sym 9145 $abc$32112$n875 -.sym 9146 $abc$32112$n935 -.sym 9147 $abc$32112$n937_1 -.sym 9148 $abc$32112$n876 -.sym 9149 $abc$32112$n892_1 -.sym 9150 $abc$32112$n894 -.sym 9217 $abc$32112$n939 -.sym 9218 $abc$32112$n923 -.sym 9219 $abc$32112$n887 -.sym 9220 $false -.sym 9223 $abc$32112$n876 -.sym 9224 $abc$32112$n871 -.sym 9225 $false -.sym 9226 $false -.sym 9229 I2C.byte_counter[3] -.sym 9230 I2C.byte_counter[2] -.sym 9231 $abc$32112$n907 +.sym 9143 report_data_wr[1] +.sym 9144 report_data_wr[6] +.sym 9145 report_data_wr[0] +.sym 9146 report_data_wr[4] +.sym 9147 report_data_wr[3] +.sym 9148 report_data_wr[5] +.sym 9149 report_data_wr[7] +.sym 9150 report_data_wr[2] +.sym 9217 $false +.sym 9218 $false +.sym 9219 $abc$56607$n2621 +.sym 9220 $true$2 +.sym 9229 I2C_HID_DESC.VAL[6] +.sym 9230 REPORT_DATA.r_data[6] +.sym 9231 I2C_OUT_DESC_MASK[6] .sym 9232 $false -.sym 9235 $abc$32112$n987 -.sym 9236 $abc$32112$n991_1 -.sym 9237 $abc$32112$n693_1 -.sym 9238 $abc$32112$n1051 -.sym 9241 $abc$32112$n881 -.sym 9242 $abc$32112$n878_1 -.sym 9243 $abc$32112$n935 +.sym 9235 $abc$56607$n1490$2 +.sym 9236 $false +.sym 9237 $false +.sym 9238 $false +.sym 9241 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9242 $false +.sym 9243 $false .sym 9244 $false -.sym 9247 $abc$32112$n876 -.sym 9248 $abc$32112$n878_1 +.sym 9247 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9248 $false .sym 9249 $false .sym 9250 $false -.sym 9253 $abc$32112$n937_1 -.sym 9254 $abc$32112$n938 -.sym 9255 $abc$32112$n935 -.sym 9256 $abc$32112$n932 -.sym 9259 $abc$32112$n1022_1 -.sym 9260 $abc$32112$n2175 -.sym 9261 $abc$32112$n2168 +.sym 9259 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9260 $false +.sym 9261 $false .sym 9262 $false -.sym 9266 $abc$32112$n936 -.sym 9267 $abc$32112$n940 -.sym 9268 $abc$32112$n1322_1 -.sym 9269 $abc$32112$n913 -.sym 9270 $abc$32112$n924 -.sym 9271 $abc$32112$n1323_1 -.sym 9272 I2C_HID_DESC.VAL[1] -.sym 9273 I2C_HID_DESC.VAL[5] -.sym 9340 $abc$32112$n934 -.sym 9341 $abc$32112$n936 -.sym 9342 $abc$32112$n938 -.sym 9343 $abc$32112$n940 -.sym 9346 $abc$32112$n951 -.sym 9347 $abc$32112$n923 -.sym 9348 $false -.sym 9349 $false -.sym 9352 $abc$32112$n933 -.sym 9353 $abc$32112$n19 -.sym 9354 $false +.sym 9263 $abc$56607$n1191 +.sym 9264 CLK$2$2 +.sym 9265 $abc$56607$n35$2 +.sym 9266 $abc$56607$n1479 +.sym 9267 $abc$56607$n1093 +.sym 9268 $abc$56607$n751 +.sym 9269 $abc$56607$n1537 +.sym 9270 $abc$56607$n1097 +.sym 9271 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9272 I2C_OUTPUT_TYPE[2] +.sym 9273 I2C_OUTPUT_TYPE[1] +.sym 9340 $abc$56607$n10 +.sym 9341 $false +.sym 9342 $false +.sym 9343 $false +.sym 9346 $abc$56607$n664 +.sym 9347 $abc$56607$n665 +.sym 9348 $abc$56607$n2495 +.sym 9349 $abc$56607$n2509 +.sym 9352 $false +.sym 9353 $false +.sym 9354 I2C.byte_counter[0] .sym 9355 $false -.sym 9358 $abc$32112$n881 -.sym 9359 $abc$32112$n871 -.sym 9360 $false +.sym 9358 I2C_HID_DESC.VAL[2] +.sym 9359 REPORT_DATA.r_data[2] +.sym 9360 I2C_OUT_DESC_MASK[2] .sym 9361 $false -.sym 9364 $abc$32112$n881 -.sym 9365 $abc$32112$n878_1 -.sym 9366 $abc$32112$n936 -.sym 9367 $abc$32112$n950 -.sym 9370 I2C_HID_DESC.VAL[1] -.sym 9371 I2C_TX_REPORT[1] -.sym 9372 I2C_OUT_DESC_MASK[1] -.sym 9373 $false -.sym 9376 $abc$32112$n1045 -.sym 9377 $abc$32112$n1272_1 -.sym 9378 $abc$32112$n951 -.sym 9379 $abc$32112$n2168 -.sym 9382 $abc$32112$n1073 -.sym 9383 $abc$32112$n1020 -.sym 9384 $false -.sym 9385 $false -.sym 9386 $abc$32112$n403 +.sym 9364 I2C_HID_DESC.VAL[1] +.sym 9365 REPORT_DATA.r_data[1] +.sym 9366 I2C_OUT_DESC_MASK[1] +.sym 9367 $false +.sym 9370 $abc$56607$n659 +.sym 9371 $abc$56607$n662 +.sym 9372 $abc$56607$n2509 +.sym 9373 $abc$56607$n2495 +.sym 9376 I2C_HID_DESC.VAL[3] +.sym 9377 REPORT_DATA.r_data[3] +.sym 9378 I2C_OUT_DESC_MASK[3] +.sym 9379 $false +.sym 9382 $abc$56607$n658 +.sym 9383 $abc$56607$n2229 +.sym 9384 $abc$56607$n663 +.sym 9385 UART.tx_activity +.sym 9386 $abc$56607$n1320 .sym 9387 CLK$2$2 -.sym 9388 $abc$32112$n992$2 -.sym 9389 $abc$32112$n861_1 -.sym 9390 $abc$32112$n864_1 -.sym 9391 $abc$32112$n922 -.sym 9392 $abc$32112$n931_1 -.sym 9393 $abc$32112$n960 -.sym 9394 $abc$32112$n955_1 -.sym 9395 $abc$32112$n891 -.sym 9396 I2C_HID_DESC.VAL[6] -.sym 9463 $abc$32112$n942 -.sym 9464 $abc$32112$n939 -.sym 9465 $abc$32112$n950 -.sym 9466 $abc$32112$n932 -.sym 9469 I2C_HID_DESC.VAL[7] -.sym 9470 I2C_TX_REPORT[7] -.sym 9471 I2C_OUT_DESC_MASK[7] +.sym 9388 $false +.sym 9389 $abc$56607$n828 +.sym 9390 $abc$56607$n825 +.sym 9391 $abc$56607$n856 +.sym 9392 $abc$56607$n860 +.sym 9393 $abc$56607$n1512_1 +.sym 9394 $abc$56607$n1513 +.sym 9395 I2C_INPUT_LEN[0] +.sym 9396 I2C_INPUT_LEN[1] +.sym 9463 I2C_HID_DESC.VAL[4] +.sym 9464 REPORT_DATA.r_data[4] +.sym 9465 I2C_OUT_DESC_MASK[4] +.sym 9466 $false +.sym 9469 UART_TX_DATA[0] +.sym 9470 UART_TX_DATA[2] +.sym 9471 $abc$56607$n660 .sym 9472 $false -.sym 9475 $abc$32112$n923 -.sym 9476 $abc$32112$n942 -.sym 9477 $abc$32112$n940 +.sym 9475 UART_TX_DATA[4] +.sym 9476 UART_TX_DATA[6] +.sym 9477 $abc$56607$n660 .sym 9478 $false -.sym 9481 $abc$32112$n942 -.sym 9482 $abc$32112$n960 -.sym 9483 $abc$32112$n19 +.sym 9481 I2C_HID_DESC.VAL[0] +.sym 9482 REPORT_DATA.r_data[0] +.sym 9483 I2C_OUT_DESC_MASK[0] .sym 9484 $false -.sym 9487 $abc$32112$n941_1 -.sym 9488 $abc$32112$n934 -.sym 9489 $abc$32112$n932 -.sym 9490 $abc$32112$n861_1 -.sym 9493 $abc$32112$n942 -.sym 9494 $abc$32112$n950 -.sym 9495 $abc$32112$n932 -.sym 9496 $abc$32112$n955_1 -.sym 9499 $abc$32112$n949 -.sym 9500 $abc$32112$n947 -.sym 9501 $abc$32112$n19 +.sym 9487 $abc$56607$n1042 +.sym 9488 I2C.received_byte[0] +.sym 9489 I2C.is_read +.sym 9490 $false +.sym 9493 $abc$56607$n1046 +.sym 9494 I2C.received_byte[2] +.sym 9495 I2C.is_read +.sym 9496 $false +.sym 9499 $abc$56607$n1054 +.sym 9500 I2C.received_byte[6] +.sym 9501 I2C.is_read .sym 9502 $false -.sym 9505 $abc$32112$n925_1 -.sym 9506 $abc$32112$n891 -.sym 9507 $abc$32112$n19 -.sym 9508 $abc$32112$n953_1 -.sym 9509 $abc$32112$n376 +.sym 9505 $abc$56607$n1050_1 +.sym 9506 I2C.received_byte[4] +.sym 9507 I2C.is_read +.sym 9508 $false +.sym 9509 $abc$56607$n888 .sym 9510 CLK$2$2 -.sym 9511 $false -.sym 9512 $abc$32112$n662 -.sym 9513 $abc$32112$n660 -.sym 9514 $abc$32112$n659 -.sym 9515 $abc$32112$n540 -.sym 9516 $abc$32112$n671_1 -.sym 9517 UART_TX_DATA[7] -.sym 9518 UART_TX_DATA[1] -.sym 9519 UART_TX_DATA[3] -.sym 9592 I2C_INPUT_DATA[0][2] -.sym 9593 I2C_INPUT_DATA[0][3] -.sym 9594 $abc$32112$n973_1 -.sym 9595 $false -.sym 9598 I2C_HID_DESC.VAL[2] -.sym 9599 I2C_TX_REPORT[2] -.sym 9600 I2C_OUT_DESC_MASK[2] +.sym 9511 $abc$56607$n19 +.sym 9512 $abc$56607$n829 +.sym 9513 $abc$56607$n827 +.sym 9514 $abc$56607$n1511_1 +.sym 9515 $abc$56607$n826 +.sym 9516 $abc$56607$n830 +.sym 9517 $abc$56607$n841 +.sym 9518 $abc$56607$n853 +.sym 9519 $abc$56607$n854 +.sym 9586 UART_TX_DATA[1] +.sym 9587 UART_TX_DATA[3] +.sym 9588 $abc$56607$n660 +.sym 9589 $false +.sym 9592 I2C_INPUT_LEN[2] +.sym 9593 I2C_INPUT_LEN[1] +.sym 9594 I2C_INPUT_LEN[0] +.sym 9595 I2C_INPUT_LEN[3] +.sym 9598 I2C_INPUT_LEN[2] +.sym 9599 $false +.sym 9600 $false .sym 9601 $false -.sym 9604 I2C_HID_DESC.VAL[0] -.sym 9605 I2C_TX_REPORT[0] -.sym 9606 I2C_OUT_DESC_MASK[0] +.sym 9604 I2C_INPUT_LEN[3] +.sym 9605 $abc$56607$n858 +.sym 9606 $abc$56607$n855 .sym 9607 $false -.sym 9610 I2C_HID_DESC.VAL[4] -.sym 9611 I2C_TX_REPORT[4] -.sym 9612 I2C_OUT_DESC_MASK[4] +.sym 9610 UART_TX_DATA[5] +.sym 9611 UART_TX_DATA[7] +.sym 9612 $abc$56607$n660 .sym 9613 $false -.sym 9616 $abc$32112$n1020 -.sym 9617 $abc$32112$n1052 -.sym 9618 $false +.sym 9616 I2C_INPUT_LEN[2] +.sym 9617 I2C_INPUT_LEN[0] +.sym 9618 I2C_INPUT_LEN[1] .sym 9619 $false -.sym 9622 $abc$32112$n1020 -.sym 9623 $abc$32112$n1023 -.sym 9624 $false +.sym 9622 $abc$56607$n1044 +.sym 9623 I2C.received_byte[1] +.sym 9624 I2C.is_read .sym 9625 $false -.sym 9628 $abc$32112$n1038 -.sym 9629 $abc$32112$n1020 -.sym 9630 $false +.sym 9628 $abc$56607$n1048 +.sym 9629 I2C.received_byte[3] +.sym 9630 I2C.is_read .sym 9631 $false -.sym 9632 $abc$32112$n403 +.sym 9632 $abc$56607$n888 .sym 9633 CLK$2$2 -.sym 9634 $abc$32112$n992$2 -.sym 9636 $abc$32112$n505 -.sym 9637 $abc$32112$n534 -.sym 9638 $abc$32112$n628 -.sym 9639 $abc$32112$n977_1 -.sym 9640 $abc$32112$n25 -.sym 9641 UART_TX_DATA[2] -.sym 9642 UART_TX_DATA[0] -.sym 9727 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9728 $false -.sym 9729 $false +.sym 9634 $abc$56607$n19 +.sym 9635 $abc$56607$n1180 +.sym 9636 $abc$56607$n1500 +.sym 9637 $abc$56607$n1499 +.sym 9638 $abc$56607$n745 +.sym 9639 $abc$56607$n988 +.sym 9640 $abc$56607$n788 +.sym 9641 $abc$56607$n19 +.sym 9642 last_wr +.sym 9709 I2C.received_byte[2] +.sym 9710 $false +.sym 9711 $false +.sym 9712 $false +.sym 9715 $abc$56607$n769 +.sym 9716 $abc$56607$n787 +.sym 9717 $false +.sym 9718 $false +.sym 9721 $abc$56607$n769 +.sym 9722 $abc$56607$n795 +.sym 9723 $abc$56607$n1465 +.sym 9724 $false +.sym 9727 $abc$56607$n21 +.sym 9728 report_data_radr[0] +.sym 9729 $abc$56607$n742 .sym 9730 $false -.sym 9733 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9733 I2C.received_byte[7] .sym 9734 $false .sym 9735 $false .sym 9736 $false -.sym 9739 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9739 I2C.received_byte[4] .sym 9740 $false .sym 9741 $false .sym 9742 $false -.sym 9745 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9745 I2C.received_byte[1] .sym 9746 $false .sym 9747 $false .sym 9748 $false -.sym 9751 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9752 $false -.sym 9753 $false +.sym 9751 $false +.sym 9752 $true$2 +.sym 9753 report_data_radr[0] .sym 9754 $false -.sym 9755 $abc$32112$n435$2 +.sym 9755 $abc$56607$n1050 .sym 9756 CLK$2$2 -.sym 9757 $0\KBD_FREEZE[0:0]$2 -.sym 9758 $abc$32112$n966 -.sym 9759 $abc$32112$n1271_1 -.sym 9760 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9761 $abc$32112$n971_1 -.sym 9762 $abc$32112$n979 -.sym 9763 $abc$32112$n978 -.sym 9764 I2C_OUTPUT_TYPE[0] -.sym 9765 I2C_OUTPUT_TYPE[2] -.sym 9832 I2C_OUTPUT_TYPE[1] -.sym 9833 I2C_OUTPUT_TYPE[0] -.sym 9834 $false -.sym 9835 $false -.sym 9838 I2C_HID_DESC.last_rd_request -.sym 9839 I2C_OUTPUT_TYPE[0] -.sym 9840 I2C_OUTPUT_TYPE[1] +.sym 9757 $abc$56607$n21 +.sym 9760 $abc$56607$n1908 +.sym 9761 $abc$56607$n1911 +.sym 9762 $abc$56607$n49 +.sym 9763 $2\ring_wr[3:0][2] +.sym 9764 $abc$56607$n8 +.sym 9838 I2C.received_byte[3] +.sym 9839 $false +.sym 9840 $false .sym 9841 $false -.sym 9844 I2C.FLT_SCL.RESET -.sym 9845 $abc$32112$n630_1 -.sym 9846 $false -.sym 9847 $false -.sym 9850 last_wr -.sym 9851 I2C.wr -.sym 9852 $false -.sym 9853 $false -.sym 9862 I2C.FLT_SCL.RESET -.sym 9863 $abc$32112$n624 -.sym 9864 I2C.wr -.sym 9865 $false -.sym 9868 $abc$32112$n630_1 -.sym 9869 I2C.FLT_SCL.RESET -.sym 9870 $abc$32112$n640 +.sym 9868 $abc$56607$n8 +.sym 9869 $false +.sym 9870 $false .sym 9871 $false -.sym 9874 $abc$32112$n966 -.sym 9875 $abc$32112$n542 -.sym 9876 $false +.sym 9874 $10\ring_rd[3:0][0] +.sym 9875 report_data_radr[1] +.sym 9876 $abc$56607$n21 .sym 9877 $false -.sym 9878 $abc$32112$n435$2 +.sym 9878 $abc$56607$n1039 .sym 9879 CLK$2$2 -.sym 9880 $0\KBD_FREEZE[0:0]$2 -.sym 9881 $abc$32112$n992 -.sym 9883 $abc$32112$n635 -.sym 9884 I2C_INPUT_LEN[3] -.sym 9885 I2C_INPUT_LEN[1] -.sym 9886 I2C_INPUT_LEN[4] -.sym 9887 I2C_INPUT_LEN[2] -.sym 9955 $abc$32112$n707 -.sym 9956 $abc$32112$n710 -.sym 9957 $abc$32112$n705 -.sym 9958 I2C.FLT_SCL.RESET -.sym 9979 $abc$32112$n539_1 -.sym 9980 $abc$32112$n540 -.sym 9981 $abc$32112$n1558 -.sym 9982 $abc$32112$n1572 -.sym 9985 $abc$32112$n708 -.sym 9986 UART.tx_activity +.sym 9880 $false +.sym 9881 $abc$56607$n1086 +.sym 9882 $abc$56607$n1089 +.sym 9883 $abc$56607$n765 +.sym 9884 $abc$56607$n1087 +.sym 9885 $abc$56607$n763 +.sym 9886 $abc$56607$n1902 +.sym 9887 $2\ring_wr[3:0][0] +.sym 9888 $abc$56607$n764 +.sym 9961 ring_rd[2] +.sym 9962 $abc$56607$n1817 +.sym 9963 $abc$56607$n763 +.sym 9964 $false +.sym 9973 ring_rd[3] +.sym 9974 $abc$56607$n1820 +.sym 9975 $abc$56607$n763 +.sym 9976 $false +.sym 9985 I2C.SCLF +.sym 9986 $false .sym 9987 $false .sym 9988 $false -.sym 9991 $abc$32112$n533 -.sym 9992 $abc$32112$n2002 -.sym 9993 $abc$32112$n538 -.sym 9994 UART.tx_activity -.sym 10001 $abc$32112$n595 +.sym 10001 $abc$56607$n1511 .sym 10002 CLK$2$2 -.sym 10003 $false -.sym 10006 $abc$32112$n1710 -.sym 10007 $abc$32112$n1712 -.sym 10008 $abc$32112$n1714 -.sym 10009 $abc$32112$n1716 -.sym 10010 $abc$32112$n1718 -.sym 10011 $abc$32112$n1720 -.sym 10226 $abc$32112$n29 -.sym 10227 $abc$32112$n564 -.sym 10228 $abc$32112$n549 -.sym 10229 $abc$32112$n550 -.sym 10230 $abc$32112$n565 -.sym 10231 $abc$32112$n838 -.sym 10232 $abc$32112$n583 -.sym 10233 KEYBOARD.row_time[1] -.sym 10263 $true -.sym 10300 KEYBOARD.row_time[0]$2 -.sym 10301 $false -.sym 10302 KEYBOARD.row_time[0] -.sym 10303 $false -.sym 10304 $false -.sym 10306 $auto$alumacc.cc:470:replace_alu$4628.C[2] -.sym 10308 $false -.sym 10309 KEYBOARD.row_time[1] -.sym 10312 $auto$alumacc.cc:470:replace_alu$4628.C[3] -.sym 10313 $false -.sym 10314 $false -.sym 10315 KEYBOARD.row_time[2] -.sym 10316 $auto$alumacc.cc:470:replace_alu$4628.C[2] -.sym 10318 $auto$alumacc.cc:470:replace_alu$4628.C[4] -.sym 10319 $false -.sym 10320 $false -.sym 10321 KEYBOARD.row_time[3] -.sym 10322 $auto$alumacc.cc:470:replace_alu$4628.C[3] -.sym 10324 $auto$alumacc.cc:470:replace_alu$4628.C[5] -.sym 10325 $false -.sym 10326 $false -.sym 10327 KEYBOARD.row_time[4] -.sym 10328 $auto$alumacc.cc:470:replace_alu$4628.C[4] -.sym 10330 $auto$alumacc.cc:470:replace_alu$4628.C[6] -.sym 10331 $false -.sym 10332 $false -.sym 10333 KEYBOARD.row_time[5] -.sym 10334 $auto$alumacc.cc:470:replace_alu$4628.C[5] -.sym 10336 $auto$alumacc.cc:470:replace_alu$4628.C[7] -.sym 10337 $false -.sym 10338 $false -.sym 10339 KEYBOARD.row_time[6] -.sym 10340 $auto$alumacc.cc:470:replace_alu$4628.C[6] -.sym 10342 $auto$alumacc.cc:470:replace_alu$4628.C[8] -.sym 10343 $false -.sym 10344 $false -.sym 10345 KEYBOARD.row_time[7] -.sym 10346 $auto$alumacc.cc:470:replace_alu$4628.C[7] -.sym 10347 $abc$32112$n839$2 +.sym 10003 $abc$56607$n35$2 +.sym 10004 $abc$56607$n1010 +.sym 10007 $abc$56607$n1636 +.sym 10008 $abc$56607$n1512 +.sym 10009 $abc$56607$n2483 +.sym 10010 I2C.FLT_SCL.counter[0] +.sym 10011 I2C.FLT_SCL.counter[1] +.sym 10040 $true +.sym 10077 I2C.FLT_SCL.counter[0]$2 +.sym 10078 $false +.sym 10079 I2C.FLT_SCL.counter[0] +.sym 10080 $false +.sym 10081 $false +.sym 10083 $auto$alumacc.cc:470:replace_alu$12158.C[2] +.sym 10085 I2C.FLT_SCL.counter[1] +.sym 10086 $true$2 +.sym 10090 $false +.sym 10091 I2C.FLT_SCL.counter[2] +.sym 10092 $true$2 +.sym 10093 $auto$alumacc.cc:470:replace_alu$12158.C[2] +.sym 10096 I2C.FLT_SCL.counter[0] +.sym 10097 I2C.FLT_SCL.counter[1] +.sym 10098 I2C.FLT_SCL.counter[2] +.sym 10099 $false +.sym 10102 $abc$56607$n2484 +.sym 10103 $abc$56607$n1010 +.sym 10104 $false +.sym 10105 $false +.sym 10114 $abc$56607$n1009 +.sym 10115 $abc$56607$n1639 +.sym 10116 $false +.sym 10117 $false +.sym 10120 $abc$56607$n2484 +.sym 10121 $false +.sym 10122 $false +.sym 10123 $false +.sym 10124 $abc$56607$n1512 +.sym 10125 CLK$2$2 +.sym 10126 $abc$56607$n35$2 +.sym 10226 $abc$56607$n704 +.sym 10227 $abc$56607$n1471 +.sym 10228 $abc$56607$n677 +.sym 10229 $abc$56607$n701 +.sym 10230 $abc$56607$n676 +.sym 10232 $abc$56607$n705 +.sym 10301 $abc$56607$n1344 +.sym 10302 $abc$56607$n697 +.sym 10303 $abc$56607$n706 +.sym 10304 $abc$56607$n1492_1 +.sym 10307 $abc$56607$n702 +.sym 10308 KEYBOARD.COLS_SHADOW[5] +.sym 10309 $false +.sym 10310 $false +.sym 10313 $abc$56607$n692 +.sym 10314 KEYBOARD.COLS_SHADOW[6] +.sym 10315 $abc$56607$n693 +.sym 10316 $false +.sym 10325 KEYBOARD.COLS_SHADOW[6] +.sym 10326 $abc$56607$n692 +.sym 10327 $abc$56607$n693 +.sym 10328 $abc$56607$n697 +.sym 10331 $abc$56607$n708 +.sym 10332 KEYBOARD.COLS_SHADOW[4] +.sym 10333 $abc$56607$n706 +.sym 10334 $false +.sym 10337 $abc$56607$n692 +.sym 10338 KEYBOARD.COLS_SHADOW[6] +.sym 10339 $abc$56607$n693 +.sym 10340 $false +.sym 10343 $abc$56607$n702 +.sym 10344 KEYBOARD.COLS_SHADOW[5] +.sym 10345 $abc$56607$n697 +.sym 10346 $false +.sym 10347 $abc$56607$n1484 .sym 10348 CLK$2$2 -.sym 10349 $abc$32112$n29$2 -.sym 10354 $abc$32112$n591 -.sym 10355 $abc$32112$n839 -.sym 10357 $abc$32112$n567 -.sym 10358 $abc$32112$n566 -.sym 10359 $abc$32112$n551 -.sym 10360 $abc$32112$n552 -.sym 10361 KEYBOARD.row_time[0] -.sym 10426 $auto$alumacc.cc:470:replace_alu$4628.C[8] -.sym 10463 $auto$alumacc.cc:470:replace_alu$4628.C[9] -.sym 10464 $false -.sym 10465 $false -.sym 10466 KEYBOARD.row_time[8] -.sym 10467 $auto$alumacc.cc:470:replace_alu$4628.C[8] -.sym 10469 $auto$alumacc.cc:470:replace_alu$4628.C[10] -.sym 10470 $false -.sym 10471 $false -.sym 10472 KEYBOARD.row_time[9] -.sym 10473 $auto$alumacc.cc:470:replace_alu$4628.C[9] -.sym 10475 $auto$alumacc.cc:470:replace_alu$4628.C[11] -.sym 10476 $false -.sym 10477 $false -.sym 10478 KEYBOARD.row_time[10] -.sym 10479 $auto$alumacc.cc:470:replace_alu$4628.C[10] -.sym 10481 $auto$alumacc.cc:470:replace_alu$4628.C[12] -.sym 10482 $false -.sym 10483 $false -.sym 10484 KEYBOARD.row_time[11] -.sym 10485 $auto$alumacc.cc:470:replace_alu$4628.C[11] -.sym 10487 $auto$alumacc.cc:470:replace_alu$4628.C[13] -.sym 10488 $false -.sym 10489 $false -.sym 10490 KEYBOARD.row_time[12] -.sym 10491 $auto$alumacc.cc:470:replace_alu$4628.C[12] -.sym 10493 $auto$alumacc.cc:470:replace_alu$4628.C[14] -.sym 10494 $false -.sym 10495 $false -.sym 10496 KEYBOARD.row_time[13] -.sym 10497 $auto$alumacc.cc:470:replace_alu$4628.C[13] -.sym 10499 $auto$alumacc.cc:470:replace_alu$4628.C[15] -.sym 10500 $false -.sym 10501 $false -.sym 10502 KEYBOARD.row_time[14] -.sym 10503 $auto$alumacc.cc:470:replace_alu$4628.C[14] -.sym 10506 $false -.sym 10507 $false -.sym 10508 KEYBOARD.row_time[15] -.sym 10509 $auto$alumacc.cc:470:replace_alu$4628.C[15] -.sym 10510 $abc$32112$n839$2 +.sym 10349 $abc$56607$n31$2 +.sym 10354 $abc$56607$n718 +.sym 10355 $abc$56607$n715 +.sym 10356 $abc$56607$n721 +.sym 10357 $abc$56607$n725 +.sym 10358 $abc$56607$n1350 +.sym 10359 $abc$56607$n720 +.sym 10360 $abc$56607$n716 +.sym 10361 $abc$56607$n27 +.sym 10464 $abc$56607$n722 +.sym 10465 KEYBOARD.COLS_SHADOW[2] +.sym 10466 $abc$56607$n723 +.sym 10467 $false +.sym 10470 $abc$56607$n717 +.sym 10471 KEYBOARD.COLS_SHADOW[1] +.sym 10472 $abc$56607$n709 +.sym 10473 $false +.sym 10476 $abc$56607$n691 +.sym 10477 $abc$56607$n708 +.sym 10478 KEYBOARD.COLS_SHADOW[4] +.sym 10479 $abc$56607$n706 +.sym 10482 $abc$56607$n1350 +.sym 10483 $abc$56607$n709 +.sym 10484 $abc$56607$n1347 +.sym 10485 $false +.sym 10488 $abc$56607$n723 +.sym 10489 $abc$56607$n1349 +.sym 10490 $abc$56607$n1490_1 +.sym 10491 $abc$56607$n1535_1 +.sym 10494 $abc$56607$n1343 +.sym 10495 $abc$56607$n678 +.sym 10496 $abc$56607$n691 +.sym 10497 $abc$56607$n2273 +.sym 10500 $abc$56607$n709 +.sym 10501 $abc$56607$n1343 +.sym 10502 $abc$56607$n1347 +.sym 10503 $abc$56607$n1535_1 +.sym 10506 $abc$56607$n723 +.sym 10507 $abc$56607$n1341 +.sym 10508 $abc$56607$n1490_1 +.sym 10509 $abc$56607$n1535_1 +.sym 10510 $abc$56607$n1490$2 .sym 10511 CLK$2$2 -.sym 10512 $abc$32112$n29$2 -.sym 10513 $abc$32112$n1139 -.sym 10514 $abc$32112$n1142 -.sym 10515 $abc$32112$n1135 -.sym 10516 $abc$32112$n1126 -.sym 10518 $abc$32112$n1389 -.sym 10519 KEYBOARD.last_data[4] -.sym 10587 I2C.byte_counter[6] -.sym 10588 $false -.sym 10589 $false +.sym 10512 $false +.sym 10513 $abc$56607$n728 +.sym 10514 $abc$56607$n1535_1 +.sym 10515 $abc$56607$n714 +.sym 10516 $abc$56607$n678 +.sym 10517 KEYBOARD.temp[3] +.sym 10518 KEYBOARD.temp[1] +.sym 10519 KEYBOARD.temp[7] +.sym 10520 KEYBOARD.temp[0] +.sym 10587 KEYBOARD.RAM.r_data[5] +.sym 10588 KEYBOARD.temp[5] +.sym 10589 $abc$56607$n703 .sym 10590 $false -.sym 10593 $abc$32112$n1817 -.sym 10594 I2C.byte_counter[6] -.sym 10595 $false +.sym 10593 KEYBOARD.RAM.r_data[0] +.sym 10594 KEYBOARD.temp[0] +.sym 10595 $abc$56607$n703 .sym 10596 $false -.sym 10605 I2C.FLT_SCL.out -.sym 10606 $false -.sym 10607 $false -.sym 10608 $false -.sym 10611 $abc$32112$n1139 -.sym 10612 I2C.byte_counter[4] -.sym 10613 $abc$32112$n691 -.sym 10614 $false -.sym 10629 $abc$32112$n1127 -.sym 10630 $abc$32112$n1392 -.sym 10631 $abc$32112$n1142 +.sym 10599 $abc$56607$n686 +.sym 10600 $abc$56607$n690 +.sym 10601 $false +.sym 10602 $false +.sym 10605 KEYBOARD.RAM.r_data[6] +.sym 10606 KEYBOARD.temp[6] +.sym 10607 $abc$56607$n686 +.sym 10608 $abc$56607$n690 +.sym 10611 KEYBOARD.RAM.r_data[7] +.sym 10612 KEYBOARD.temp[7] +.sym 10613 $abc$56607$n686 +.sym 10614 $abc$56607$n690 +.sym 10617 KEYBOARD.RAM.r_data[4] +.sym 10618 KEYBOARD.temp[4] +.sym 10619 $abc$56607$n686 +.sym 10620 $abc$56607$n690 +.sym 10623 $abc$56607$n722 +.sym 10624 KEYBOARD.COLS_SHADOW[2] +.sym 10625 $abc$56607$n723 +.sym 10626 $false +.sym 10629 KEYBOARD.COLS_SHADOW[4] +.sym 10630 $abc$56607$n708 +.sym 10631 $abc$56607$n706 .sym 10632 $false -.sym 10633 $true +.sym 10633 $abc$56607$n1484 .sym 10634 CLK$2$2 -.sym 10635 $false -.sym 10637 $abc$32112$n795 -.sym 10638 $abc$32112$n2235 -.sym 10640 $abc$32112$n2231 -.sym 10642 $abc$32112$n770 -.sym 10643 KEYBOARD.last_data[8] -.sym 10710 $abc$32112$n1095 -.sym 10711 $abc$32112$n1093_1 -.sym 10712 $false +.sym 10635 $abc$56607$n31$2 +.sym 10636 $abc$56607$n1367 +.sym 10637 $abc$56607$n1484 +.sym 10638 $abc$56607$n1373 +.sym 10641 KEYBOARD.report[0][4] +.sym 10642 KEYBOARD.report[0][7] +.sym 10643 KEYBOARD.report[0][1] +.sym 10710 KEYBOARD.RAM.r_data[2] +.sym 10711 KEYBOARD.temp[2] +.sym 10712 $abc$56607$n703 .sym 10713 $false -.sym 10716 $abc$32112$n1093_1 -.sym 10717 I2C.is_ack -.sym 10718 $abc$32112$n1108 -.sym 10719 $abc$32112$n689 -.sym 10722 $abc$32112$n1094 -.sym 10723 $abc$32112$n1108 -.sym 10724 $abc$32112$n689 -.sym 10725 $abc$32112$n506 -.sym 10728 $abc$32112$n691 -.sym 10729 $abc$32112$n689 -.sym 10730 I2C.FLT_SCL.out -.sym 10731 $abc$32112$n8 -.sym 10734 $abc$32112$n1817 -.sym 10735 I2C.is_ack -.sym 10736 $false +.sym 10716 $abc$56607$n1268_1 +.sym 10717 $abc$56607$n1249 +.sym 10718 $false +.sym 10719 $false +.sym 10722 KEYBOARD.RAM.r_data[3] +.sym 10723 KEYBOARD.temp[3] +.sym 10724 $abc$56607$n703 +.sym 10725 $false +.sym 10728 $abc$56607$n33$2 +.sym 10729 $abc$56607$n994 +.sym 10730 $abc$56607$n1490$2 +.sym 10731 I2C.FLT_SCL.RESET +.sym 10734 KEYBOARD.RAM.r_data[1] +.sym 10735 KEYBOARD.temp[1] +.sym 10736 $abc$56607$n703 .sym 10737 $false -.sym 10740 $abc$32112$n506 -.sym 10741 $abc$32112$n689 -.sym 10742 $abc$32112$n1095 -.sym 10743 $abc$32112$n1093_1 -.sym 10746 $abc$32112$n1095 -.sym 10747 I2C.FLT_SCL.out -.sym 10748 $abc$32112$n8 +.sym 10740 $abc$56607$n1271 +.sym 10741 $abc$56607$n1282 +.sym 10742 $false +.sym 10743 $false +.sym 10746 $abc$56607$n923 +.sym 10747 $abc$56607$n994 +.sym 10748 $false .sym 10749 $false -.sym 10752 $abc$32112$n1145 -.sym 10753 $abc$32112$n1146 -.sym 10754 I2C.is_ack -.sym 10755 $abc$32112$n691 -.sym 10756 $true +.sym 10756 $abc$56607$n1470 .sym 10757 CLK$2$2 -.sym 10758 $false -.sym 10759 $abc$32112$n1289_1 -.sym 10761 $abc$32112$n1288_1 -.sym 10762 $abc$32112$n1290 -.sym 10763 $abc$32112$n816 -.sym 10764 $abc$32112$n890 -.sym 10765 KEYBOARD.last_data[12] -.sym 10833 $abc$32112$n506 -.sym 10834 I2C.FLT_SCL.out -.sym 10835 $abc$32112$n8 -.sym 10836 $abc$32112$n688 -.sym 10839 $abc$32112$n1095 -.sym 10840 $abc$32112$n1104 -.sym 10841 $abc$32112$n688 -.sym 10842 $abc$32112$n687 -.sym 10845 $abc$32112$n1115 -.sym 10846 $abc$32112$n1114 -.sym 10847 $false +.sym 10758 $abc$56607$n31$2 +.sym 10759 $abc$56607$n959 +.sym 10760 $abc$56607$n927 +.sym 10761 $abc$56607$n915 +.sym 10762 $abc$56607$n1369 +.sym 10763 $abc$56607$n1371 +.sym 10764 KEYBOARD.report[0][0] +.sym 10765 KEYBOARD.report[0][2] +.sym 10766 KEYBOARD.report[0][3] +.sym 10833 $abc$56607$n1282 +.sym 10834 $abc$56607$n874 +.sym 10835 I2C.i2c_state_machine +.sym 10836 $abc$56607$n1272 +.sym 10839 $abc$56607$n1271 +.sym 10840 $abc$56607$n1268_1 +.sym 10841 $abc$56607$n1249 +.sym 10842 $false +.sym 10845 $abc$56607$n1249 +.sym 10846 $abc$56607$n1271 +.sym 10847 $abc$56607$n1268_1 .sym 10848 $false -.sym 10851 $abc$32112$n1108 -.sym 10852 $abc$32112$n1093_1 -.sym 10853 $abc$32112$n506 -.sym 10854 $abc$32112$n1105 -.sym 10857 $abc$32112$n506 -.sym 10858 $abc$32112$n1095 -.sym 10859 $abc$32112$n1099_1 -.sym 10860 $abc$32112$n689 -.sym 10863 $abc$32112$n1093_1 -.sym 10864 $abc$32112$n506 -.sym 10865 $false -.sym 10866 $false -.sym 10869 I2C.FLT_SCL.out -.sym 10870 $abc$32112$n8 +.sym 10851 I2C.FLT_SCL.out +.sym 10852 I2C.FLT_SDA.out +.sym 10853 $abc$56607$n14 +.sym 10854 I2C.SDA_DIR +.sym 10857 $abc$56607$n1272 +.sym 10858 $abc$56607$n1270 +.sym 10859 $abc$56607$n1261 +.sym 10860 $abc$56607$n1269 +.sym 10863 $abc$56607$n1269 +.sym 10864 $abc$56607$n1282 +.sym 10865 $abc$56607$n1314 +.sym 10866 $abc$56607$n1273 +.sym 10869 I2C.FLT_SDA.out +.sym 10870 $false .sym 10871 $false .sym 10872 $false -.sym 10875 I2C.byte_counter[7] -.sym 10876 $false -.sym 10877 $false +.sym 10875 $abc$56607$n1260 +.sym 10876 $abc$56607$n1273 +.sym 10877 $abc$56607$n1281 .sym 10878 $false -.sym 10884 $abc$32112$n1153 -.sym 10885 $abc$32112$n730 -.sym 10886 $abc$32112$n1109 -.sym 10887 $abc$32112$n732 -.sym 10888 $abc$32112$n605_1 -.sym 10889 KEYBOARD.last_data[0] -.sym 10956 $abc$32112$n1095 -.sym 10957 $abc$32112$n1096 -.sym 10958 $false -.sym 10959 $false -.sym 10962 $abc$32112$n1096 -.sym 10963 $abc$32112$n1316_1 -.sym 10964 $abc$32112$n1093_1 +.sym 10879 $true +.sym 10880 CLK$2$2 +.sym 10881 $false +.sym 10882 $abc$56607$n997 +.sym 10883 $abc$56607$n1457 +.sym 10884 $abc$56607$n943 +.sym 10885 $abc$56607$n922 +.sym 10886 $abc$56607$n920 +.sym 10887 $abc$56607$n921 +.sym 10888 $abc$56607$n1490 +.sym 10889 KEYBOARD.report[6][2] +.sym 10956 $abc$56607$n1280 +.sym 10957 $abc$56607$n1487 +.sym 10958 $abc$56607$n877 +.sym 10959 I2C.received_byte[0] +.sym 10962 $abc$56607$n875 +.sym 10963 $abc$56607$n877 +.sym 10964 $false .sym 10965 $false -.sym 10968 $abc$32112$n1149 -.sym 10969 $abc$32112$n1153 -.sym 10970 $abc$32112$n1151 -.sym 10971 $abc$32112$n506 -.sym 10974 $abc$32112$n1109 -.sym 10975 $abc$32112$n506 -.sym 10976 $abc$32112$n1108 -.sym 10977 $abc$32112$n1105 -.sym 10980 $abc$32112$n1109 -.sym 10981 $abc$32112$n2170 -.sym 10982 $abc$32112$n689 -.sym 10983 $abc$32112$n1108 -.sym 10986 $abc$32112$n1095 -.sym 10987 $abc$32112$n689 -.sym 10988 $abc$32112$n1093_1 -.sym 10989 $abc$32112$n506 -.sym 10992 $abc$32112$n1108 -.sym 10993 $abc$32112$n506 -.sym 10994 $abc$32112$n689 -.sym 10995 $abc$32112$n1150 -.sym 10998 $abc$32112$n1277_1 -.sym 10999 $abc$32112$n1153 -.sym 11000 $abc$32112$n691 -.sym 11001 $false +.sym 10968 $abc$56607$n1285 +.sym 10969 $abc$56607$n1286 +.sym 10970 $abc$56607$n1269 +.sym 10971 $false +.sym 10974 $abc$56607$n1278_1 +.sym 10975 $abc$56607$n1487 +.sym 10976 $false +.sym 10977 $false +.sym 10980 $abc$56607$n33$2 +.sym 10981 $abc$56607$n1490$2 +.sym 10982 $abc$56607$n1484 +.sym 10983 $false +.sym 10986 $abc$56607$n1274 +.sym 10987 $abc$56607$n874 +.sym 10988 $abc$56607$n1280 +.sym 10989 $false +.sym 10992 $abc$56607$n14 +.sym 10993 I2C.FLT_SCL.out +.sym 10994 I2C.SDA_DIR +.sym 10995 I2C.FLT_SDA.out +.sym 10998 $abc$56607$n1280 +.sym 10999 $abc$56607$n1274 +.sym 11000 $abc$56607$n874 +.sym 11001 $abc$56607$n1284 .sym 11002 $true .sym 11003 CLK$2$2 -.sym 11004 $false -.sym 11006 $abc$32112$n1096 -.sym 11007 $abc$32112$n1817 -.sym 11008 $abc$32112$n691 -.sym 11012 I2C.received_byte[3] -.sym 11079 $abc$32112$n1104 -.sym 11080 $abc$32112$n1096 -.sym 11081 $abc$32112$n1099_1 -.sym 11082 $abc$32112$n688 -.sym 11085 $abc$32112$n689 -.sym 11086 $abc$32112$n691 -.sym 11087 $false -.sym 11088 $false -.sym 11091 $abc$32112$n1095 -.sym 11092 $abc$32112$n1104 +.sym 11004 $abc$56607$n35$2 +.sym 11005 $abc$56607$n1483_1 +.sym 11007 $abc$56607$n1482 +.sym 11008 $abc$56607$n1148 +.sym 11009 $abc$56607$n1177 +.sym 11011 $abc$56607$n1484_1 +.sym 11012 I2C.is_read +.sym 11079 UART.tx_bit_counter[3] +.sym 11080 $abc$56607$n899 +.sym 11081 $false +.sym 11082 $false +.sym 11085 UART.tx_bit_counter[0] +.sym 11086 UART.tx_bit_counter[1] +.sym 11087 UART.tx_bit_counter[2] +.sym 11088 UART.tx_activity +.sym 11091 UART.tx_activity +.sym 11092 $false .sym 11093 $false .sym 11094 $false -.sym 11097 $abc$32112$n691 -.sym 11098 $abc$32112$n689 +.sym 11097 $false +.sym 11098 UART.tx_bit_counter[0] .sym 11099 $false -.sym 11100 $false -.sym 11103 $abc$32112$n1096 -.sym 11104 I2C.i2c_state_machine -.sym 11105 $abc$32112$n1098 +.sym 11100 $true$2 +.sym 11103 $abc$56607$n2603 +.sym 11104 $false +.sym 11105 $false .sym 11106 $false -.sym 11109 $abc$32112$n1273 -.sym 11110 $abc$32112$n1103 +.sym 11109 $abc$56607$n2605 +.sym 11110 $false .sym 11111 $false .sym 11112 $false -.sym 11115 $abc$32112$n1274_1 -.sym 11116 I2C.received_byte[0] -.sym 11117 $abc$32112$n1103 -.sym 11118 $abc$32112$n689 -.sym 11121 $abc$32112$n1317_1 -.sym 11122 $abc$32112$n1105 -.sym 11123 $abc$32112$n1097 +.sym 11115 $abc$56607$n2519 +.sym 11116 $false +.sym 11117 $false +.sym 11118 $false +.sym 11121 $abc$56607$n2518 +.sym 11122 $false +.sym 11123 $false .sym 11124 $false -.sym 11125 $true +.sym 11125 $abc$56607$n1320 .sym 11126 CLK$2$2 -.sym 11127 $false -.sym 11128 $abc$32112$n879 -.sym 11129 $abc$32112$n580 -.sym 11130 $abc$32112$n882 -.sym 11131 $abc$32112$n877 -.sym 11132 $abc$32112$n881 -.sym 11133 I2C_TRANS -.sym 11134 $abc$32112$n689 -.sym 11135 I2C.i2c_start_latency -.sym 11202 I2C.byte_counter[1] -.sym 11203 I2C.byte_counter[0] -.sym 11204 $abc$32112$n890 +.sym 11127 $abc$56607$n25 +.sym 11128 $abc$56607$n1533 +.sym 11129 $abc$56607$n1525 +.sym 11130 $abc$56607$n1519 +.sym 11131 $abc$56607$n1546 +.sym 11132 $abc$56607$n1520_1 +.sym 11133 $abc$56607$n1526_1 +.sym 11135 I2C.received_byte[5] +.sym 11202 $abc$56607$n887 +.sym 11203 $abc$56607$n885 +.sym 11204 $false .sym 11205 $false -.sym 11208 $abc$32112$n871 -.sym 11209 $abc$32112$n877 -.sym 11210 $abc$32112$n890 +.sym 11208 $abc$56607$n880 +.sym 11209 $abc$56607$n358 +.sym 11210 $false .sym 11211 $false -.sym 11214 $abc$32112$n1101 -.sym 11215 $abc$32112$n879 -.sym 11216 $abc$32112$n871 +.sym 11214 $abc$56607$n872 +.sym 11215 $abc$56607$n885 +.sym 11216 $false .sym 11217 $false -.sym 11220 $abc$32112$n869 -.sym 11221 $abc$32112$n877 -.sym 11222 $abc$32112$n890 -.sym 11223 $false -.sym 11226 $abc$32112$n691 -.sym 11227 $abc$32112$n879 -.sym 11228 $abc$32112$n871 +.sym 11226 $abc$56607$n887 +.sym 11227 $abc$56607$n882 +.sym 11228 $false .sym 11229 $false -.sym 11232 $abc$32112$n881 -.sym 11233 $abc$32112$n869 -.sym 11234 $abc$32112$n916 +.sym 11232 $abc$56607$n880 +.sym 11233 $abc$56607$n358 +.sym 11234 $false .sym 11235 $false -.sym 11238 I2C.byte_counter[0] -.sym 11239 $abc$32112$n882 -.sym 11240 $abc$32112$n878_1 +.sym 11238 $abc$56607$n880 +.sym 11239 $abc$56607$n358 +.sym 11240 $abc$56607$n887 .sym 11241 $false -.sym 11244 $abc$32112$n1103 -.sym 11245 $abc$32112$n1273 -.sym 11246 $abc$32112$n688 -.sym 11247 $abc$32112$n1107 -.sym 11248 $true +.sym 11244 I2C.FLT_SDA.out +.sym 11245 $false +.sym 11246 $false +.sym 11247 $false +.sym 11248 $abc$56607$n1297 .sym 11249 CLK$2$2 -.sym 11250 $0\KBD_FREEZE[0:0]$2 -.sym 11251 $abc$32112$n904_1 -.sym 11252 $abc$32112$n902 -.sym 11253 $abc$32112$n895 -.sym 11254 $abc$32112$n888 -.sym 11255 $abc$32112$n886_1 -.sym 11256 $abc$32112$n903 -.sym 11257 $abc$32112$n868_1 -.sym 11258 $abc$32112$n887 -.sym 11325 $abc$32112$n893 -.sym 11326 $abc$32112$n876 -.sym 11327 $abc$32112$n878_1 -.sym 11328 $abc$32112$n898_1 -.sym 11331 $abc$32112$n879 -.sym 11332 $abc$32112$n893 -.sym 11333 $abc$32112$n885_1 -.sym 11334 $abc$32112$n915 -.sym 11337 $abc$32112$n876 -.sym 11338 $abc$32112$n869 -.sym 11339 $abc$32112$n878_1 -.sym 11340 $abc$32112$n879 -.sym 11343 $abc$32112$n895 -.sym 11344 $abc$32112$n871 -.sym 11345 $abc$32112$n879 -.sym 11346 $abc$32112$n878_1 -.sym 11349 $abc$32112$n876 -.sym 11350 $abc$32112$n896 -.sym 11351 $abc$32112$n878_1 -.sym 11352 $false -.sym 11355 I2C.byte_counter[3] -.sym 11356 $abc$32112$n877 -.sym 11357 I2C.byte_counter[2] +.sym 11250 $false +.sym 11251 $abc$56607$n1315 +.sym 11253 $abc$56607$n1278_1 +.sym 11254 $abc$56607$n1279 +.sym 11255 $abc$56607$n1320 +.sym 11256 $abc$56607$n1129 +.sym 11257 $abc$56607$n23 +.sym 11258 I2C.received_byte[7] +.sym 11325 $abc$56607$n1132 +.sym 11326 $abc$56607$n670 +.sym 11327 $false +.sym 11328 $false +.sym 11331 $abc$56607$n1526_1 +.sym 11332 $abc$56607$n1525 +.sym 11333 $abc$56607$n1116 +.sym 11334 $false +.sym 11337 $abc$56607$n1520_1 +.sym 11338 $abc$56607$n1519 +.sym 11339 $abc$56607$n1116 +.sym 11340 $false +.sym 11343 $abc$56607$n1484_1 +.sym 11344 $abc$56607$n1177 +.sym 11345 $abc$56607$n1129 +.sym 11346 $false +.sym 11349 $abc$56607$n1524_1 +.sym 11350 $abc$56607$n1130 +.sym 11351 $abc$56607$n1483_1 +.sym 11352 $abc$56607$n670 +.sym 11355 $abc$56607$n1485 +.sym 11356 $abc$56607$n1188 +.sym 11357 $abc$56607$n1129 .sym 11358 $false -.sym 11361 $abc$32112$n868_1 -.sym 11362 $abc$32112$n893 -.sym 11363 $abc$32112$n876 -.sym 11364 $abc$32112$n885_1 -.sym 11367 $abc$32112$n868_1 -.sym 11368 $abc$32112$n895 -.sym 11369 $abc$32112$n885_1 -.sym 11370 $abc$32112$n896 -.sym 11374 $abc$32112$n899 -.sym 11375 $abc$32112$n918 -.sym 11376 $abc$32112$n880 -.sym 11377 $abc$32112$n867_1 -.sym 11378 $abc$32112$n866 -.sym 11379 $abc$32112$n928_1 -.sym 11380 $abc$32112$n945 -.sym 11381 $abc$32112$n872 -.sym 11448 $abc$32112$n883 -.sym 11449 $abc$32112$n878_1 -.sym 11450 $abc$32112$n937_1 -.sym 11451 $abc$32112$n917 -.sym 11454 $abc$32112$n877 -.sym 11455 $abc$32112$n878_1 -.sym 11456 $abc$32112$n873 -.sym 11457 $abc$32112$n919 -.sym 11460 $abc$32112$n907 -.sym 11461 $abc$32112$n873 -.sym 11462 $abc$32112$n899 -.sym 11463 $abc$32112$n924 -.sym 11466 $abc$32112$n869 -.sym 11467 $abc$32112$n896 -.sym 11468 $abc$32112$n917 -.sym 11469 $abc$32112$n914_1 -.sym 11472 $abc$32112$n871 -.sym 11473 $abc$32112$n874 -.sym 11474 $abc$32112$n890 -.sym 11475 $false -.sym 11478 $abc$32112$n1322_1 -.sym 11479 $abc$32112$n875 -.sym 11480 $abc$32112$n892_1 -.sym 11481 $abc$32112$n914_1 -.sym 11484 $abc$32112$n867_1 -.sym 11485 $abc$32112$n945 -.sym 11486 $abc$32112$n19 -.sym 11487 $abc$32112$n944 -.sym 11490 $abc$32112$n19 -.sym 11491 $abc$32112$n1323_1 -.sym 11492 $abc$32112$n923 +.sym 11361 $abc$56607$n1129 +.sym 11362 $abc$56607$n1546 +.sym 11363 $abc$56607$n1533 +.sym 11364 $abc$56607$n1116 +.sym 11367 $abc$56607$n1148 +.sym 11368 $abc$56607$n1482 +.sym 11369 $abc$56607$n1116 +.sym 11370 $abc$56607$n1129 +.sym 11371 $abc$56607$n1018$2 +.sym 11372 CLK$2$2 +.sym 11373 $abc$56607$n23 +.sym 11374 $abc$56607$n1536_1 +.sym 11375 $abc$56607$n1544_1 +.sym 11376 $abc$56607$n1542 +.sym 11377 $abc$56607$n812 +.sym 11378 $abc$56607$n833 +.sym 11379 $abc$56607$n1543 +.sym 11380 $abc$56607$n816_1 +.sym 11381 I2C.received_byte[3] +.sym 11448 $abc$56607$n1478 +.sym 11449 $abc$56607$n1095 +.sym 11450 I2C_OUTPUT_TYPE[2] +.sym 11451 $false +.sym 11454 I2C_OUTPUT_TYPE[0] +.sym 11455 $abc$56607$n1478 +.sym 11456 $abc$56607$n1096 +.sym 11457 $abc$56607$n1095 +.sym 11460 I2C_OUTPUT_TYPE[2] +.sym 11461 I2C_OUTPUT_TYPE[1] +.sym 11462 I2C_OUTPUT_TYPE[0] +.sym 11463 $false +.sym 11466 $abc$56607$n841 +.sym 11467 $abc$56607$n809 +.sym 11468 I2C.FLT_SCL.RESET +.sym 11469 $abc$56607$n815_1 +.sym 11472 I2C_OUTPUT_TYPE[1] +.sym 11473 $abc$56607$n1478 +.sym 11474 $abc$56607$n1095 +.sym 11475 $abc$56607$n1096 +.sym 11478 $abc$56607$n1479 +.sym 11479 $abc$56607$n1093 +.sym 11480 $abc$56607$n1097 +.sym 11481 $abc$56607$n1099_1 +.sym 11484 $abc$56607$n1479 +.sym 11485 $abc$56607$n1099_1 +.sym 11486 $false +.sym 11487 $false +.sym 11490 $abc$56607$n1097 +.sym 11491 $abc$56607$n1099_1 +.sym 11492 $false .sym 11493 $false -.sym 11494 $abc$32112$n376 +.sym 11494 $abc$56607$n1191 .sym 11495 CLK$2$2 -.sym 11496 $false -.sym 11497 $abc$32112$n947 -.sym 11498 $abc$32112$n948 -.sym 11499 $abc$32112$n911 -.sym 11500 $abc$32112$n900 -.sym 11501 $abc$32112$n912 -.sym 11502 $abc$32112$n865 -.sym 11503 $abc$32112$n901 -.sym 11504 I2C_INPUT_DATA[2][7] -.sym 11571 $abc$32112$n900 -.sym 11572 $abc$32112$n931_1 -.sym 11573 $abc$32112$n864_1 -.sym 11574 $abc$32112$n19 -.sym 11577 $abc$32112$n899 -.sym 11578 $abc$32112$n891 -.sym 11579 $abc$32112$n897 -.sym 11580 $abc$32112$n865 -.sym 11583 $abc$32112$n923 -.sym 11584 $abc$32112$n924 -.sym 11585 $false -.sym 11586 $false -.sym 11589 $abc$32112$n926 -.sym 11590 $abc$32112$n922 -.sym 11591 $abc$32112$n913 -.sym 11592 $false -.sym 11595 $abc$32112$n901 -.sym 11596 $abc$32112$n897 -.sym 11597 $abc$32112$n922 -.sym 11598 $abc$32112$n1312 -.sym 11601 $abc$32112$n913 -.sym 11602 $abc$32112$n894 -.sym 11603 $abc$32112$n901 -.sym 11604 $abc$32112$n19 -.sym 11607 $abc$32112$n892_1 -.sym 11608 $abc$32112$n894 +.sym 11496 $abc$56607$n35$2 +.sym 11497 $abc$56607$n1081 +.sym 11498 $abc$56607$n1541_1 +.sym 11499 $abc$56607$n1082 +.sym 11500 $abc$56607$n1078 +.sym 11501 $abc$56607$n835 +.sym 11502 $abc$56607$n834 +.sym 11503 $abc$56607$n1074 +.sym 11504 $abc$56607$n1079 +.sym 11571 I2C.received_byte[7] +.sym 11572 I2C.received_byte[6] +.sym 11573 $false +.sym 11574 $false +.sym 11577 $abc$56607$n826 +.sym 11578 $abc$56607$n822 +.sym 11579 $abc$56607$n829 +.sym 11580 $abc$56607$n809 +.sym 11583 I2C_INPUT_LEN[1] +.sym 11584 $abc$56607$n854 +.sym 11585 I2C_INPUT_LEN[0] +.sym 11586 $abc$56607$n815_1 +.sym 11589 I2C_INPUT_LEN[1] +.sym 11590 I2C_INPUT_LEN[0] +.sym 11591 $abc$56607$n854 +.sym 11592 $abc$56607$n822 +.sym 11595 $abc$56607$n815_1 +.sym 11596 $abc$56607$n857 +.sym 11597 $abc$56607$n2577 +.sym 11598 $abc$56607$n860 +.sym 11601 $abc$56607$n809 +.sym 11602 $abc$56607$n1511_1 +.sym 11603 $abc$56607$n856 +.sym 11604 $abc$56607$n1512_1 +.sym 11607 $false +.sym 11608 I2C.byte_counter[0] .sym 11609 $false -.sym 11610 $false -.sym 11613 $abc$32112$n914_1 -.sym 11614 $abc$32112$n948 -.sym 11615 $abc$32112$n1312 +.sym 11610 $true$2 +.sym 11613 I2C.byte_counter[0] +.sym 11614 I2C.byte_counter[1] +.sym 11615 $false .sym 11616 $false -.sym 11617 $abc$32112$n376 +.sym 11617 $abc$56607$n1180 .sym 11618 CLK$2$2 -.sym 11619 $abc$32112$n19 -.sym 11620 $abc$32112$n658 -.sym 11621 $abc$32112$n970 -.sym 11622 $abc$32112$n669 -.sym 11623 $abc$32112$n673 -.sym 11624 $abc$32112$n670 -.sym 11625 I2C_INPUT_DATA[2][4] -.sym 11626 I2C_INPUT_DATA[2][2] -.sym 11627 I2C_INPUT_DATA[2][0] -.sym 11694 I2C_INPUT_DATA[2][6] -.sym 11695 I2C_INPUT_DATA[2][7] -.sym 11696 $false +.sym 11619 $abc$56607$n35$2 +.sym 11620 $abc$56607$n1072 +.sym 11621 $abc$56607$n836 +.sym 11622 $abc$56607$n741 +.sym 11623 $abc$56607$n1084 +.sym 11624 $abc$56607$n776 +.sym 11625 $abc$56607$n888 +.sym 11626 $abc$56607$n1155 +.sym 11627 report_wr_en +.sym 11694 $abc$56607$n830 +.sym 11695 I2C.received_byte[2] +.sym 11696 $abc$56607$n827 .sym 11697 $false -.sym 11700 I2C_INPUT_DATA[2][1] -.sym 11701 I2C_INPUT_DATA[2][0] -.sym 11702 I2C_INPUT_DATA[2][2] -.sym 11703 I2C_INPUT_DATA[2][3] -.sym 11706 $abc$32112$n660 -.sym 11707 $abc$32112$n661 -.sym 11708 $abc$32112$n662 -.sym 11709 $abc$32112$n663 -.sym 11712 UART_TX_DATA[1] -.sym 11713 UART_TX_DATA[3] -.sym 11714 $abc$32112$n535 -.sym 11715 $false -.sym 11718 I2C_INPUT_DATA[2][2] -.sym 11719 I2C_INPUT_DATA[2][3] -.sym 11720 $abc$32112$n663 -.sym 11721 $abc$32112$n672 -.sym 11724 $abc$32112$n999 -.sym 11725 I2C.received_byte[7] -.sym 11726 I2C.is_read -.sym 11727 $false -.sym 11730 $abc$32112$n987 -.sym 11731 I2C.received_byte[1] -.sym 11732 I2C.is_read +.sym 11700 I2C.received_byte[3] +.sym 11701 I2C.received_byte[4] +.sym 11702 I2C.received_byte[5] +.sym 11703 $abc$56607$n828 +.sym 11706 $abc$56607$n855 +.sym 11707 $abc$56607$n861 +.sym 11708 $abc$56607$n853 +.sym 11709 i2c_input_data_type[0] +.sym 11712 I2C.received_byte[1] +.sym 11713 I2C.received_byte[2] +.sym 11714 $abc$56607$n827 +.sym 11715 I2C.received_byte[0] +.sym 11718 I2C.received_byte[0] +.sym 11719 I2C.received_byte[1] +.sym 11720 i2c_input_data_type[0] +.sym 11721 $false +.sym 11724 I2C.received_byte[0] +.sym 11725 $abc$56607$n827 +.sym 11726 I2C.received_byte[1] +.sym 11727 I2C.received_byte[2] +.sym 11730 I2C_INPUT_LEN[0] +.sym 11731 $abc$56607$n854 +.sym 11732 I2C_INPUT_LEN[1] .sym 11733 $false -.sym 11736 $abc$32112$n991_1 -.sym 11737 I2C.received_byte[3] -.sym 11738 I2C.is_read +.sym 11736 I2C_INPUT_LEN[3] +.sym 11737 I2C_INPUT_LEN[2] +.sym 11738 $abc$56607$n855 .sym 11739 $false -.sym 11740 $abc$32112$n409 -.sym 11741 CLK$2$2 -.sym 11742 $abc$32112$n25 -.sym 11743 $abc$32112$n645 -.sym 11744 $0\uart_double_ff[0:0] -.sym 11745 $abc$32112$n969_1 -.sym 11746 $abc$32112$n967 -.sym 11747 $abc$32112$n542 -.sym 11748 last_trans -.sym 11750 last_uart_active -.sym 11823 $abc$32112$n409 -.sym 11824 $abc$32112$n25 -.sym 11825 $false -.sym 11826 $false -.sym 11829 UART_TX_DATA[0] -.sym 11830 UART_TX_DATA[2] -.sym 11831 $abc$32112$n535 +.sym 11743 $abc$56607$n870 +.sym 11744 $2\uart_double_ff[0:0] +.sym 11745 $abc$56607$n747 +.sym 11746 $abc$56607$n819 +.sym 11747 $abc$56607$n1218 +.sym 11748 $abc$56607$n1221 +.sym 11749 $abc$56607$n1236 +.sym 11750 last_trans +.sym 11817 $abc$56607$n742 +.sym 11818 $abc$56607$n769 +.sym 11819 $false +.sym 11820 $false +.sym 11823 $abc$56607$n19 +.sym 11824 $abc$56607$n1499 +.sym 11825 I2C.is_read +.sym 11826 $abc$56607$n742 +.sym 11829 $abc$56607$n751 +.sym 11830 $abc$56607$n2569 +.sym 11831 $abc$56607$n747 .sym 11832 $false -.sym 11835 $0\uart_double_ff[0:0] -.sym 11836 $abc$32112$n25 +.sym 11835 last_wr +.sym 11836 I2C.wr .sym 11837 $false .sym 11838 $false -.sym 11841 $abc$32112$n972_1 -.sym 11842 I2C_INPUT_DATA[0][0] -.sym 11843 $abc$32112$n974_1 -.sym 11844 $abc$32112$n969_1 -.sym 11847 I2C.wr -.sym 11848 last_wr +.sym 11841 $abc$56607$n742 +.sym 11842 $abc$56607$n667 +.sym 11843 $abc$56607$n19 +.sym 11844 $false +.sym 11847 $abc$56607$n751 +.sym 11848 I2C.is_read .sym 11849 $false .sym 11850 $false -.sym 11853 $abc$32112$n989 -.sym 11854 I2C.received_byte[2] -.sym 11855 I2C.is_read +.sym 11853 I2C.wr +.sym 11854 last_wr +.sym 11855 $false .sym 11856 $false -.sym 11859 $abc$32112$n985 -.sym 11860 I2C.received_byte[0] -.sym 11861 I2C.is_read +.sym 11859 I2C.wr +.sym 11860 $false +.sym 11861 $false .sym 11862 $false -.sym 11863 $abc$32112$n409 +.sym 11863 $abc$56607$n1218 .sym 11864 CLK$2$2 -.sym 11865 $abc$32112$n25 -.sym 11866 $abc$32112$n640 -.sym 11867 $abc$32112$n631_1 -.sym 11868 $abc$32112$n405 -.sym 11869 $abc$32112$n632 -.sym 11870 $abc$32112$n5 -.sym 11871 $abc$32112$n634 -.sym 11872 $abc$32112$n676 -.sym 11873 UART_WR -.sym 11940 I2C_OUTPUT_TYPE[1] -.sym 11941 $abc$32112$n967 -.sym 11942 $abc$32112$n971_1 -.sym 11943 $abc$32112$n969_1 -.sym 11946 $abc$32112$n967 -.sym 11947 $abc$32112$n972_1 -.sym 11948 I2C_OUTPUT_TYPE[0] -.sym 11949 $abc$32112$n977_1 -.sym 11952 $abc$32112$n978 -.sym 11953 $abc$32112$n1271_1 -.sym 11954 $abc$32112$n966 -.sym 11955 $abc$32112$n542 -.sym 11958 $abc$32112$n972_1 -.sym 11959 I2C_OUTPUT_TYPE[1] -.sym 11960 $abc$32112$n676 -.sym 11961 $abc$32112$n974_1 -.sym 11964 $abc$32112$n972_1 -.sym 11965 $abc$32112$n974_1 -.sym 11966 $abc$32112$n969_1 -.sym 11967 $false -.sym 11970 $abc$32112$n967 -.sym 11971 $abc$32112$n979 -.sym 11972 I2C_OUTPUT_TYPE[2] +.sym 11865 $false +.sym 11866 $3\report_wr_en[0:0] +.sym 11867 $abc$56607$n992 +.sym 11869 $2\ring_wr[3:0][3] +.sym 11870 ring_wr[1] +.sym 11871 ring_wr[2] +.sym 11872 ring_wr[0] +.sym 11873 ring_wr[3] +.sym 11902 $true +.sym 11939 ring_wr[0]$2 +.sym 11940 $false +.sym 11941 ring_wr[0] +.sym 11942 $false +.sym 11943 $false +.sym 11945 $auto$alumacc.cc:470:replace_alu$12132.C[2] +.sym 11947 $false +.sym 11948 ring_wr[1] +.sym 11951 $auto$alumacc.cc:470:replace_alu$12132.C[3] +.sym 11952 $false +.sym 11953 $false +.sym 11954 ring_wr[2] +.sym 11955 $auto$alumacc.cc:470:replace_alu$12132.C[2] +.sym 11957 $auto$alumacc.cc:470:replace_alu$12132.C[4] +.sym 11958 $false +.sym 11959 $false +.sym 11960 ring_wr[3] +.sym 11961 $auto$alumacc.cc:470:replace_alu$12132.C[3] +.sym 11964 $false +.sym 11965 $false +.sym 11966 $false +.sym 11967 $auto$alumacc.cc:470:replace_alu$12132.C[4] +.sym 11970 ring_wr[2] +.sym 11971 $abc$56607$n1908 +.sym 11972 $abc$56607$n1089 .sym 11973 $false -.sym 11976 $abc$32112$n1271_1 -.sym 11977 $abc$32112$n542 +.sym 11976 $true$2 +.sym 11977 $false .sym 11978 $false .sym 11979 $false -.sym 11982 $abc$32112$n978 -.sym 11983 $abc$32112$n542 -.sym 11984 $false -.sym 11985 $false -.sym 11986 $abc$32112$n435$2 +.sym 11986 $abc$56607$n988 .sym 11987 CLK$2$2 -.sym 11988 $0\KBD_FREEZE[0:0]$2 -.sym 11989 $abc$32112$n2130 -.sym 11990 $abc$32112$n2132 -.sym 11991 $abc$32112$n1572 -.sym 11992 $abc$32112$n666 -.sym 11994 $abc$32112$n1292_1 -.sym 11995 $abc$32112$n1291_1 -.sym 11996 uart_double_ff -.sym 12063 I2C_OUTPUT_TYPE[2] -.sym 12064 I2C_OUTPUT_TYPE[1] -.sym 12065 I2C_OUTPUT_TYPE[0] -.sym 12066 $false -.sym 12075 I2C_OUTPUT_TYPE[2] -.sym 12076 $abc$32112$n2169 -.sym 12077 I2C_OUTPUT_TYPE[0] -.sym 12078 I2C_OUTPUT_TYPE[1] -.sym 12081 $abc$32112$n630_1 -.sym 12082 $abc$32112$n1712 -.sym 12083 $false -.sym 12084 $false -.sym 12087 I2C.byte_counter[1] -.sym 12088 I2C.byte_counter[0] -.sym 12089 $abc$32112$n630_1 -.sym 12090 $false -.sym 12093 $abc$32112$n630_1 -.sym 12094 $abc$32112$n1714 -.sym 12095 $false +.sym 11988 $false +.sym 11996 $2\ring_wr[3:0][1] +.sym 12063 $abc$56607$n49 +.sym 12064 ring_rd[3] +.sym 12065 $abc$56607$n1911 +.sym 12066 $abc$56607$n1087 +.sym 12069 $abc$56607$n764 +.sym 12070 ring_wr[0] +.sym 12071 $abc$56607$n1086 +.sym 12072 $false +.sym 12075 ring_rd[0] +.sym 12076 ring_wr[0] +.sym 12077 ring_rd[3] +.sym 12078 ring_wr[3] +.sym 12081 ring_rd[0] +.sym 12082 $abc$56607$n1902 +.sym 12083 ring_rd[2] +.sym 12084 $abc$56607$n1908 +.sym 12087 ring_rd[2] +.sym 12088 ring_wr[2] +.sym 12089 $abc$56607$n764 +.sym 12090 $abc$56607$n765 +.sym 12093 $false +.sym 12094 $true$2 +.sym 12095 ring_wr[0] .sym 12096 $false -.sym 12099 $abc$32112$n630_1 -.sym 12100 $abc$32112$n1710 -.sym 12101 $false -.sym 12102 $false -.sym 12109 $abc$32112$n424 -.sym 12110 CLK$2$2 -.sym 12111 $0\KBD_FREEZE[0:0]$2 -.sym 12112 $abc$32112$n2135 -.sym 12113 $abc$32112$n2133 -.sym 12114 $abc$32112$n2136 -.sym 12115 $abc$32112$n2134 -.sym 12116 $abc$32112$n667 -.sym 12117 I2C_INPUT_LEN[5] -.sym 12118 I2C_INPUT_LEN[7] -.sym 12119 I2C_INPUT_LEN[6] -.sym 12148 $true -.sym 12185 I2C.byte_counter[0]$2 -.sym 12186 $false -.sym 12187 I2C.byte_counter[0] -.sym 12188 $false -.sym 12189 $false -.sym 12191 $auto$alumacc.cc:470:replace_alu$4599.C[2] -.sym 12193 I2C.byte_counter[1] -.sym 12194 $true$2 -.sym 12197 $auto$alumacc.cc:470:replace_alu$4599.C[3] -.sym 12198 $false -.sym 12199 I2C.byte_counter[2] -.sym 12200 $true$2 -.sym 12201 $auto$alumacc.cc:470:replace_alu$4599.C[2] -.sym 12203 $auto$alumacc.cc:470:replace_alu$4599.C[4] +.sym 12099 $abc$56607$n764 +.sym 12100 $abc$56607$n1086 +.sym 12101 ring_wr[0] +.sym 12102 $abc$56607$n1902 +.sym 12105 ring_rd[1] +.sym 12106 ring_wr[1] +.sym 12107 $false +.sym 12108 $false +.sym 12114 $abc$56607$n1544 +.sym 12115 $abc$56607$n1545 +.sym 12116 $abc$56607$n31 +.sym 12117 $abc$56607$n897 +.sym 12118 UART.tx_clk_counter[2] +.sym 12119 UART.tx_clk_counter[3] +.sym 12186 $abc$56607$n2483 +.sym 12187 $abc$56607$n1636 +.sym 12188 I2C.FLT_SCL.out +.sym 12189 I2C.SCLF .sym 12204 $false -.sym 12205 I2C.byte_counter[3] -.sym 12206 $true$2 -.sym 12207 $auto$alumacc.cc:470:replace_alu$4599.C[3] -.sym 12209 $auto$alumacc.cc:470:replace_alu$4599.C[5] -.sym 12210 $false -.sym 12211 I2C.byte_counter[4] -.sym 12212 $true$2 -.sym 12213 $auto$alumacc.cc:470:replace_alu$4599.C[4] -.sym 12215 $auto$alumacc.cc:470:replace_alu$4599.C[6] -.sym 12216 $false -.sym 12217 I2C.byte_counter[5] -.sym 12218 $true$2 -.sym 12219 $auto$alumacc.cc:470:replace_alu$4599.C[5] -.sym 12221 $auto$alumacc.cc:470:replace_alu$4599.C[7] -.sym 12222 $false -.sym 12223 I2C.byte_counter[6] -.sym 12224 $true$2 -.sym 12225 $auto$alumacc.cc:470:replace_alu$4599.C[6] -.sym 12228 $false -.sym 12229 I2C.byte_counter[7] -.sym 12230 $true$2 -.sym 12231 $auto$alumacc.cc:470:replace_alu$4599.C[7] -.sym 12309 $abc$32112$n839 -.sym 12410 $abc$32112$n549 -.sym 12411 $abc$32112$n550 -.sym 12412 $abc$32112$n551 -.sym 12413 $abc$32112$n552 -.sym 12416 KEYBOARD.row_time[0] -.sym 12417 $abc$32112$n565 -.sym 12418 KEYBOARD.row_time[1] +.sym 12205 I2C.FLT_SCL.counter[0] +.sym 12206 $false +.sym 12207 $true$2 +.sym 12210 I2C.FLT_SCL.out +.sym 12211 I2C.SCLF +.sym 12212 $abc$56607$n1009 +.sym 12213 $false +.sym 12216 I2C.FLT_SCL.counter[0] +.sym 12217 I2C.FLT_SCL.counter[1] +.sym 12218 $false +.sym 12219 $false +.sym 12222 $abc$56607$n1009 +.sym 12223 $abc$56607$n1636 +.sym 12224 $false +.sym 12225 $false +.sym 12228 $abc$56607$n2483 +.sym 12229 $false +.sym 12230 $false +.sym 12231 $false +.sym 12232 $abc$56607$n1512 +.sym 12233 CLK$2$2 +.sym 12234 $abc$56607$n35$2 +.sym 12309 $abc$56607$n1471 +.sym 12335 KEYBOARD.COLS_SHADOW[4] +.sym 12336 KEYBOARD.COLS_SHADOW[3] +.sym 12337 KEYBOARD.COLS_SHADOW[0] +.sym 12339 KEYBOARD.COLS_SHADOW[7] +.sym 12340 KEYBOARD.COLS_SHADOW[2] +.sym 12341 KEYBOARD.COLS_SHADOW[1] +.sym 12342 KEYBOARD.COLS_SHADOW[5] +.sym 12410 $abc$56607$n705 +.sym 12411 $abc$56607$n709 +.sym 12412 $abc$56607$n711 +.sym 12413 $false +.sym 12416 $abc$56607$n33$2 +.sym 12417 $abc$56607$n1490$2 +.sym 12418 $false .sym 12419 $false -.sym 12422 KEYBOARD.row_time[0] -.sym 12423 KEYBOARD.row_time[1] -.sym 12424 KEYBOARD.row_time[11] -.sym 12425 KEYBOARD.row_time[7] -.sym 12428 KEYBOARD.row_time[3] -.sym 12429 KEYBOARD.row_time[2] -.sym 12430 KEYBOARD.row_time[5] -.sym 12431 KEYBOARD.row_time[6] -.sym 12434 KEYBOARD.row_time[7] -.sym 12435 KEYBOARD.row_time[4] -.sym 12436 KEYBOARD.row_time[5] -.sym 12437 KEYBOARD.row_time[6] -.sym 12440 $abc$32112$n29$2 -.sym 12441 KEYBOARD.row_time[0] -.sym 12442 $abc$32112$n839$2 -.sym 12443 $false -.sym 12446 KEYBOARD.row_time[0] -.sym 12447 KEYBOARD.row_time[1] -.sym 12448 $abc$32112$n565 -.sym 12449 $false -.sym 12452 KEYBOARD.row_time[1] -.sym 12453 $false -.sym 12454 $false -.sym 12455 $false -.sym 12456 $abc$32112$n838 -.sym 12457 CLK$2$2 -.sym 12458 $abc$32112$n29$2 -.sym 12463 $abc$32112$n1984 -.sym 12464 $abc$32112$n582 -.sym 12465 $abc$32112$n1137 -.sym 12466 $abc$32112$n563 -.sym 12467 $abc$32112$n575 -.sym 12468 $abc$32112$n826 -.sym 12469 I2C_INPUT_DATA[5][3] -.sym 12470 I2C_INPUT_DATA[5][4] -.sym 12573 KEYBOARD.row_time[3] -.sym 12574 KEYBOARD.row_time[2] -.sym 12575 $abc$32112$n566 -.sym 12576 $abc$32112$n583 -.sym 12579 I2C.FLT_SCL.RESET -.sym 12580 $abc$32112$n10 +.sym 12422 $abc$56607$n678 +.sym 12423 $abc$56607$n691 +.sym 12424 KEYBOARD.is_pressed +.sym 12425 $abc$56607$n696 +.sym 12428 $abc$56607$n702 +.sym 12429 KEYBOARD.is_pressed +.sym 12430 KEYBOARD.COLS_SHADOW[5] +.sym 12431 $abc$56607$n697 +.sym 12434 $abc$56607$n677 +.sym 12435 $abc$56607$n701 +.sym 12436 $abc$56607$n714 +.sym 12437 $abc$56607$n704 +.sym 12446 $abc$56607$n708 +.sym 12447 KEYBOARD.is_pressed +.sym 12448 KEYBOARD.COLS_SHADOW[4] +.sym 12449 $abc$56607$n706 +.sym 12461 KBD_COLUMNS[4]$2 +.sym 12465 $abc$56607$n1635 +.sym 12466 $abc$56607$n2244 +.sym 12467 $abc$56607$n1003 +.sym 12468 $abc$56607$n2481 +.sym 12469 KEYBOARD.is_pressed +.sym 12573 $abc$56607$n719 +.sym 12574 KEYBOARD.COLS_SHADOW[3] +.sym 12575 KEYBOARD.is_pressed +.sym 12576 $abc$56607$n711 +.sym 12579 $abc$56607$n716 +.sym 12580 $abc$56607$n718 .sym 12581 $false .sym 12582 $false -.sym 12591 KEYBOARD.row_time[11] -.sym 12592 KEYBOARD.row_time[13] -.sym 12593 KEYBOARD.row_time[8] -.sym 12594 KEYBOARD.row_time[12] -.sym 12597 $abc$32112$n551 -.sym 12598 $abc$32112$n567 -.sym 12599 $false +.sym 12585 $abc$56607$n722 +.sym 12586 KEYBOARD.COLS_SHADOW[2] +.sym 12587 KEYBOARD.is_pressed +.sym 12588 $abc$56607$n723 +.sym 12591 $abc$56607$n726 +.sym 12592 KEYBOARD.COLS_SHADOW[0] +.sym 12593 KEYBOARD.is_pressed +.sym 12594 $abc$56607$n727 +.sym 12597 $abc$56607$n719 +.sym 12598 KEYBOARD.COLS_SHADOW[3] +.sym 12599 $abc$56607$n711 .sym 12600 $false -.sym 12603 KEYBOARD.row_time[14] -.sym 12604 KEYBOARD.row_time[15] -.sym 12605 KEYBOARD.row_time[9] -.sym 12606 KEYBOARD.row_time[10] -.sym 12609 KEYBOARD.row_time[4] -.sym 12610 KEYBOARD.row_time[8] -.sym 12611 KEYBOARD.row_time[12] -.sym 12612 KEYBOARD.row_time[13] -.sym 12615 $false -.sym 12616 $true$2 -.sym 12617 KEYBOARD.row_time[0] -.sym 12618 $false -.sym 12619 $abc$32112$n839$2 -.sym 12620 CLK$2$2 -.sym 12621 $abc$32112$n29$2 -.sym 12622 $abc$32112$n1387 -.sym 12623 $abc$32112$n1134 -.sym 12624 $abc$32112$n1275_1 -.sym 12625 $abc$32112$n1383 -.sym 12626 I2C.byte_counter[0] -.sym 12627 I2C.byte_counter[3] -.sym 12628 I2C.byte_counter[7] -.sym 12629 I2C.byte_counter[1] -.sym 12696 $abc$32112$n1988 -.sym 12697 $abc$32112$n1128 -.sym 12698 $abc$32112$n1389 -.sym 12699 $abc$32112$n1127 -.sym 12702 $abc$32112$n1990 -.sym 12703 $abc$32112$n1135 -.sym 12704 I2C.byte_counter[6] -.sym 12705 $abc$32112$n691 -.sym 12708 $abc$32112$n1128 -.sym 12709 $abc$32112$n1127 -.sym 12710 $false -.sym 12711 $false -.sym 12714 $abc$32112$n691 -.sym 12715 $abc$32112$n1127 -.sym 12716 $false +.sym 12603 $abc$56607$n721 +.sym 12604 $abc$56607$n725 +.sym 12605 $false +.sym 12606 $false +.sym 12609 $abc$56607$n717 +.sym 12610 KEYBOARD.COLS_SHADOW[1] +.sym 12611 KEYBOARD.is_pressed +.sym 12612 $abc$56607$n709 +.sym 12615 $abc$56607$n676 +.sym 12616 $abc$56607$n715 +.sym 12617 $abc$56607$n728 +.sym 12618 $abc$56607$n720 +.sym 12622 $abc$56607$n709 +.sym 12623 $abc$56607$n679 +.sym 12624 $abc$56607$n710 +.sym 12625 $abc$56607$n693 +.sym 12626 $abc$56607$n723 +.sym 12627 $abc$56607$n727 +.sym 12628 $abc$56607$n724 +.sym 12629 KEYBOARD.row_counter[3] +.sym 12696 $abc$56607$n723 +.sym 12697 $abc$56607$n727 +.sym 12698 $false +.sym 12699 $false +.sym 12702 KEYBOARD.COLS_SHADOW[0] +.sym 12703 $abc$56607$n726 +.sym 12704 $abc$56607$n727 +.sym 12705 $false +.sym 12708 KEYBOARD.COLS_SHADOW[7] +.sym 12709 $abc$56607$n685 +.sym 12710 $abc$56607$n679 +.sym 12711 $abc$56607$n706 +.sym 12714 $abc$56607$n685 +.sym 12715 KEYBOARD.COLS_SHADOW[7] +.sym 12716 $abc$56607$n679 .sym 12717 $false -.sym 12726 $abc$32112$n1817 -.sym 12727 I2C.byte_counter[4] -.sym 12728 $false +.sym 12720 $abc$56607$n719 +.sym 12721 KEYBOARD.COLS_SHADOW[3] +.sym 12722 $abc$56607$n711 +.sym 12723 $false +.sym 12726 $abc$56607$n717 +.sym 12727 KEYBOARD.COLS_SHADOW[1] +.sym 12728 $abc$56607$n709 .sym 12729 $false -.sym 12732 KEYBOARD.COLS_SHADOW[0] -.sym 12733 $false -.sym 12734 $false +.sym 12732 KEYBOARD.COLS_SHADOW[7] +.sym 12733 $abc$56607$n685 +.sym 12734 $abc$56607$n679 .sym 12735 $false -.sym 12742 $abc$32112$n770 +.sym 12738 $abc$56607$n726 +.sym 12739 KEYBOARD.COLS_SHADOW[0] +.sym 12740 $abc$56607$n727 +.sym 12741 $false +.sym 12742 $abc$56607$n1484 .sym 12743 CLK$2$2 -.sym 12744 $0\KBD_FREEZE[0:0]$2 -.sym 12745 $abc$32112$n785 -.sym 12746 $abc$32112$n1286_1 -.sym 12747 $abc$32112$n1393 -.sym 12749 $abc$32112$n1130 -.sym 12750 $abc$32112$n1129 -.sym 12751 $abc$32112$n1128 -.sym 12752 KEYBOARD.last_data[6] -.sym 12825 $abc$32112$n585 -.sym 12826 $abc$32112$n10 -.sym 12827 $abc$32112$n591 -.sym 12828 I2C.FLT_SCL.RESET -.sym 12831 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] -.sym 12832 I2C.byte_counter[1] -.sym 12833 I2C.byte_counter[2] -.sym 12834 $abc$32112$n1182 -.sym 12843 $abc$32112$n1182 -.sym 12844 I2C.byte_counter[1] -.sym 12845 I2C.byte_counter[2] -.sym 12846 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] -.sym 12855 $abc$32112$n569 -.sym 12856 $abc$32112$n10 -.sym 12857 $abc$32112$n591 -.sym 12858 I2C.FLT_SCL.RESET -.sym 12861 KEYBOARD.COLS_SHADOW[0] -.sym 12862 $false -.sym 12863 $false +.sym 12744 $abc$56607$n31$2 +.sym 12747 $abc$56607$n2237 +.sym 12748 $abc$56607$n28 +.sym 12749 $abc$56607$n2250 +.sym 12750 $abc$56607$n2463 +.sym 12751 KEYBOARD.report[6][0] +.sym 12752 KEYBOARD.report[6][3] +.sym 12819 KEYBOARD.kbd_code_hid[2] +.sym 12820 KEYBOARD.kbd_code_hid[1] +.sym 12821 KEYBOARD.kbd_code_hid[0] +.sym 12822 $false +.sym 12825 $abc$56607$n923 +.sym 12826 I2C.FLT_SCL.RESET +.sym 12827 $false +.sym 12828 $false +.sym 12831 KEYBOARD.kbd_code_hid[1] +.sym 12832 KEYBOARD.kbd_code_hid[0] +.sym 12833 KEYBOARD.kbd_code_hid[2] +.sym 12834 $false +.sym 12849 $abc$56607$n27 +.sym 12850 KEYBOARD.report[0][4] +.sym 12851 $abc$56607$n1373 +.sym 12852 $false +.sym 12855 $abc$56607$n27 +.sym 12856 KEYBOARD.report[0][7] +.sym 12857 $abc$56607$n918 +.sym 12858 $false +.sym 12861 $abc$56607$n27 +.sym 12862 KEYBOARD.report[0][1] +.sym 12863 $abc$56607$n1367 .sym 12864 $false -.sym 12865 $abc$32112$n795 +.sym 12865 $abc$56607$n1457 .sym 12866 CLK$2$2 -.sym 12867 $0\KBD_FREEZE[0:0]$2 -.sym 12868 $abc$32112$n726 -.sym 12869 $abc$32112$n1287 -.sym 12870 $abc$32112$n597 -.sym 12871 $abc$32112$n595_1 -.sym 12872 $abc$32112$n1285_1 -.sym 12873 $abc$32112$n749 -.sym 12874 $abc$32112$n724 -.sym 12875 KEYBOARD.last_data[2] -.sym 12942 KEYBOARD.last_data[12] -.sym 12943 KEYBOARD.last_data[4] -.sym 12944 KEYBOARD.row_counter[1] +.sym 12867 $abc$56607$n35$2 +.sym 12868 $abc$56607$n989 +.sym 12869 $abc$56607$n926 +.sym 12870 $abc$56607$n1450 +.sym 12871 $abc$56607$n904 +.sym 12872 $abc$56607$n1483 +.sym 12873 $abc$56607$n975 +.sym 12874 $abc$56607$n905 +.sym 12875 KEYBOARD.isr +.sym 12942 $abc$56607$n915 +.sym 12943 KEYBOARD.init_ram_cnt[8] +.sym 12944 I2C.FLT_SCL.RESET .sym 12945 $false -.sym 12954 KEYBOARD.last_data[8] -.sym 12955 KEYBOARD.last_data[0] -.sym 12956 KEYBOARD.row_counter[1] +.sym 12948 $abc$56607$n915 +.sym 12949 I2C.FLT_SCL.RESET +.sym 12950 KEYBOARD.init_ram_cnt[8] +.sym 12951 $false +.sym 12954 $abc$56607$n916 +.sym 12955 $abc$56607$n920 +.sym 12956 $abc$56607$n923 .sym 12957 $false -.sym 12960 $abc$32112$n1289_1 -.sym 12961 $abc$32112$n1288_1 -.sym 12962 KEYBOARD.row_counter[0] +.sym 12960 KEYBOARD.kbd_code_hid[2] +.sym 12961 KEYBOARD.kbd_code_hid[0] +.sym 12962 KEYBOARD.kbd_code_hid[1] .sym 12963 $false -.sym 12966 $abc$32112$n598 -.sym 12967 $abc$32112$n10 -.sym 12968 $abc$32112$n591 -.sym 12969 I2C.FLT_SCL.RESET -.sym 12972 I2C.byte_counter[3] -.sym 12973 I2C.byte_counter[2] -.sym 12974 $false +.sym 12966 KEYBOARD.kbd_code_hid[2] +.sym 12967 KEYBOARD.kbd_code_hid[1] +.sym 12968 KEYBOARD.kbd_code_hid[0] +.sym 12969 $false +.sym 12972 $abc$56607$n27 +.sym 12973 KEYBOARD.report[0][0] +.sym 12974 $abc$56607$n921 .sym 12975 $false -.sym 12978 KEYBOARD.COLS_SHADOW[0] -.sym 12979 $false -.sym 12980 $false +.sym 12978 $abc$56607$n27 +.sym 12979 KEYBOARD.report[0][2] +.sym 12980 $abc$56607$n1369 .sym 12981 $false -.sym 12988 $abc$32112$n816 +.sym 12984 $abc$56607$n27 +.sym 12985 KEYBOARD.report[0][3] +.sym 12986 $abc$56607$n1371 +.sym 12987 $false +.sym 12988 $abc$56607$n1457 .sym 12989 CLK$2$2 -.sym 12990 $0\KBD_FREEZE[0:0]$2 -.sym 12991 $abc$32112$n671 -.sym 12992 $abc$32112$n806 -.sym 12993 $abc$32112$n729 -.sym 12994 $abc$32112$n584 -.sym 12995 $abc$32112$n728 -.sym 12996 $abc$32112$n723 -.sym 12997 $abc$32112$n727 -.sym 12998 KEYBOARD.last_data[10] -.sym 13077 I2C.FLT_SCL.out -.sym 13078 I2C.FLT_SDA.out -.sym 13079 $abc$32112$n16 -.sym 13080 I2C.wr -.sym 13083 $abc$32112$n718 -.sym 13084 $abc$32112$n839$2 -.sym 13085 $false -.sym 13086 $false -.sym 13089 I2C.FLT_SCL.out -.sym 13090 $abc$32112$n16 -.sym 13091 I2C.SDA_DIR -.sym 13092 I2C.FLT_SDA.out -.sym 13095 $abc$32112$n596 -.sym 13096 $abc$32112$n10 -.sym 13097 $abc$32112$n591 -.sym 13098 I2C.FLT_SCL.RESET -.sym 13101 $abc$32112$n569 -.sym 13102 $abc$32112$n1290 -.sym 13103 KEYBOARD.COLS_SHADOW[0] -.sym 13104 $abc$32112$n591 -.sym 13107 KEYBOARD.COLS_SHADOW[0] +.sym 12990 $abc$56607$n35$2 +.sym 12991 $abc$56607$n909 +.sym 12992 $abc$56607$n910 +.sym 12993 $abc$56607$n1522 +.sym 12994 $abc$56607$n1515_1 +.sym 12995 KEYBOARD.report[1][2] +.sym 12996 KEYBOARD.report[1][0] +.sym 12997 KEYBOARD.report[1][5] +.sym 12998 KEYBOARD.report[1][3] +.sym 13065 $abc$56607$n920 +.sym 13066 $abc$56607$n916 +.sym 13067 $abc$56607$n943 +.sym 13068 I2C.FLT_SCL.RESET +.sym 13071 $abc$56607$n920 +.sym 13072 $abc$56607$n916 +.sym 13073 $abc$56607$n943 +.sym 13074 I2C.FLT_SCL.RESET +.sym 13077 $abc$56607$n923 +.sym 13078 KEYBOARD.init_ram_cnt[8] +.sym 13079 $false +.sym 13080 $false +.sym 13083 KEYBOARD.kbd_code_hid[7] +.sym 13084 KEYBOARD.kbd_code_hid[6] +.sym 13085 KEYBOARD.kbd_code_hid[4] +.sym 13086 KEYBOARD.kbd_code_hid[5] +.sym 13089 KEYBOARD.kbd_code_hid[3] +.sym 13090 $abc$56607$n922 +.sym 13091 $abc$56607$n921 +.sym 13092 $false +.sym 13095 KEYBOARD.kbd_code_hid[2] +.sym 13096 KEYBOARD.kbd_code_hid[1] +.sym 13097 KEYBOARD.kbd_code_hid[0] +.sym 13098 $false +.sym 13101 $abc$56607$n943 +.sym 13102 I2C.FLT_SCL.RESET +.sym 13103 $false +.sym 13104 $false +.sym 13107 KEYBOARD.kbd_code_hid[2] .sym 13108 $false .sym 13109 $false .sym 13110 $false -.sym 13111 $abc$32112$n732 +.sym 13111 $abc$56607$n1328 .sym 13112 CLK$2$2 -.sym 13113 $0\KBD_FREEZE[0:0]$2 -.sym 13115 $abc$32112$n779 -.sym 13117 $abc$32112$n1281_1 -.sym 13118 $abc$32112$n743 -.sym 13119 $abc$32112$n1279 -.sym 13120 $abc$32112$n823 -.sym 13121 KEYBOARD.last_data[1] -.sym 13194 I2C.FLT_SCL.out -.sym 13195 I2C.FLT_SDA.out -.sym 13196 $abc$32112$n16 -.sym 13197 I2C.SDA_DIR -.sym 13200 I2C.FLT_SDA.out -.sym 13201 $abc$32112$n16 -.sym 13202 I2C.FLT_SCL.out +.sym 13113 $abc$56607$n27 +.sym 13114 $abc$56607$n911 +.sym 13115 $abc$56607$n1375 +.sym 13116 $abc$56607$n1163 +.sym 13117 $abc$56607$n1524_1 +.sym 13118 $abc$56607$n1188 +.sym 13119 $abc$56607$n1485 +.sym 13120 KEYBOARD.report[0][6] +.sym 13121 KEYBOARD.report[0][5] +.sym 13188 KEYBOARD.report[0][3] +.sym 13189 KEYBOARD.report[1][3] +.sym 13190 $abc$56607$n1124 +.sym 13191 $abc$56607$n1116 +.sym 13200 KEYBOARD.report[0][2] +.sym 13201 KEYBOARD.report[1][2] +.sym 13202 $abc$56607$n1124 .sym 13203 $false -.sym 13206 I2C.FLT_SCL.out -.sym 13207 I2C.FLT_SDA.out -.sym 13208 $abc$32112$n16 -.sym 13209 I2C.i2c_state_machine -.sym 13230 I2C.FLT_SDA.out -.sym 13231 $false +.sym 13206 $abc$56607$n1149 +.sym 13207 $abc$56607$n1152 +.sym 13208 KEYBOARD.report[0][2] +.sym 13209 $abc$56607$n1120 +.sym 13212 KEYBOARD.report[0][4] +.sym 13213 $abc$56607$n1120 +.sym 13214 $abc$56607$n1178 +.sym 13215 $abc$56607$n1116 +.sym 13224 KEYBOARD.report[0][4] +.sym 13225 KEYBOARD.report[1][4] +.sym 13226 $abc$56607$n1124 +.sym 13227 $abc$56607$n1116 +.sym 13230 $abc$56607$n1288 +.sym 13231 $abc$56607$n875 .sym 13232 $false .sym 13233 $false -.sym 13234 $abc$32112$n539 +.sym 13234 $true .sym 13235 CLK$2$2 .sym 13236 $false -.sym 13237 $abc$32112$n878_1 -.sym 13238 $abc$32112$n1254 -.sym 13240 $abc$32112$n871 -.sym 13241 $abc$32112$n1252_1 -.sym 13242 $abc$32112$n1280_1 -.sym 13243 $abc$32112$n630 -.sym 13244 KEYBOARD.last_data[5] -.sym 13311 I2C.byte_counter[1] -.sym 13312 I2C.byte_counter[3] -.sym 13313 I2C.byte_counter[0] -.sym 13314 I2C.byte_counter[2] -.sym 13317 I2C.i2c_start_latency -.sym 13318 I2C.i2c_state_machine -.sym 13319 $abc$32112$n1817 -.sym 13320 $false -.sym 13323 I2C.byte_counter[2] -.sym 13324 I2C.byte_counter[1] -.sym 13325 $false -.sym 13326 $false -.sym 13329 I2C.byte_counter[0] -.sym 13330 I2C.byte_counter[1] -.sym 13331 $false -.sym 13332 $false -.sym 13335 I2C.byte_counter[3] -.sym 13336 I2C.byte_counter[0] -.sym 13337 $abc$32112$n882 -.sym 13338 $false -.sym 13341 I2C.i2c_state_machine -.sym 13342 I2C.i2c_start_latency -.sym 13343 $false -.sym 13344 $false -.sym 13347 I2C.i2c_start_latency -.sym 13348 $abc$32112$n1817 -.sym 13349 I2C.i2c_state_machine -.sym 13350 I2C.is_read -.sym 13353 $abc$32112$n1817 +.sym 13238 $abc$56607$n1201 +.sym 13239 $abc$56607$n1497 +.sym 13240 $abc$56607$n1528 +.sym 13241 $abc$56607$n1116 +.sym 13242 $abc$56607$n1124 +.sym 13243 $abc$56607$n2458 +.sym 13244 $abc$56607$n1531 +.sym 13311 $abc$56607$n1120 +.sym 13312 KEYBOARD.report[0][7] +.sym 13313 $abc$56607$n1209 +.sym 13314 $abc$56607$n1129 +.sym 13317 $abc$56607$n1124 +.sym 13318 KEYBOARD.report[0][6] +.sym 13319 $abc$56607$n1201 +.sym 13320 $abc$56607$n1129 +.sym 13323 KEYBOARD.report[0][0] +.sym 13324 $abc$56607$n1120 +.sym 13325 $abc$56607$n1518 +.sym 13326 $abc$56607$n1129 +.sym 13329 KEYBOARD.report[1][7] +.sym 13330 $abc$56607$n1126 +.sym 13331 KEYBOARD.report[0][7] +.sym 13332 $abc$56607$n1124 +.sym 13335 $abc$56607$n1124 +.sym 13336 KEYBOARD.report[0][0] +.sym 13337 $abc$56607$n1497 +.sym 13338 $abc$56607$n1129 +.sym 13341 $abc$56607$n1120 +.sym 13342 KEYBOARD.report[0][6] +.sym 13343 $abc$56607$n1531 +.sym 13344 $abc$56607$n1129 +.sym 13353 I2C.FLT_SDA.out .sym 13354 $false .sym 13355 $false .sym 13356 $false -.sym 13357 $abc$32112$n580 +.sym 13357 $abc$56607$n1290 .sym 13358 CLK$2$2 .sym 13359 $false -.sym 13360 $abc$32112$n896 -.sym 13361 $abc$32112$n873 -.sym 13362 $abc$32112$n884 -.sym 13363 $abc$32112$n907 -.sym 13364 $abc$32112$n1312 -.sym 13366 $abc$32112$n889 -.sym 13367 $abc$32112$n1311 -.sym 13434 $abc$32112$n895 -.sym 13435 $abc$32112$n885_1 -.sym 13436 $abc$32112$n869 -.sym 13437 $abc$32112$n879 -.sym 13440 $abc$32112$n896 -.sym 13441 $abc$32112$n903 -.sym 13442 $abc$32112$n878_1 -.sym 13443 $abc$32112$n904_1 -.sym 13446 $abc$32112$n873 -.sym 13447 $abc$32112$n877 -.sym 13448 $false -.sym 13449 $false -.sym 13452 I2C.byte_counter[1] -.sym 13453 I2C.byte_counter[0] -.sym 13454 $abc$32112$n873 -.sym 13455 $false -.sym 13458 $abc$32112$n888 -.sym 13459 $abc$32112$n869 -.sym 13460 $abc$32112$n889 -.sym 13461 $abc$32112$n887 -.sym 13464 $abc$32112$n877 -.sym 13465 $abc$32112$n890 -.sym 13466 $abc$32112$n888 +.sym 13360 $abc$56607$n893 +.sym 13361 $abc$56607$n1126 +.sym 13362 $abc$56607$n1139 +.sym 13363 $abc$56607$n1132 +.sym 13364 $abc$56607$n1130 +.sym 13365 $abc$56607$n1133 +.sym 13366 UART.TX_sig_last +.sym 13367 UART.tx_activity +.sym 13434 I2C.FLT_SCL.RESET +.sym 13435 $abc$56607$n893 +.sym 13436 $false +.sym 13437 $false +.sym 13446 I2C.received_byte[7] +.sym 13447 I2C.received_byte[3] +.sym 13448 $abc$56607$n1279 +.sym 13449 I2C.received_byte[6] +.sym 13452 I2C.received_byte[4] +.sym 13453 I2C.received_byte[1] +.sym 13454 I2C.received_byte[2] +.sym 13455 I2C.received_byte[5] +.sym 13458 $abc$56607$n895 +.sym 13459 $abc$56607$n898 +.sym 13460 $abc$56607$n893 +.sym 13461 I2C.FLT_SCL.RESET +.sym 13464 $abc$56607$n670 +.sym 13465 $abc$56607$n1130 +.sym 13466 $false .sym 13467 $false -.sym 13470 $abc$32112$n869 -.sym 13471 $abc$32112$n871 +.sym 13470 $abc$56607$n22 +.sym 13471 $false .sym 13472 $false .sym 13473 $false -.sym 13476 $abc$32112$n881 -.sym 13477 $abc$32112$n888 -.sym 13478 $abc$32112$n871 +.sym 13476 I2C.FLT_SDA.out +.sym 13477 $false +.sym 13478 $false .sym 13479 $false -.sym 13483 $abc$32112$n874 -.sym 13484 $abc$32112$n883 -.sym 13485 $abc$32112$n885_1 -.sym 13486 $abc$32112$n927_1 -.sym 13487 $abc$32112$n870 -.sym 13488 $abc$32112$n926 -.sym 13489 $abc$32112$n909 -.sym 13490 $abc$32112$n869 -.sym 13557 $abc$32112$n890 -.sym 13558 $abc$32112$n874 -.sym 13559 $abc$32112$n883 -.sym 13560 $abc$32112$n869 -.sym 13563 $abc$32112$n869 -.sym 13564 $abc$32112$n909 -.sym 13565 $abc$32112$n919 -.sym 13566 $false -.sym 13569 $abc$32112$n881 -.sym 13570 $abc$32112$n883 -.sym 13571 $false -.sym 13572 $false -.sym 13575 $abc$32112$n868_1 -.sym 13576 $abc$32112$n872 -.sym 13577 $false +.sym 13480 $abc$56607$n1302 +.sym 13481 CLK$2$2 +.sym 13482 $false +.sym 13483 $abc$56607$n832 +.sym 13484 $abc$56607$n1540 +.sym 13485 $abc$56607$n1113 +.sym 13486 $abc$56607$n1504 +.sym 13487 $abc$56607$n808 +.sym 13488 $abc$56607$n1502_1 +.sym 13489 $abc$56607$n804 +.sym 13490 $abc$56607$n1503 +.sym 13557 $abc$56607$n22 +.sym 13558 I2C.byte_counter[1] +.sym 13559 $abc$56607$n810 +.sym 13560 $false +.sym 13563 $abc$56607$n1536_1 +.sym 13564 $abc$56607$n1543 +.sym 13565 $abc$56607$n816_1 +.sym 13566 $abc$56607$n1542 +.sym 13569 I2C.byte_counter[1] +.sym 13570 $abc$56607$n1541_1 +.sym 13571 $abc$56607$n833 +.sym 13572 $abc$56607$n754 +.sym 13575 I2C.byte_counter[0] +.sym 13576 I2C.byte_counter[1] +.sym 13577 $abc$56607$n807 .sym 13578 $false -.sym 13581 $abc$32112$n880 -.sym 13582 $abc$32112$n885_1 -.sym 13583 $abc$32112$n867_1 -.sym 13584 $abc$32112$n875 -.sym 13587 $abc$32112$n883 -.sym 13588 $abc$32112$n878_1 -.sym 13589 $abc$32112$n872 -.sym 13590 $abc$32112$n885_1 -.sym 13593 $abc$32112$n911 -.sym 13594 $abc$32112$n924 -.sym 13595 $abc$32112$n928_1 -.sym 13596 $abc$32112$n918 -.sym 13599 $abc$32112$n873 -.sym 13600 $abc$32112$n874 +.sym 13581 I2C.byte_counter[0] +.sym 13582 $abc$56607$n673 +.sym 13583 $abc$56607$n834 +.sym 13584 I2C.is_read +.sym 13587 $abc$56607$n834 +.sym 13588 $abc$56607$n809 +.sym 13589 $abc$56607$n1537 +.sym 13590 I2C.byte_counter[0] +.sym 13593 $abc$56607$n673 +.sym 13594 $abc$56607$n807 +.sym 13595 I2C.is_read +.sym 13596 $abc$56607$n754 +.sym 13599 I2C.FLT_SDA.out +.sym 13600 $false .sym 13601 $false .sym 13602 $false -.sym 13607 $abc$32112$n908_1 -.sym 13608 $abc$32112$n906 -.sym 13609 $abc$32112$n925_1 -.sym 13611 $abc$32112$n905 -.sym 13612 $abc$32112$n910 -.sym 13613 KEYBOARD.isr -.sym 13680 $abc$32112$n865 -.sym 13681 $abc$32112$n912 -.sym 13682 $abc$32112$n902 -.sym 13683 $abc$32112$n948 -.sym 13686 $abc$32112$n899 -.sym 13687 $abc$32112$n924 -.sym 13688 $false -.sym 13689 $false -.sym 13692 $abc$32112$n885_1 -.sym 13693 $abc$32112$n890 -.sym 13694 I2C.byte_counter[0] -.sym 13695 $false -.sym 13698 $abc$32112$n911 -.sym 13699 $abc$32112$n905 -.sym 13700 $abc$32112$n901 -.sym 13701 $false -.sym 13704 $abc$32112$n913 -.sym 13705 $abc$32112$n918 -.sym 13706 $false -.sym 13707 $false -.sym 13710 $abc$32112$n866 -.sym 13711 $abc$32112$n886_1 -.sym 13712 $false -.sym 13713 $false -.sym 13716 $abc$32112$n903 -.sym 13717 $abc$32112$n885_1 -.sym 13718 $abc$32112$n902 +.sym 13603 $abc$56607$n1278 +.sym 13604 CLK$2$2 +.sym 13605 $false +.sym 13606 $abc$56607$n1095 +.sym 13607 $abc$56607$n809 +.sym 13608 $abc$56607$n1077 +.sym 13609 $abc$56607$n1478 +.sym 13610 $abc$56607$n1251 +.sym 13611 $abc$56607$n1096 +.sym 13612 i2c_input_data_type[2] +.sym 13613 i2c_input_data_type[1] +.sym 13680 $abc$56607$n1079 +.sym 13681 $abc$56607$n1082 +.sym 13682 $abc$56607$n745 +.sym 13683 $false +.sym 13686 $abc$56607$n1540 +.sym 13687 $abc$56607$n825 +.sym 13688 $abc$56607$n822 +.sym 13689 I2C.byte_counter[0] +.sym 13692 $abc$56607$n1073 +.sym 13693 I2C.received_byte[2] +.sym 13694 $abc$56607$n834 +.sym 13695 $abc$56607$n812 +.sym 13698 I2C.received_byte[1] +.sym 13699 $abc$56607$n1073 +.sym 13700 $abc$56607$n1079 +.sym 13701 $abc$56607$n745 +.sym 13704 I2C.received_byte[0] +.sym 13705 I2C.received_byte[3] +.sym 13706 I2C.received_byte[1] +.sym 13707 I2C.received_byte[2] +.sym 13710 I2C.received_byte[4] +.sym 13711 I2C.received_byte[5] +.sym 13712 $abc$56607$n828 +.sym 13713 $abc$56607$n835 +.sym 13716 $abc$56607$n812 +.sym 13717 $abc$56607$n828 +.sym 13718 $abc$56607$n835 .sym 13719 $false -.sym 13722 I2C.received_byte[7] -.sym 13723 $false -.sym 13724 $false +.sym 13722 I2C.received_byte[4] +.sym 13723 I2C.received_byte[5] +.sym 13724 $abc$56607$n1074 .sym 13725 $false -.sym 13726 $abc$32112$n2241 -.sym 13727 CLK$2$2 -.sym 13728 $false -.sym 13730 $abc$32112$n1014_1 -.sym 13731 $abc$32112$n1013 -.sym 13732 $abc$32112$n663 -.sym 13733 I2C_INPUT_DATA[3][3] -.sym 13734 I2C_INPUT_DATA[3][2] -.sym 13735 I2C_INPUT_DATA[3][1] -.sym 13736 I2C_INPUT_DATA[3][0] -.sym 13803 I2C_INPUT_DATA[2][4] -.sym 13804 I2C_INPUT_DATA[2][5] -.sym 13805 $abc$32112$n659 -.sym 13806 I2C_INPUT_DATA[3][1] -.sym 13809 I2C_INPUT_DATA[2][5] -.sym 13810 I2C_INPUT_DATA[3][0] -.sym 13811 $abc$32112$n659 -.sym 13812 I2C_INPUT_DATA[2][4] -.sym 13815 $abc$32112$n648 -.sym 13816 $abc$32112$n670 -.sym 13817 $abc$32112$n671_1 -.sym 13818 $abc$32112$n673 -.sym 13821 I2C_INPUT_DATA[3][0] -.sym 13822 I2C_INPUT_DATA[3][1] -.sym 13823 I2C_INPUT_DATA[2][0] +.sym 13729 $abc$56607$n848 +.sym 13730 $abc$56607$n1509 +.sym 13731 $abc$56607$n863 +.sym 13732 $abc$56607$n1075 +.sym 13733 $abc$56607$n1211 +.sym 13734 $abc$56607$n849 +.sym 13735 i2c_input_data_type[0] +.sym 13736 i2c_input_data_type[3] +.sym 13803 $abc$56607$n1073 +.sym 13804 I2C.received_byte[0] +.sym 13805 I2C.received_byte[4] +.sym 13806 $abc$56607$n1074 +.sym 13809 $abc$56607$n988 +.sym 13810 I2C.is_read +.sym 13811 $false +.sym 13812 $false +.sym 13815 $abc$56607$n667 +.sym 13816 $abc$56607$n747 +.sym 13817 $abc$56607$n742 +.sym 13818 $false +.sym 13821 $abc$56607$n1073 +.sym 13822 $abc$56607$n745 +.sym 13823 I2C.received_byte[3] .sym 13824 $false -.sym 13827 I2C_INPUT_DATA[2][5] -.sym 13828 I2C_INPUT_DATA[2][4] -.sym 13829 $abc$32112$n661 -.sym 13830 $abc$32112$n662 -.sym 13833 I2C.received_byte[4] -.sym 13834 $false -.sym 13835 $false -.sym 13836 $false -.sym 13839 I2C.received_byte[2] -.sym 13840 $false -.sym 13841 $false -.sym 13842 $false -.sym 13845 I2C.received_byte[0] +.sym 13827 $abc$56607$n769 +.sym 13828 I2C.FLT_SCL.RESET +.sym 13829 $false +.sym 13830 $false +.sym 13833 $abc$56607$n741 +.sym 13834 $abc$56607$n774 +.sym 13835 $abc$56607$n776 +.sym 13836 $abc$56607$n772 +.sym 13839 $abc$56607$n836 +.sym 13840 $abc$56607$n776 +.sym 13841 $abc$56607$n819 +.sym 13842 $abc$56607$n1544_1 +.sym 13845 $3\report_wr_en[0:0] .sym 13846 $false .sym 13847 $false .sym 13848 $false -.sym 13849 $abc$32112$n2241 +.sym 13849 $abc$56607$n1014 .sym 13850 CLK$2$2 -.sym 13851 $false -.sym 13852 $abc$32112$n664 -.sym 13853 $abc$32112$n674 -.sym 13854 $abc$32112$n1015_1 -.sym 13855 $abc$32112$n665 -.sym 13856 $abc$32112$n1012 -.sym 13857 $abc$32112$n646 -.sym 13858 $abc$32112$n1270 -.sym 13859 last_wr -.sym 13926 $abc$32112$n646 -.sym 13927 $abc$32112$n658 -.sym 13928 I2C_INPUT_DATA[3][0] -.sym 13929 $false +.sym 13851 $abc$56607$n23 +.sym 13852 $abc$56607$n873 +.sym 13853 $abc$56607$n760 +.sym 13854 $abc$56607$n901 +.sym 13855 $abc$56607$n1191 +.sym 13856 $abc$56607$n766 +.sym 13857 $abc$56607$n778 +.sym 13858 $abc$56607$n779 +.sym 13859 uart_double_ff +.sym 13926 $abc$56607$n741 +.sym 13927 $abc$56607$n774 +.sym 13928 $abc$56607$n754 +.sym 13929 $abc$56607$n988 .sym 13932 last_trans .sym 13933 I2C_TRANS .sym 13934 $false .sym 13935 $false -.sym 13938 I2C_INPUT_LEN[2] -.sym 13939 I2C_INPUT_LEN[0] -.sym 13940 $abc$32112$n666 -.sym 13941 I2C_INPUT_LEN[1] -.sym 13944 $abc$32112$n1270 -.sym 13945 $abc$32112$n970 -.sym 13946 $abc$32112$n969_1 +.sym 13938 $2\uart_double_ff[0:0] +.sym 13939 $abc$56607$n19 +.sym 13940 $false +.sym 13941 $false +.sym 13944 $abc$56607$n742 +.sym 13945 $abc$56607$n19 +.sym 13946 $abc$56607$n741 .sym 13947 $false -.sym 13950 I2C_TRANS -.sym 13951 last_trans -.sym 13952 $false +.sym 13950 $abc$56607$n742 +.sym 13951 $abc$56607$n19 +.sym 13952 $abc$56607$n776 .sym 13953 $false -.sym 13956 I2C_TRANS -.sym 13957 $false -.sym 13958 $false +.sym 13956 $abc$56607$n754 +.sym 13957 $abc$56607$n819 +.sym 13958 $abc$56607$n769 .sym 13959 $false -.sym 13968 UART.tx_activity +.sym 13962 $abc$56607$n742 +.sym 13963 $abc$56607$n19 +.sym 13964 $abc$56607$n769 +.sym 13965 $abc$56607$n870 +.sym 13968 I2C_TRANS .sym 13969 $false .sym 13970 $false .sym 13971 $false -.sym 13972 I2C.FLT_SCL.RESET +.sym 13972 $abc$56607$n1221 .sym 13973 CLK$2$2 -.sym 13974 $false -.sym 13975 $abc$32112$n396 -.sym 13976 $abc$32112$n678_1 -.sym 13977 $abc$32112$n682 -.sym 13978 $abc$32112$n675 -.sym 13979 $abc$32112$n677 -.sym 13980 $abc$32112$n435 -.sym 13981 $abc$32112$n627 -.sym 13982 LED1$2 -.sym 14049 $abc$32112$n631_1 -.sym 14050 $abc$32112$n632 -.sym 14051 $abc$32112$n634 +.sym 13974 $abc$56607$n35$2 +.sym 13975 $abc$56607$n2551 +.sym 13976 $abc$56607$n2548 +.sym 13977 $abc$56607$n1230 +.sym 13978 $abc$56607$n1233 +.sym 13979 $abc$56607$n1232_1 +.sym 13980 $abc$56607$n1231 +.sym 13981 report_data_wadr[3] +.sym 14049 $abc$56607$n733 +.sym 14050 $abc$56607$n670 +.sym 14051 $false .sym 14052 $false -.sym 14055 $abc$32112$n542 -.sym 14056 $abc$32112$n630_1 +.sym 14055 $abc$56607$n22 +.sym 14056 $3\report_wr_en[0:0] .sym 14057 I2C.FLT_SCL.RESET -.sym 14058 $abc$32112$n628 -.sym 14061 $abc$32112$n634 -.sym 14062 $abc$32112$n637 -.sym 14063 $false -.sym 14064 $false -.sym 14067 UART.tx_activity -.sym 14068 last_uart_active -.sym 14069 uart_double_ff +.sym 14058 $false +.sym 14067 ring_wr[3] +.sym 14068 $abc$56607$n1911 +.sym 14069 $abc$56607$n1089 .sym 14070 $false -.sym 14073 $abc$32112$n542 -.sym 14074 I2C.FLT_SCL.RESET +.sym 14073 $2\ring_wr[3:0][1] +.sym 14074 $false .sym 14075 $false .sym 14076 $false -.sym 14079 $abc$32112$n5 -.sym 14080 I2C.wr -.sym 14081 last_wr +.sym 14079 $2\ring_wr[3:0][2] +.sym 14080 $false +.sym 14081 $false .sym 14082 $false -.sym 14085 I2C_INPUT_LEN[2] -.sym 14086 I2C_INPUT_LEN[0] -.sym 14087 I2C_INPUT_LEN[1] -.sym 14088 $abc$32112$n666 -.sym 14091 $abc$32112$n632 -.sym 14092 $abc$32112$n628 +.sym 14085 $2\ring_wr[3:0][0] +.sym 14086 $false +.sym 14087 $false +.sym 14088 $false +.sym 14091 $2\ring_wr[3:0][3] +.sym 14092 $false .sym 14093 $false .sym 14094 $false -.sym 14095 $abc$32112$n405 +.sym 14095 $abc$56607$n992 .sym 14096 CLK$2$2 -.sym 14097 $0\KBD_FREEZE[0:0]$2 -.sym 14102 $abc$32112$n2002 -.sym 14103 $abc$32112$n2131 -.sym 14104 $abc$32112$n1706 -.sym 14105 I2C_INPUT_LEN[0] -.sym 14172 I2C_INPUT_LEN[1] -.sym 14173 $false -.sym 14174 $false -.sym 14175 $false -.sym 14178 I2C_INPUT_LEN[3] -.sym 14179 $false -.sym 14180 $false -.sym 14181 $false -.sym 14184 $false -.sym 14185 $false -.sym 14186 $abc$32112$n2140 -.sym 14187 $true$2 -.sym 14190 I2C_INPUT_LEN[3] -.sym 14191 $abc$32112$n667 -.sym 14192 $false -.sym 14193 $false -.sym 14202 I2C_INPUT_LEN[1] -.sym 14203 I2C_INPUT_LEN[0] -.sym 14204 $abc$32112$n1291_1 -.sym 14205 $abc$32112$n667 -.sym 14208 $abc$32112$n645 -.sym 14209 $abc$32112$n669 -.sym 14210 I2C_INPUT_LEN[2] -.sym 14211 I2C_INPUT_LEN[3] -.sym 14214 $0\uart_double_ff[0:0] -.sym 14215 $false -.sym 14216 $false +.sym 14097 $abc$56607$n35$2 +.sym 14098 $abc$56607$n1223 +.sym 14099 $abc$56607$n1228 +.sym 14100 $abc$56607$n1226 +.sym 14101 $abc$56607$n895 +.sym 14102 $abc$56607$n1227_1 +.sym 14103 $abc$56607$n1224 +.sym 14104 report_data_wadr[2] +.sym 14105 report_data_wadr[1] +.sym 14214 $abc$56607$n1089 +.sym 14215 ring_wr[0] +.sym 14216 ring_wr[1] .sym 14217 $false -.sym 14218 $abc$32112$n505 -.sym 14219 CLK$2$2 -.sym 14220 $false -.sym 14228 $abc$32112$n2169 -.sym 14295 I2C_INPUT_LEN[6] -.sym 14296 $false +.sym 14221 $abc$56607$n1541 +.sym 14222 $abc$56607$n896 +.sym 14224 UART.tx_clk_counter[0] +.sym 14226 UART.tx_clk_counter[1] +.sym 14257 $true +.sym 14294 UART.tx_clk_counter[0]$2 +.sym 14295 $false +.sym 14296 UART.tx_clk_counter[0] .sym 14297 $false .sym 14298 $false -.sym 14301 I2C_INPUT_LEN[4] -.sym 14302 $false -.sym 14303 $false -.sym 14304 $false -.sym 14307 I2C_INPUT_LEN[7] -.sym 14308 $false -.sym 14309 $false -.sym 14310 $false -.sym 14313 I2C_INPUT_LEN[5] -.sym 14314 $false -.sym 14315 $false -.sym 14316 $false -.sym 14319 I2C_INPUT_LEN[4] -.sym 14320 I2C_INPUT_LEN[5] -.sym 14321 I2C_INPUT_LEN[6] -.sym 14322 I2C_INPUT_LEN[7] -.sym 14325 $abc$32112$n630_1 -.sym 14326 $abc$32112$n1716 -.sym 14327 $false +.sym 14300 $auto$alumacc.cc:470:replace_alu$12197.C[2] +.sym 14302 UART.tx_clk_counter[1] +.sym 14303 $true$2 +.sym 14306 $auto$alumacc.cc:470:replace_alu$12197.C[3] +.sym 14307 $false +.sym 14308 UART.tx_clk_counter[2] +.sym 14309 $true$2 +.sym 14310 $auto$alumacc.cc:470:replace_alu$12197.C[2] +.sym 14313 $false +.sym 14314 UART.tx_clk_counter[3] +.sym 14315 $true$2 +.sym 14316 $auto$alumacc.cc:470:replace_alu$12197.C[3] +.sym 14319 KEYBOARD.init_ram_cnt[8] +.sym 14320 $false +.sym 14321 $false +.sym 14322 $false +.sym 14325 $abc$56607$n1541 +.sym 14326 $abc$56607$n1544 +.sym 14327 $abc$56607$n1545 .sym 14328 $false -.sym 14331 $abc$32112$n630_1 -.sym 14332 $abc$32112$n1720 +.sym 14331 $abc$56607$n1544 +.sym 14332 $abc$56607$n895 .sym 14333 $false .sym 14334 $false -.sym 14337 $abc$32112$n630_1 -.sym 14338 $abc$32112$n1718 +.sym 14337 $abc$56607$n1545 +.sym 14338 $abc$56607$n895 .sym 14339 $false .sym 14340 $false -.sym 14341 $abc$32112$n424 +.sym 14341 $abc$56607$n1315 .sym 14342 CLK$2$2 -.sym 14343 $0\KBD_FREEZE[0:0]$2 -.sym 14388 $abc$32112$n992 -.sym 14418 $abc$32112$n29 -.sym 14445 I2C_INPUT_DATA[4][5] -.sym 14450 I2C_INPUT_DATA[4][7] -.sym 14574 $abc$32112$n1986 -.sym 14575 $abc$32112$n1987 -.sym 14576 $abc$32112$n1988 -.sym 14577 $abc$32112$n1989 -.sym 14578 $abc$32112$n1990 -.sym 14579 $abc$32112$n1991 +.sym 14343 $abc$56607$n35$2 +.sym 14388 $abc$56607$n31 +.sym 14418 $abc$56607$n33 +.sym 14444 $abc$56607$n33 +.sym 14445 $abc$56607$n697 +.sym 14446 $abc$56607$n706 +.sym 14447 $abc$56607$n699 +.sym 14448 $abc$56607$n700 +.sym 14450 $abc$56607$n707 +.sym 14451 I2C.FLT_SDA.out +.sym 14519 KBD_COLUMNS[4]$2 +.sym 14520 $false +.sym 14521 $false +.sym 14522 $false +.sym 14525 KBD_COLUMNS[3]$2 +.sym 14526 $false +.sym 14527 $false +.sym 14528 $false +.sym 14531 KBD_COLUMNS[0]$2 +.sym 14532 $false +.sym 14533 $false +.sym 14534 $false +.sym 14543 KBD_COLUMNS[7]$2 +.sym 14544 $false +.sym 14545 $false +.sym 14546 $false +.sym 14549 KBD_COLUMNS[2]$2 +.sym 14550 $false +.sym 14551 $false +.sym 14552 $false +.sym 14555 KBD_COLUMNS[1]$2 +.sym 14556 $false +.sym 14557 $false +.sym 14558 $false +.sym 14561 KBD_COLUMNS[5]$2 +.sym 14562 $false +.sym 14563 $false +.sym 14564 $false +.sym 14565 $true +.sym 14566 CLK$2$2 +.sym 14567 $false +.sym 14570 KBD_COLUMNS[5]$2 +.sym 14572 $abc$56607$n2480 +.sym 14573 $abc$56607$n1501 +.sym 14574 $abc$56607$n1004 +.sym 14575 $abc$56607$n1632 +.sym 14576 $abc$56607$n1502 +.sym 14577 I2C.FLT_SDA.counter[1] +.sym 14578 I2C.FLT_SDA.counter[2] +.sym 14579 I2C.FLT_SDA.counter[0] +.sym 14644 $true +.sym 14681 I2C.FLT_SDA.counter[0]$2 .sym 14682 $false -.sym 14683 $true$2 -.sym 14684 $abc$32112$n1383 +.sym 14683 I2C.FLT_SDA.counter[0] +.sym 14684 $false .sym 14685 $false -.sym 14688 KEYBOARD.row_time[3] -.sym 14689 $abc$32112$n583 -.sym 14690 $abc$32112$n566 -.sym 14691 KEYBOARD.row_time[2] -.sym 14694 $abc$32112$n1987 -.sym 14695 $abc$32112$n1387 -.sym 14696 $abc$32112$n1135 -.sym 14697 $false -.sym 14700 KEYBOARD.row_time[3] -.sym 14701 KEYBOARD.row_time[2] -.sym 14702 $abc$32112$n564 -.sym 14703 $abc$32112$n566 -.sym 14706 KEYBOARD.row_time[3] -.sym 14707 $abc$32112$n566 -.sym 14708 $abc$32112$n564 -.sym 14709 KEYBOARD.row_time[2] -.sym 14712 KEYBOARD.row_time[3] -.sym 14713 $abc$32112$n566 -.sym 14714 $abc$32112$n564 -.sym 14715 KEYBOARD.row_time[2] -.sym 14718 I2C.received_byte[3] +.sym 14687 $auto$alumacc.cc:470:replace_alu$12161.C[2] +.sym 14689 I2C.FLT_SDA.counter[1] +.sym 14690 $true$2 +.sym 14694 $false +.sym 14695 I2C.FLT_SDA.counter[2] +.sym 14696 $true$2 +.sym 14697 $auto$alumacc.cc:470:replace_alu$12161.C[2] +.sym 14700 $abc$56607$n2231 +.sym 14701 KEYBOARD.row_counter[0] +.sym 14702 $abc$56607$n33$2 +.sym 14703 $false +.sym 14706 I2C.FLT_SDA.counter[0] +.sym 14707 I2C.FLT_SDA.counter[1] +.sym 14708 I2C.FLT_SDA.counter[2] +.sym 14709 $false +.sym 14712 $abc$56607$n1003 +.sym 14713 $abc$56607$n1635 +.sym 14714 $false +.sym 14715 $false +.sym 14718 $abc$56607$n27 .sym 14719 $false .sym 14720 $false .sym 14721 $false -.sym 14724 I2C.received_byte[4] -.sym 14725 $false -.sym 14726 $false -.sym 14727 $false -.sym 14728 $abc$32112$n2231 +.sym 14728 $abc$56607$n1490$2 .sym 14729 CLK$2$2 .sym 14730 $false -.sym 14731 $abc$32112$n2150 -.sym 14732 $abc$32112$n2147 -.sym 14733 $abc$32112$n1386 -.sym 14734 $abc$32112$n2145 -.sym 14735 $abc$32112$n1390 -.sym 14736 $abc$32112$n2148 -.sym 14737 I2C.byte_counter[5] -.sym 14738 I2C.byte_counter[2] -.sym 14805 $abc$32112$n1817 -.sym 14806 I2C.byte_counter[3] -.sym 14807 $false +.sym 14731 $abc$56607$n994 +.sym 14732 $abc$56607$n690 +.sym 14733 $abc$56607$n683 +.sym 14734 $abc$56607$n689 +.sym 14735 $abc$56607$n695 +.sym 14736 $abc$56607$n680 +.sym 14737 $abc$56607$n686 +.sym 14738 $abc$56607$n730 +.sym 14805 $abc$56607$n698 +.sym 14806 $abc$56607$n710 +.sym 14807 $abc$56607$n695 .sym 14808 $false -.sym 14811 $abc$32112$n691 -.sym 14812 $abc$32112$n1135 -.sym 14813 $false +.sym 14811 $abc$56607$n680 +.sym 14812 $abc$56607$n683 +.sym 14813 $abc$56607$n684 .sym 14814 $false -.sym 14817 $abc$32112$n1128 -.sym 14818 I2C.byte_counter[1] -.sym 14819 I2C.byte_counter[0] +.sym 14817 KEYBOARD.row_time[2] +.sym 14818 $abc$56607$n684 +.sym 14819 KEYBOARD.row_time[3] .sym 14820 $false -.sym 14823 $abc$32112$n1817 -.sym 14824 I2C.byte_counter[0] -.sym 14825 $false +.sym 14823 $abc$56607$n680 +.sym 14824 $abc$56607$n694 +.sym 14825 $abc$56607$n695 .sym 14826 $false -.sym 14829 $abc$32112$n1984 -.sym 14830 $abc$32112$n1128 -.sym 14831 $abc$32112$n1383 -.sym 14832 $abc$32112$n1126 -.sym 14835 $abc$32112$n1137 -.sym 14836 I2C.byte_counter[3] -.sym 14837 $abc$32112$n691 -.sym 14838 $false -.sym 14841 $abc$32112$n1991 -.sym 14842 $abc$32112$n1393 -.sym 14843 $abc$32112$n1134 +.sym 14829 $abc$56607$n698 +.sym 14830 $abc$56607$n724 +.sym 14831 $abc$56607$n683 +.sym 14832 $false +.sym 14835 KEYBOARD.row_time[2] +.sym 14836 $abc$56607$n694 +.sym 14837 $abc$56607$n686 +.sym 14838 KEYBOARD.row_time[3] +.sym 14841 $abc$56607$n694 +.sym 14842 KEYBOARD.row_time[2] +.sym 14843 KEYBOARD.row_time[3] .sym 14844 $false -.sym 14847 $abc$32112$n1384 -.sym 14848 $abc$32112$n1275_1 -.sym 14849 $abc$32112$n1126 +.sym 14847 $abc$56607$n2250 +.sym 14848 $false +.sym 14849 $false .sym 14850 $false -.sym 14851 $true +.sym 14851 $abc$56607$n1490$2 .sym 14852 CLK$2$2 .sym 14853 $false -.sym 14854 $abc$32112$n1384 -.sym 14855 $abc$32112$n827 -.sym 14856 $abc$32112$n611 -.sym 14859 $abc$32112$n2095 -.sym 14860 $abc$32112$n2149 -.sym 14861 KEYBOARD.last_data[14] -.sym 14928 $abc$32112$n582 -.sym 14929 $abc$32112$n569 -.sym 14930 $abc$32112$n10 -.sym 14931 I2C.FLT_SCL.RESET -.sym 14934 KEYBOARD.last_data[14] -.sym 14935 KEYBOARD.last_data[6] +.sym 14854 $abc$56607$n953 +.sym 14855 $abc$56607$n954 +.sym 14856 $abc$56607$n936 +.sym 14857 $abc$56607$n957 +.sym 14858 $abc$56607$n955 +.sym 14859 kbd_report[5][3] +.sym 14860 kbd_report[5][7] +.sym 14861 kbd_report[5][0] +.sym 14890 $true +.sym 14927 KEYBOARD.row_counter[0]$2 +.sym 14928 $false +.sym 14929 KEYBOARD.row_counter[0] +.sym 14930 $false +.sym 14931 $false +.sym 14933 $auto$alumacc.cc:470:replace_alu$12191.C[2] +.sym 14935 $false .sym 14936 KEYBOARD.row_counter[1] -.sym 14937 $false -.sym 14940 $abc$32112$n1817 -.sym 14941 I2C.byte_counter[7] -.sym 14942 $false -.sym 14943 $false -.sym 14952 I2C.byte_counter[5] -.sym 14953 I2C.byte_counter[4] -.sym 14954 I2C.byte_counter[7] +.sym 14939 $auto$alumacc.cc:470:replace_alu$12191.C[3] +.sym 14940 $false +.sym 14941 $false +.sym 14942 KEYBOARD.row_counter[2] +.sym 14943 $auto$alumacc.cc:470:replace_alu$12191.C[2] +.sym 14946 $false +.sym 14947 $false +.sym 14948 KEYBOARD.row_counter[3] +.sym 14949 $auto$alumacc.cc:470:replace_alu$12191.C[3] +.sym 14952 $abc$56607$n28 +.sym 14953 KEYBOARD.row_counter[3] +.sym 14954 $abc$56607$n33$2 .sym 14955 $false -.sym 14958 $abc$32112$n1384 -.sym 14959 $abc$32112$n890 -.sym 14960 $abc$32112$n1130 -.sym 14961 I2C.byte_counter[0] -.sym 14964 $abc$32112$n1129 -.sym 14965 $abc$32112$n1392 +.sym 14958 $abc$56607$n27 +.sym 14959 KEYBOARD.kbd_code_hid[5] +.sym 14960 $false +.sym 14961 $false +.sym 14964 KEYBOARD.kbd_code_hid[0] +.sym 14965 $false .sym 14966 $false .sym 14967 $false -.sym 14970 KEYBOARD.COLS_SHADOW[2] +.sym 14970 KEYBOARD.kbd_code_hid[3] .sym 14971 $false .sym 14972 $false .sym 14973 $false -.sym 14974 $abc$32112$n785 +.sym 14974 $abc$56607$n1328 .sym 14975 CLK$2$2 -.sym 14976 $0\KBD_FREEZE[0:0]$2 -.sym 14977 $abc$32112$n725 -.sym 14978 $abc$32112$n594 -.sym 14979 $abc$32112$n609 -.sym 14980 $abc$32112$n610 -.sym 14981 $abc$32112$n66 -.sym 14982 $abc$32112$n61 -.sym 14983 $abc$32112$n765 -.sym 14984 KEYBOARD.last_data[3] -.sym 15051 $abc$32112$n1287 -.sym 15052 KEYBOARD.COLS_SHADOW[2] -.sym 15053 KEYBOARD.is_pressed -.sym 15054 $abc$32112$n582 -.sym 15057 $abc$32112$n1286_1 -.sym 15058 $abc$32112$n1285_1 -.sym 15059 KEYBOARD.row_counter[0] +.sym 14976 $abc$56607$n27 +.sym 14977 $abc$56607$n952 +.sym 14978 $abc$56607$n1435 +.sym 14979 $abc$56607$n1477 +.sym 14980 $abc$56607$n951 +.sym 14981 $abc$56607$n998 +.sym 14982 $abc$56607$n961 +.sym 14983 KEYBOARD.report[1][7] +.sym 14984 KEYBOARD.report[1][6] +.sym 15051 $abc$56607$n27 +.sym 15052 $abc$56607$n909 +.sym 15053 $abc$56607$n927 +.sym 15054 $false +.sym 15057 $abc$56607$n27 +.sym 15058 $abc$56607$n905 +.sym 15059 $abc$56607$n927 .sym 15060 $false -.sym 15063 $abc$32112$n1287 -.sym 15064 KEYBOARD.COLS_SHADOW[2] -.sym 15065 $abc$32112$n582 -.sym 15066 $abc$32112$n598 -.sym 15069 $abc$32112$n596 -.sym 15070 $abc$32112$n1290 -.sym 15071 KEYBOARD.COLS_SHADOW[0] -.sym 15072 $abc$32112$n591 -.sym 15075 KEYBOARD.last_data[10] -.sym 15076 KEYBOARD.last_data[2] -.sym 15077 KEYBOARD.row_counter[1] -.sym 15078 $false -.sym 15081 $abc$32112$n582 -.sym 15082 $abc$32112$n596 -.sym 15083 $abc$32112$n10 -.sym 15084 I2C.FLT_SCL.RESET -.sym 15087 $abc$32112$n563 -.sym 15088 $abc$32112$n582 -.sym 15089 $abc$32112$n725 -.sym 15090 $abc$32112$n726 -.sym 15093 KEYBOARD.COLS_SHADOW[2] -.sym 15094 $false -.sym 15095 $false -.sym 15096 $false -.sym 15097 $abc$32112$n749 +.sym 15063 $abc$56607$n1516 +.sym 15064 $abc$56607$n989 +.sym 15065 $abc$56607$n959 +.sym 15066 $false +.sym 15069 $abc$56607$n912 +.sym 15070 $abc$56607$n905 +.sym 15071 $false +.sym 15072 $false +.sym 15075 $abc$56607$n976 +.sym 15076 $abc$56607$n1516 +.sym 15077 $abc$56607$n998 +.sym 15078 $abc$56607$n997 +.sym 15081 $abc$56607$n906 +.sym 15082 $abc$56607$n909 +.sym 15083 $abc$56607$n27 +.sym 15084 $abc$56607$n927 +.sym 15087 $abc$56607$n906 +.sym 15088 $abc$56607$n909 +.sym 15089 $false +.sym 15090 $false +.sym 15093 $abc$56607$n27 +.sym 15094 $abc$56607$n904 +.sym 15095 $abc$56607$n932 +.sym 15096 $abc$56607$n920 +.sym 15097 $abc$56607$n1483 .sym 15098 CLK$2$2 -.sym 15099 $0\KBD_FREEZE[0:0]$2 -.sym 15100 $abc$32112$n602 -.sym 15101 $abc$32112$n568 -.sym 15102 $abc$32112$n576 -.sym 15103 $abc$32112$n601_1 -.sym 15104 $abc$32112$n69 -.sym 15105 $abc$32112$n554 -.sym 15106 $abc$32112$n63 -.sym 15107 $abc$32112$n60 -.sym 15174 $abc$32112$n724 -.sym 15175 $abc$32112$n727 -.sym 15176 $abc$32112$n729 +.sym 15099 $abc$56607$n35$2 +.sym 15100 $abc$56607$n985_1 +.sym 15101 $abc$56607$n987 +.sym 15102 $abc$56607$n1212 +.sym 15103 $abc$56607$n1514 +.sym 15104 $abc$56607$n1516 +.sym 15105 kbd_report[5][1] +.sym 15106 kbd_report[5][6] +.sym 15107 kbd_report[5][2] +.sym 15174 $abc$56607$n910 +.sym 15175 $abc$56607$n911 +.sym 15176 $false .sym 15177 $false -.sym 15180 $abc$32112$n582 -.sym 15181 $abc$32112$n585 -.sym 15182 $abc$32112$n10 -.sym 15183 I2C.FLT_SCL.RESET -.sym 15186 $abc$32112$n1290 -.sym 15187 KEYBOARD.is_pressed -.sym 15188 KEYBOARD.COLS_SHADOW[0] -.sym 15189 $abc$32112$n591 -.sym 15192 $abc$32112$n585 -.sym 15193 $abc$32112$n1290 -.sym 15194 KEYBOARD.COLS_SHADOW[0] -.sym 15195 $abc$32112$n591 -.sym 15198 $abc$32112$n1281_1 -.sym 15199 KEYBOARD.COLS_SHADOW[1] -.sym 15200 KEYBOARD.is_pressed -.sym 15201 $abc$32112$n563 -.sym 15204 $abc$32112$n724 -.sym 15205 $abc$32112$n727 -.sym 15206 $abc$32112$n729 -.sym 15207 $abc$32112$n730 -.sym 15210 $abc$32112$n728 -.sym 15211 $abc$32112$n591 +.sym 15180 KEYBOARD.report[1][4] +.sym 15181 KEYBOARD.report[1][5] +.sym 15182 KEYBOARD.report[1][6] +.sym 15183 KEYBOARD.report[1][7] +.sym 15186 KEYBOARD.report[1][3] +.sym 15187 kbd_report[5][3] +.sym 15188 $abc$56607$n312 +.sym 15189 $abc$56607$n1113_1 +.sym 15192 KEYBOARD.kbd_code_hid[5] +.sym 15193 KEYBOARD.report[1][5] +.sym 15194 KEYBOARD.kbd_code_hid[3] +.sym 15195 KEYBOARD.report[1][3] +.sym 15198 $abc$56607$n2460 +.sym 15199 $false +.sym 15200 $false +.sym 15201 $false +.sym 15204 $abc$56607$n2458 +.sym 15205 $false +.sym 15206 $false +.sym 15207 $false +.sym 15210 $abc$56607$n2463 +.sym 15211 $false .sym 15212 $false .sym 15213 $false -.sym 15216 KEYBOARD.COLS_SHADOW[2] +.sym 15216 $abc$56607$n2461 .sym 15217 $false .sym 15218 $false .sym 15219 $false -.sym 15220 $abc$32112$n806 +.sym 15220 $abc$56607$n1450 .sym 15221 CLK$2$2 -.sym 15222 $0\KBD_FREEZE[0:0]$2 -.sym 15223 $abc$32112$n606 -.sym 15224 $abc$32112$n800 -.sym 15225 $abc$32112$n600 -.sym 15226 $abc$32112$n593 -.sym 15227 $abc$32112$n569 -.sym 15228 $abc$32112$n822 -.sym 15229 $abc$32112$n615 -.sym 15230 KEYBOARD.last_data[9] -.sym 15303 $abc$32112$n823 -.sym 15304 $abc$32112$n569 -.sym 15305 I2C.FLT_SCL.RESET +.sym 15222 $abc$56607$n35$2 +.sym 15223 $abc$56607$n1150 +.sym 15224 $abc$56607$n1111 +.sym 15225 $abc$56607$n1112 +.sym 15226 $abc$56607$n1151 +.sym 15227 $abc$56607$n1517 +.sym 15228 $abc$56607$n1523_1 +.sym 15229 $abc$56607$n1518 +.sym 15230 $abc$56607$n1149 +.sym 15297 KEYBOARD.report[1][0] +.sym 15298 KEYBOARD.report[1][1] +.sym 15299 KEYBOARD.report[1][2] +.sym 15300 KEYBOARD.report[1][3] +.sym 15303 KEYBOARD.kbd_code_hid[1] +.sym 15304 KEYBOARD.kbd_code_hid[2] +.sym 15305 KEYBOARD.kbd_code_hid[0] .sym 15306 $false -.sym 15315 $abc$32112$n1280_1 -.sym 15316 $abc$32112$n1279 -.sym 15317 KEYBOARD.row_counter[0] -.sym 15318 $false -.sym 15321 $abc$32112$n823 -.sym 15322 $abc$32112$n596 -.sym 15323 I2C.FLT_SCL.RESET -.sym 15324 $false -.sym 15327 KEYBOARD.last_data[9] -.sym 15328 KEYBOARD.last_data[1] -.sym 15329 KEYBOARD.row_counter[1] -.sym 15330 $false -.sym 15333 $abc$32112$n10 -.sym 15334 $abc$32112$n563 -.sym 15335 $false +.sym 15309 $abc$56607$n1120 +.sym 15310 KEYBOARD.report[0][3] +.sym 15311 $abc$56607$n1164 +.sym 15312 $false +.sym 15315 $abc$56607$n1116 +.sym 15316 $abc$56607$n1523_1 +.sym 15317 $abc$56607$n1522 +.sym 15318 $abc$56607$n1163 +.sym 15321 KEYBOARD.report[0][5] +.sym 15322 $abc$56607$n1120 +.sym 15323 $abc$56607$n1189 +.sym 15324 $abc$56607$n1116 +.sym 15327 KEYBOARD.report[0][5] +.sym 15328 KEYBOARD.report[1][5] +.sym 15329 $abc$56607$n1124 +.sym 15330 $abc$56607$n1116 +.sym 15333 $abc$56607$n27 +.sym 15334 KEYBOARD.report[0][6] +.sym 15335 $abc$56607$n1377 .sym 15336 $false -.sym 15339 KEYBOARD.COLS_SHADOW[1] -.sym 15340 $false -.sym 15341 $false +.sym 15339 $abc$56607$n27 +.sym 15340 KEYBOARD.report[0][5] +.sym 15341 $abc$56607$n1375 .sym 15342 $false -.sym 15343 $abc$32112$n743 +.sym 15343 $abc$56607$n1457 .sym 15344 CLK$2$2 -.sym 15345 $0\KBD_FREEZE[0:0]$2 -.sym 15346 $abc$32112$n693 -.sym 15347 $abc$32112$n717 -.sym 15348 $abc$32112$n621 -.sym 15349 $abc$32112$n1174 -.sym 15350 $abc$32112$n794 -.sym 15351 KEYBOARD.report[7] -.sym 15352 KEYBOARD.report[6] -.sym 15353 KEYBOARD.report[5] -.sym 15420 I2C.byte_counter[5] -.sym 15421 I2C.byte_counter[6] -.sym 15422 I2C.byte_counter[7] -.sym 15423 I2C.byte_counter[4] -.sym 15426 $abc$32112$n69 -.sym 15427 $abc$32112$n621 -.sym 15428 $abc$32112$n723 -.sym 15429 $false -.sym 15438 I2C.byte_counter[5] -.sym 15439 I2C.byte_counter[4] -.sym 15440 I2C.byte_counter[6] -.sym 15441 I2C.byte_counter[7] -.sym 15444 $abc$32112$n69 -.sym 15445 $abc$32112$n621 -.sym 15446 $abc$32112$n10 -.sym 15447 $false -.sym 15450 KEYBOARD.last_data[13] -.sym 15451 KEYBOARD.last_data[5] -.sym 15452 KEYBOARD.row_counter[1] +.sym 15345 $abc$56607$n35$2 +.sym 15346 $abc$56607$n1529_1 +.sym 15347 $abc$56607$n1204 +.sym 15348 $abc$56607$n1203 +.sym 15349 $abc$56607$n1202 +.sym 15350 $abc$56607$n1138 +.sym 15351 $abc$56607$n1145 +.sym 15352 $abc$56607$n1128 +.sym 15353 KEYBOARD.report[2][6] +.sym 15426 $abc$56607$n1126 +.sym 15427 KEYBOARD.report[1][6] +.sym 15428 $abc$56607$n1124 +.sym 15429 $abc$56607$n1202 +.sym 15432 $abc$56607$n1126 +.sym 15433 KEYBOARD.report[1][0] +.sym 15434 $false +.sym 15435 $false +.sym 15438 KEYBOARD.report[1][6] +.sym 15439 kbd_report[5][6] +.sym 15440 $abc$56607$n312 +.sym 15441 $abc$56607$n1113_1 +.sym 15444 wr_cnt[0] +.sym 15445 wr_cnt[2] +.sym 15446 wr_cnt[3] +.sym 15447 wr_cnt[1] +.sym 15450 wr_cnt[2] +.sym 15451 wr_cnt[1] +.sym 15452 $abc$56607$n321 .sym 15453 $false -.sym 15456 $abc$32112$n1252_1 -.sym 15457 I2C.FLT_SCL.RESET +.sym 15456 $abc$56607$n27 +.sym 15457 KEYBOARD.kbd_code_hid[0] .sym 15458 $false .sym 15459 $false -.sym 15462 KEYBOARD.COLS_SHADOW[1] -.sym 15463 $false -.sym 15464 $false +.sym 15462 $abc$56607$n1528 +.sym 15463 $abc$56607$n1529_1 +.sym 15464 $abc$56607$n1530_1 .sym 15465 $false -.sym 15466 $abc$32112$n779 -.sym 15467 CLK$2$2 -.sym 15468 $0\KBD_FREEZE[0:0]$2 -.sym 15469 $abc$32112$n1059 -.sym 15470 $abc$32112$n1063 -.sym 15471 $abc$32112$n2094 -.sym 15472 $abc$32112$n1073 -.sym 15473 $abc$32112$n720 -.sym 15474 $abc$32112$n1077 -.sym 15475 $abc$32112$n816_1 -.sym 15476 $abc$32112$n806_1 -.sym 15543 I2C.byte_counter[1] -.sym 15544 I2C.byte_counter[3] -.sym 15545 I2C.byte_counter[0] -.sym 15546 I2C.byte_counter[2] -.sym 15549 I2C.byte_counter[2] -.sym 15550 I2C.byte_counter[3] -.sym 15551 $false +.sym 15469 $abc$56607$n1115 +.sym 15470 $abc$56607$n1140 +.sym 15471 $abc$56607$n1127 +.sym 15472 $abc$56607$n1144 +.sym 15473 $abc$56607$n1134 +.sym 15475 $abc$56607$n1146 +.sym 15476 KEYBOARD.report[2][1] +.sym 15543 UART_WR +.sym 15544 UART.TX_sig_last +.sym 15545 UART.tx_activity +.sym 15546 $false +.sym 15549 wr_cnt[2] +.sym 15550 wr_cnt[1] +.sym 15551 $abc$56607$n321 .sym 15552 $false -.sym 15555 I2C.byte_counter[1] -.sym 15556 I2C.byte_counter[0] -.sym 15557 $false -.sym 15558 $false -.sym 15561 $abc$32112$n871 -.sym 15562 $abc$32112$n884 -.sym 15563 $false -.sym 15564 $false -.sym 15567 I2C.byte_counter[5] -.sym 15568 I2C.byte_counter[4] -.sym 15569 I2C.byte_counter[7] -.sym 15570 $abc$32112$n1311 -.sym 15579 $abc$32112$n878_1 -.sym 15580 $abc$32112$n890 -.sym 15581 $abc$32112$n884 +.sym 15555 $abc$56607$n1140 +.sym 15556 $abc$56607$n1145 +.sym 15557 KEYBOARD.report[0][1] +.sym 15558 $abc$56607$n1124 +.sym 15561 $abc$56607$n1133 +.sym 15562 $abc$56607$n1139 +.sym 15563 $abc$56607$n1130 +.sym 15564 $abc$56607$n1116 +.sym 15567 wr_cnt[2] +.sym 15568 wr_cnt[3] +.sym 15569 wr_cnt[0] +.sym 15570 $false +.sym 15573 $abc$56607$n1120 +.sym 15574 KEYBOARD.report[0][1] +.sym 15575 $abc$56607$n1134 +.sym 15576 $false +.sym 15579 UART_WR +.sym 15580 $false +.sym 15581 $false .sym 15582 $false -.sym 15585 $abc$32112$n873 -.sym 15586 $abc$32112$n884 -.sym 15587 $abc$32112$n879 -.sym 15588 I2C.byte_counter[6] -.sym 15592 $abc$32112$n1310 -.sym 15593 $abc$32112$n1255 -.sym 15594 $abc$32112$n1259 -.sym 15595 $abc$32112$n770_1 -.sym 15596 $abc$32112$n768 -.sym 15597 $abc$32112$n1257_1 -.sym 15598 $abc$32112$n1278_1 -.sym 15599 $abc$32112$n808 -.sym 15666 I2C.byte_counter[1] -.sym 15667 I2C.byte_counter[0] -.sym 15668 $false -.sym 15669 $false -.sym 15672 I2C.byte_counter[3] -.sym 15673 $abc$32112$n884 -.sym 15674 I2C.byte_counter[2] -.sym 15675 $false -.sym 15678 I2C.byte_counter[4] -.sym 15679 $abc$32112$n870 -.sym 15680 $false +.sym 15585 $abc$56607$n896 +.sym 15586 $abc$56607$n898 +.sym 15587 $abc$56607$n893 +.sym 15588 $false +.sym 15589 I2C.FLT_SCL.RESET +.sym 15590 CLK$2$2 +.sym 15591 $false +.sym 15592 $abc$56607$n1014 +.sym 15593 $abc$56607$n756 +.sym 15594 $abc$56607$n770 +.sym 15595 $abc$56607$n811 +.sym 15596 $abc$56607$n759 +.sym 15597 $abc$56607$n758 +.sym 15598 $abc$56607$n810 +.sym 15599 $abc$56607$n769 +.sym 15666 I2C.received_byte[0] +.sym 15667 I2C.received_byte[1] +.sym 15668 i2c_input_data_type[0] +.sym 15669 $abc$56607$n809 +.sym 15672 I2C.is_read +.sym 15673 $abc$56607$n832 +.sym 15674 $abc$56607$n807 +.sym 15675 $abc$56607$n736 +.sym 15678 $abc$56607$n1503 +.sym 15679 $abc$56607$n1504 +.sym 15680 $abc$56607$n769 .sym 15681 $false -.sym 15684 I2C.byte_counter[3] -.sym 15685 I2C.byte_counter[2] -.sym 15686 $abc$32112$n884 -.sym 15687 $abc$32112$n870 -.sym 15690 I2C.byte_counter[6] -.sym 15691 I2C.byte_counter[7] -.sym 15692 I2C.byte_counter[5] +.sym 15684 $abc$56607$n816_1 +.sym 15685 $abc$56607$n805 +.sym 15686 $abc$56607$n810 +.sym 15687 I2C.FLT_SCL.RESET +.sym 15690 $abc$56607$n809 +.sym 15691 i2c_input_data_type[0] +.sym 15692 $false .sym 15693 $false -.sym 15696 $abc$32112$n871 -.sym 15697 $abc$32112$n896 -.sym 15698 $false -.sym 15699 $false -.sym 15702 I2C.byte_counter[3] -.sym 15703 I2C.byte_counter[2] -.sym 15704 $abc$32112$n874 -.sym 15705 $false -.sym 15708 $abc$32112$n870 -.sym 15709 I2C.byte_counter[4] -.sym 15710 $false -.sym 15711 $false -.sym 15715 $abc$32112$n1060 -.sym 15716 $abc$32112$n1335 -.sym 15717 $abc$32112$n1309_1 -.sym 15718 $abc$32112$n817 -.sym 15719 $abc$32112$n810 -.sym 15720 $abc$32112$n1062 -.sym 15721 $abc$32112$n678 -.sym 15722 KEYBOARD.report[63] -.sym 15795 I2C.byte_counter[1] -.sym 15796 I2C.byte_counter[2] -.sym 15797 I2C.byte_counter[3] -.sym 15798 I2C.byte_counter[0] -.sym 15801 I2C.byte_counter[3] -.sym 15802 $abc$32112$n907 -.sym 15803 $abc$32112$n908_1 -.sym 15804 $abc$32112$n878_1 -.sym 15807 $abc$32112$n878_1 -.sym 15808 $abc$32112$n910 -.sym 15809 $abc$32112$n926 -.sym 15810 $abc$32112$n927_1 -.sym 15819 $abc$32112$n909 -.sym 15820 $abc$32112$n910 -.sym 15821 $abc$32112$n885_1 -.sym 15822 $abc$32112$n906 -.sym 15825 I2C.byte_counter[1] -.sym 15826 I2C.byte_counter[3] -.sym 15827 I2C.byte_counter[2] -.sym 15828 I2C.byte_counter[0] -.sym 15831 $abc$32112$n69 -.sym 15832 $abc$32112$n621 -.sym 15833 $false +.sym 15696 $abc$56607$n815_1 +.sym 15697 $abc$56607$n806 +.sym 15698 $abc$56607$n807 +.sym 15699 $abc$56607$n22 +.sym 15702 $abc$56607$n808 +.sym 15703 $abc$56607$n805 +.sym 15704 $abc$56607$n806 +.sym 15705 $abc$56607$n807 +.sym 15708 $abc$56607$n804 +.sym 15709 $abc$56607$n754 +.sym 15710 $abc$56607$n1502_1 +.sym 15711 I2C.is_read +.sym 15715 $abc$56607$n270 +.sym 15716 $abc$56607$n771 +.sym 15717 $abc$56607$n754 +.sym 15718 $abc$56607$n815_1 +.sym 15719 $abc$56607$n822 +.sym 15720 $abc$56607$n2620 +.sym 15721 $abc$56607$n269 +.sym 15722 temp_output_report[2] +.sym 15789 i2c_input_data_type[3] +.sym 15790 i2c_input_data_type[2] +.sym 15791 $abc$56607$n1513 +.sym 15792 i2c_input_data_type[0] +.sym 15795 i2c_input_data_type[3] +.sym 15796 i2c_input_data_type[1] +.sym 15797 i2c_input_data_type[2] +.sym 15798 $false +.sym 15801 $abc$56607$n1513 +.sym 15802 i2c_input_data_type[1] +.sym 15803 $false +.sym 15804 $false +.sym 15807 i2c_input_data_type[0] +.sym 15808 i2c_input_data_type[3] +.sym 15809 $abc$56607$n1077 +.sym 15810 $false +.sym 15813 i2c_input_data_type[3] +.sym 15814 $abc$56607$n848 +.sym 15815 i2c_input_data_type[2] +.sym 15816 $false +.sym 15819 i2c_input_data_type[3] +.sym 15820 i2c_input_data_type[2] +.sym 15821 $abc$56607$n1513 +.sym 15822 i2c_input_data_type[1] +.sym 15825 $abc$56607$n1075 +.sym 15826 i2c_input_data_type[2] +.sym 15827 $abc$56607$n1513 +.sym 15828 $abc$56607$n1081 +.sym 15831 $abc$56607$n1075 +.sym 15832 $abc$56607$n1077 +.sym 15833 $abc$56607$n1078 .sym 15834 $false -.sym 15835 $abc$32112$n839$2 +.sym 15835 $abc$56607$n1155 .sym 15836 CLK$2$2 .sym 15837 $false -.sym 15838 $abc$32112$n1305_1 -.sym 15839 $abc$32112$n1334_1 -.sym 15840 $abc$32112$n789 -.sym 15841 $abc$32112$n1076 -.sym 15842 $abc$32112$n788 -.sym 15843 KEYBOARD.report[45] -.sym 15844 KEYBOARD.report[44] -.sym 15845 KEYBOARD.report[43] -.sym 15918 I2C_INPUT_DATA[3][1] -.sym 15919 $abc$32112$n1015_1 -.sym 15920 $abc$32112$n663 -.sym 15921 $false -.sym 15924 $abc$32112$n670 -.sym 15925 $abc$32112$n1014_1 -.sym 15926 $abc$32112$n660 -.sym 15927 $false -.sym 15930 I2C_INPUT_DATA[3][2] -.sym 15931 I2C_INPUT_DATA[3][3] +.sym 15840 $abc$56607$n312 +.sym 15841 $abc$56607$n772 +.sym 15842 $abc$56607$n881 +.sym 15843 $abc$56607$n773 +.sym 15844 $abc$56607$n768 +.sym 15845 UART_WR +.sym 15912 i2c_input_data_type[1] +.sym 15913 I2C.is_read +.sym 15914 $abc$56607$n849 +.sym 15915 $abc$56607$n988 +.sym 15918 I2C.is_read +.sym 15919 $abc$56607$n1513 +.sym 15920 $abc$56607$n863 +.sym 15921 $abc$56607$n988 +.sym 15924 i2c_input_data_type[1] +.sym 15925 i2c_input_data_type[0] +.sym 15926 i2c_input_data_type[3] +.sym 15927 i2c_input_data_type[2] +.sym 15930 $2\uart_double_ff[0:0] +.sym 15931 $abc$56607$n745 .sym 15932 $false .sym 15933 $false -.sym 15936 I2C.received_byte[3] -.sym 15937 $false -.sym 15938 $false -.sym 15939 $false -.sym 15942 I2C.received_byte[2] -.sym 15943 $false +.sym 15936 $abc$56607$n741 +.sym 15937 $abc$56607$n848 +.sym 15938 $abc$56607$n778 +.sym 15939 $abc$56607$n1509 +.sym 15942 $abc$56607$n1513 +.sym 15943 i2c_input_data_type[0] .sym 15944 $false .sym 15945 $false -.sym 15948 I2C.received_byte[1] -.sym 15949 $false -.sym 15950 $false -.sym 15951 $false -.sym 15954 I2C.received_byte[0] -.sym 15955 $false -.sym 15956 $false -.sym 15957 $false -.sym 15958 $abc$32112$n2237 +.sym 15948 $abc$56607$n849 +.sym 15949 $abc$56607$n1075 +.sym 15950 $abc$56607$n1072 +.sym 15951 $abc$56607$n745 +.sym 15954 $abc$56607$n1075 +.sym 15955 i2c_input_data_type[3] +.sym 15956 $abc$56607$n1513 +.sym 15957 $abc$56607$n1084 +.sym 15958 $abc$56607$n1155 .sym 15959 CLK$2$2 .sym 15960 $false -.sym 15961 COM_DCD$2 -.sym 15963 $abc$32112$n1061 -.sym 15964 $abc$32112$n1074 -.sym 15965 $abc$32112$n1628 -.sym 15966 KEYBOARD.report[61] -.sym 16035 I2C_INPUT_LEN[1] -.sym 16036 I2C_INPUT_LEN[0] -.sym 16037 $abc$32112$n665 -.sym 16038 $false -.sym 16041 I2C_INPUT_LEN[0] -.sym 16042 $abc$32112$n666 -.sym 16043 I2C_INPUT_LEN[1] +.sym 15962 $abc$56607$n1943 +.sym 15963 $abc$56607$n1946 +.sym 15964 $abc$56607$n1949 +.sym 15965 $abc$56607$n867 +.sym 15966 $abc$56607$n781 +.sym 15967 $abc$56607$n1227 +.sym 15968 last_uart_active +.sym 16035 $abc$56607$n760 +.sym 16036 $abc$56607$n753 +.sym 16037 $abc$56607$n756 +.sym 16038 $abc$56607$n1501_1 +.sym 16041 $abc$56607$n763 +.sym 16042 $abc$56607$n766 +.sym 16043 $abc$56607$n761 .sym 16044 $false -.sym 16047 I2C_INPUT_LEN[0] -.sym 16048 I2C_INPUT_LEN[1] -.sym 16049 I2C_INPUT_DATA[3][0] +.sym 16047 $abc$56607$n779 +.sym 16048 $abc$56607$n778 +.sym 16049 $abc$56607$n1501_1 .sym 16050 $false -.sym 16053 $abc$32112$n666 -.sym 16054 I2C_INPUT_LEN[2] -.sym 16055 $false +.sym 16053 $abc$56607$n741 +.sym 16054 $abc$56607$n753 +.sym 16055 $abc$56607$n756 .sym 16056 $false -.sym 16059 $abc$32112$n675 -.sym 16060 $abc$32112$n647 -.sym 16061 $abc$32112$n665 -.sym 16062 $abc$32112$n1013 -.sym 16065 $abc$32112$n647 -.sym 16066 $abc$32112$n653 +.sym 16059 I2C_TRANS +.sym 16060 UART_WR +.sym 16061 $abc$56607$n751 +.sym 16062 int_tmr[19] +.sym 16065 $abc$56607$n769 +.sym 16066 $abc$56607$n753 .sym 16067 $false .sym 16068 $false -.sym 16071 $abc$32112$n646 -.sym 16072 $abc$32112$n674 -.sym 16073 I2C_INPUT_DATA[3][1] +.sym 16071 UART_WR +.sym 16072 int_tmr[19] +.sym 16073 $abc$56607$n761 .sym 16074 $false -.sym 16077 I2C.wr +.sym 16077 $2\uart_double_ff[0:0] .sym 16078 $false .sym 16079 $false .sym 16080 $false -.sym 16081 I2C.FLT_SCL.RESET +.sym 16081 $abc$56607$n1236 .sym 16082 CLK$2$2 -.sym 16083 $false -.sym 16084 $abc$32112$n419 -.sym 16085 $abc$32112$n501 -.sym 16086 $abc$32112$n1293 -.sym 16088 $abc$32112$n626 -.sym 16089 $abc$32112$n633 -.sym 16090 last_isr -.sym 16158 I2C.is_read -.sym 16159 $abc$32112$n635 -.sym 16160 $abc$32112$n634 -.sym 16161 $abc$32112$n626 -.sym 16164 I2C.is_read -.sym 16165 $abc$32112$n674 -.sym 16166 $abc$32112$n634 -.sym 16167 $false -.sym 16170 $abc$32112$n676 -.sym 16171 I2C.is_read -.sym 16172 $abc$32112$n634 -.sym 16173 $false -.sym 16176 $abc$32112$n676 -.sym 16177 I2C.is_read -.sym 16178 $abc$32112$n634 -.sym 16179 $false -.sym 16182 I2C.FLT_SCL.RESET -.sym 16183 I2C.wr -.sym 16184 last_wr -.sym 16185 $abc$32112$n678_1 -.sym 16188 $abc$32112$n634 -.sym 16189 I2C.is_read -.sym 16190 $abc$32112$n626 +.sym 16083 $abc$56607$n35$2 +.sym 16084 $6\report_data_wadr[7:0][0] +.sym 16085 $abc$56607$n2671 +.sym 16086 $abc$56607$n1249_1 +.sym 16087 $abc$56607$n2549 +.sym 16088 $abc$56607$n1236_1 +.sym 16089 report_data_wadr[4] +.sym 16090 report_data_wadr[7] +.sym 16091 report_data_wadr[0] +.sym 16158 $2\ring_wr[3:0][2] +.sym 16159 $2\ring_wr[3:0][0] +.sym 16160 $false +.sym 16161 $false +.sym 16164 $abc$56607$n1233 +.sym 16165 $abc$56607$n1232_1 +.sym 16166 ring_wr[0] +.sym 16167 $abc$56607$n1234 +.sym 16170 $abc$56607$n1231 +.sym 16171 $2\ring_wr[3:0][0] +.sym 16172 $2\ring_wr[3:0][2] +.sym 16173 $abc$56607$n670 +.sym 16176 wr_cnt[2] +.sym 16177 ring_wr[1] +.sym 16178 ring_wr[0] +.sym 16179 wr_cnt[1] +.sym 16182 wr_cnt[2] +.sym 16183 ring_wr[1] +.sym 16184 $abc$56607$n1233 +.sym 16185 $false +.sym 16188 $abc$56607$n1232_1 +.sym 16189 $abc$56607$n1234 +.sym 16190 ring_wr[0] .sym 16191 $false -.sym 16194 $abc$32112$n630_1 -.sym 16195 $abc$32112$n628 -.sym 16196 I2C.FLT_SCL.RESET -.sym 16197 $false -.sym 16200 $abc$32112$n5 -.sym 16201 $false -.sym 16202 $false -.sym 16203 $false -.sym 16204 $abc$32112$n396 +.sym 16194 $abc$56607$n1220 +.sym 16195 $abc$56607$n1959 +.sym 16196 $abc$56607$n1230 +.sym 16197 $abc$56607$n22 +.sym 16204 $abc$56607$n1018$2 .sym 16205 CLK$2$2 .sym 16206 $false -.sym 16207 $abc$32112$n2107 -.sym 16208 $abc$32112$n2106 -.sym 16209 $abc$32112$n710 -.sym 16210 $abc$32112$n535 -.sym 16211 $abc$32112$n2144 -.sym 16212 $abc$32112$n2142 -.sym 16213 UART.tx_bit_counter[1] -.sym 16214 UART.tx_bit_counter[0] -.sym 16243 $true -.sym 16280 $abc$32112$n2140$2 -.sym 16281 $false -.sym 16282 $abc$32112$n2140 -.sym 16283 $false -.sym 16284 $false -.sym 16286 $auto$alumacc.cc:470:replace_alu$4536.C[2] -.sym 16288 $false -.sym 16289 $abc$32112$n2106 -.sym 16292 $auto$alumacc.cc:470:replace_alu$4536.C[3] -.sym 16294 $false -.sym 16295 $abc$32112$n2142 -.sym 16298 $abc$32112$n2002$2 -.sym 16300 $false -.sym 16301 $abc$32112$n2144 -.sym 16308 $abc$32112$n2002$2 -.sym 16311 I2C_INPUT_LEN[2] -.sym 16312 $false +.sym 16208 $abc$56607$n1247 +.sym 16210 $abc$56607$n1245 +.sym 16211 report_data_wadr[6] +.sym 16214 report_data_wadr[5] +.sym 16281 $2\ring_wr[3:0][0] +.sym 16282 $abc$56607$n1224 +.sym 16283 $abc$56607$n670 +.sym 16284 $abc$56607$n22 +.sym 16287 $abc$56607$n1220 +.sym 16288 init_ram_cnt[1] +.sym 16289 init_ram_cnt[2] +.sym 16290 $abc$56607$n22 +.sym 16293 $2\ring_wr[3:0][1] +.sym 16294 $abc$56607$n1227_1 +.sym 16295 $abc$56607$n670 +.sym 16296 $abc$56607$n22 +.sym 16299 $abc$56607$n896 +.sym 16300 UART.tx_activity +.sym 16301 $false +.sym 16302 $false +.sym 16305 wr_cnt[1] +.sym 16306 ring_wr[0] +.sym 16307 wr_cnt[2] +.sym 16308 ring_wr[1] +.sym 16311 wr_cnt[1] +.sym 16312 ring_wr[0] .sym 16313 $false .sym 16314 $false -.sym 16317 $false -.sym 16318 I2C.byte_counter[0] +.sym 16317 $abc$56607$n1226 +.sym 16318 $abc$56607$n1228 .sym 16319 $false -.sym 16320 $true$2 -.sym 16323 $abc$32112$n630_1 -.sym 16324 $abc$32112$n1706 -.sym 16325 $false -.sym 16326 $false -.sym 16327 $abc$32112$n424 +.sym 16320 $false +.sym 16323 $abc$56607$n22 +.sym 16324 init_ram_cnt[1] +.sym 16325 $abc$56607$n1220 +.sym 16326 $abc$56607$n1223 +.sym 16327 $abc$56607$n1018$2 .sym 16328 CLK$2$2 -.sym 16329 $0\KBD_FREEZE[0:0]$2 -.sym 16332 $abc$32112$n2141 -.sym 16333 $abc$32112$n2143 -.sym 16334 $abc$32112$n711 -.sym 16335 $abc$32112$n21 -.sym 16336 UART.tx_bit_counter[3] -.sym 16337 UART.tx_bit_counter[2] -.sym 16366 $true -.sym 16403 $abc$32112$n2130$2 +.sym 16329 $false +.sym 16332 $abc$56607$n1959 +.sym 16333 $abc$56607$n1961 +.sym 16334 $abc$56607$n1963 +.sym 16335 $abc$56607$n1965 +.sym 16336 $abc$56607$n1967 .sym 16404 $false -.sym 16405 $abc$32112$n2130 +.sym 16405 UART.tx_clk_counter[0] .sym 16406 $false -.sym 16407 $false -.sym 16409 $auto$alumacc.cc:470:replace_alu$4591.C[3] -.sym 16411 $false -.sym 16412 $abc$32112$n2131 -.sym 16415 $auto$alumacc.cc:470:replace_alu$4591.C[4] -.sym 16417 $false -.sym 16418 $abc$32112$n2132 -.sym 16421 $auto$alumacc.cc:470:replace_alu$4591.C[5] -.sym 16423 $false -.sym 16424 $abc$32112$n2133 -.sym 16427 $auto$alumacc.cc:470:replace_alu$4591.C[6] -.sym 16429 $false -.sym 16430 $abc$32112$n2134 -.sym 16433 $auto$alumacc.cc:470:replace_alu$4591.C[7] -.sym 16435 $false -.sym 16436 $abc$32112$n2135 -.sym 16439 $abc$32112$n2169$2 -.sym 16441 $false -.sym 16442 $abc$32112$n2136 -.sym 16449 $abc$32112$n2169$2 -.sym 16497 $abc$32112$n435 -.sym 16553 $abc$32112$n656 -.sym 16554 $abc$32112$n657 -.sym 16555 I2C_INPUT_DATA[5][5] -.sym 16556 I2C_INPUT_DATA[5][7] -.sym 16557 I2C_INPUT_DATA[5][0] -.sym 16559 I2C_INPUT_DATA[5][2] -.sym 16560 I2C_INPUT_DATA[5][6] -.sym 16634 I2C.received_byte[5] -.sym 16635 $false +.sym 16407 $true$2 +.sym 16410 UART.tx_clk_counter[1] +.sym 16411 UART.tx_clk_counter[0] +.sym 16412 $abc$56607$n897 +.sym 16413 $false +.sym 16422 $abc$56607$n1541 +.sym 16423 $abc$56607$n895 +.sym 16424 $false +.sym 16425 $false +.sym 16434 UART.tx_clk_counter[1] +.sym 16435 UART.tx_clk_counter[0] +.sym 16436 UART.tx_activity +.sym 16437 $false +.sym 16450 $abc$56607$n1315 +.sym 16451 CLK$2$2 +.sym 16452 $abc$56607$n35$2 +.sym 16497 $abc$56607$n901 +.sym 16555 KEYBOARD.row_time[2] +.sym 16556 KEYBOARD.row_time[3] +.sym 16557 KEYBOARD.row_time[4] +.sym 16558 KEYBOARD.row_time[5] +.sym 16559 KEYBOARD.row_time[6] +.sym 16560 KEYBOARD.row_time[7] +.sym 16628 $abc$56607$n730 +.sym 16629 $abc$56607$n707 +.sym 16630 $false +.sym 16631 $false +.sym 16634 $abc$56607$n698 +.sym 16635 $abc$56607$n699 .sym 16636 $false .sym 16637 $false -.sym 16664 I2C.received_byte[7] -.sym 16665 $false +.sym 16640 KEYBOARD.row_time[4] +.sym 16641 KEYBOARD.row_time[5] +.sym 16642 $abc$56607$n698 +.sym 16643 $abc$56607$n707 +.sym 16646 $abc$56607$n684 +.sym 16647 $abc$56607$n700 +.sym 16648 KEYBOARD.row_time[4] +.sym 16649 KEYBOARD.row_time[5] +.sym 16652 KEYBOARD.row_time[2] +.sym 16653 KEYBOARD.row_time[3] +.sym 16654 KEYBOARD.row_time[6] +.sym 16655 KEYBOARD.row_time[7] +.sym 16664 $abc$56607$n694 +.sym 16665 $abc$56607$n700 .sym 16666 $false .sym 16667 $false -.sym 16674 $abc$32112$n2235 +.sym 16670 I2C.SDAF +.sym 16671 $false +.sym 16672 $false +.sym 16673 $false +.sym 16674 $abc$56607$n1501 .sym 16675 CLK$2$2 -.sym 16676 $false -.sym 16681 I2C_INPUT_DATA[4][6] -.sym 16683 I2C_INPUT_DATA[4][4] -.sym 16684 I2C_INPUT_DATA[4][0] -.sym 16686 I2C_INPUT_DATA[4][3] -.sym 16687 I2C_INPUT_DATA[4][1] -.sym 16753 $true -.sym 16790 $abc$32112$n1383$2 -.sym 16791 $false -.sym 16792 $abc$32112$n1383 +.sym 16676 $abc$56607$n35$2 +.sym 16677 KBD_COLUMNS[6]$2 +.sym 16679 KBD_COLUMNS[7]$2 +.sym 16681 KEYBOARD.row_time[8] +.sym 16682 KEYBOARD.row_time[9] +.sym 16683 KEYBOARD.row_time[10] +.sym 16684 KEYBOARD.row_time[11] +.sym 16685 KEYBOARD.row_time[12] +.sym 16686 KEYBOARD.row_time[13] +.sym 16687 KEYBOARD.row_time[14] +.sym 16688 KEYBOARD.row_time[15] +.sym 16791 I2C.FLT_SDA.counter[0] +.sym 16792 I2C.FLT_SDA.counter[1] .sym 16793 $false .sym 16794 $false -.sym 16796 $auto$alumacc.cc:470:replace_alu$4613.C[2] -.sym 16798 $false -.sym 16799 $abc$32112$n1384 -.sym 16802 $auto$alumacc.cc:470:replace_alu$4613.C[3] -.sym 16803 $false -.sym 16804 $false -.sym 16805 $abc$32112$n1386 -.sym 16806 $auto$alumacc.cc:470:replace_alu$4613.C[2] -.sym 16808 $auto$alumacc.cc:470:replace_alu$4613.C[4] +.sym 16797 $abc$56607$n2481 +.sym 16798 $abc$56607$n1632 +.sym 16799 $abc$56607$n1004 +.sym 16800 $false +.sym 16803 $abc$56607$n2480 +.sym 16804 I2C.FLT_SDA.out +.sym 16805 I2C.SDAF +.sym 16806 $false .sym 16809 $false -.sym 16810 $false -.sym 16811 $abc$32112$n1387 -.sym 16812 $auto$alumacc.cc:470:replace_alu$4613.C[3] -.sym 16814 $auto$alumacc.cc:470:replace_alu$4613.C[5] -.sym 16815 $false -.sym 16816 $false -.sym 16817 $abc$32112$n1389 -.sym 16818 $auto$alumacc.cc:470:replace_alu$4613.C[4] -.sym 16820 $auto$alumacc.cc:470:replace_alu$4613.C[6] -.sym 16821 $false +.sym 16810 I2C.FLT_SDA.counter[0] +.sym 16811 $false +.sym 16812 $true$2 +.sym 16815 I2C.FLT_SDA.out +.sym 16816 I2C.SDAF +.sym 16817 $abc$56607$n1003 +.sym 16818 $false +.sym 16821 $abc$56607$n2480 .sym 16822 $false -.sym 16823 $abc$32112$n1390 -.sym 16824 $auto$alumacc.cc:470:replace_alu$4613.C[5] -.sym 16826 $auto$alumacc.cc:470:replace_alu$4613.C[7] -.sym 16827 $false +.sym 16823 $false +.sym 16824 $false +.sym 16827 $abc$56607$n2481 .sym 16828 $false -.sym 16829 $abc$32112$n1392 -.sym 16830 $auto$alumacc.cc:470:replace_alu$4613.C[6] -.sym 16833 $false -.sym 16834 $false -.sym 16835 $abc$32112$n1393 -.sym 16836 $auto$alumacc.cc:470:replace_alu$4613.C[7] -.sym 16847 $abc$32112$n2170 -.sym 16914 $abc$32112$n1393 -.sym 16915 $false -.sym 16916 $false -.sym 16917 $false -.sym 16920 $abc$32112$n1389 -.sym 16921 $false -.sym 16922 $false -.sym 16923 $false -.sym 16926 $abc$32112$n1817 -.sym 16927 I2C.byte_counter[2] -.sym 16928 $false -.sym 16929 $false -.sym 16932 $abc$32112$n1386 -.sym 16933 $false -.sym 16934 $false -.sym 16935 $false -.sym 16938 $abc$32112$n1817 -.sym 16939 I2C.byte_counter[5] -.sym 16940 $false -.sym 16941 $false -.sym 16944 $abc$32112$n1390 -.sym 16945 $false -.sym 16946 $false -.sym 16947 $false -.sym 16950 $abc$32112$n1989 -.sym 16951 $abc$32112$n1390 -.sym 16952 $abc$32112$n1134 -.sym 16953 $false -.sym 16956 $abc$32112$n1986 -.sym 16957 $abc$32112$n1386 -.sym 16958 $abc$32112$n1134 -.sym 16959 $false -.sym 16960 $true -.sym 16961 CLK$2$2 -.sym 16962 $false -.sym 16964 $abc$32112$n811 -.sym 16966 $abc$32112$n790 -.sym 16969 KEYBOARD.last_data[11] -.sym 17037 $abc$32112$n1817 -.sym 17038 I2C.byte_counter[1] -.sym 17039 $false -.sym 17040 $false -.sym 17043 $abc$32112$n611 -.sym 17044 $abc$32112$n10 -.sym 17045 I2C.FLT_SCL.RESET -.sym 17046 $false -.sym 17049 $abc$32112$n598 -.sym 17050 $abc$32112$n582 -.sym 17051 $false -.sym 17052 $false -.sym 17067 $abc$32112$n1384 +.sym 16829 $false +.sym 16830 $false +.sym 16833 $abc$56607$n1003 +.sym 16834 $abc$56607$n1632 +.sym 16835 $false +.sym 16836 $false +.sym 16837 $abc$56607$n1502 +.sym 16838 CLK$2$2 +.sym 16839 $abc$56607$n35$2 +.sym 16840 $abc$56607$n698 +.sym 16841 $abc$56607$n713 +.sym 16842 $abc$56607$n731 +.sym 16843 $abc$56607$n681 +.sym 16844 $abc$56607$n682 +.sym 16845 $abc$56607$n687 +.sym 16846 $abc$56607$n688 +.sym 16847 KEYBOARD.report[2][4] +.sym 16914 $abc$56607$n681 +.sym 16915 $abc$56607$n682 +.sym 16916 $abc$56607$n683 +.sym 16917 $abc$56607$n690 +.sym 16920 KEYBOARD.row_time[3] +.sym 16921 KEYBOARD.row_time[2] +.sym 16922 KEYBOARD.row_time[0] +.sym 16923 KEYBOARD.row_time[1] +.sym 16926 KEYBOARD.row_time[5] +.sym 16927 KEYBOARD.row_time[7] +.sym 16928 KEYBOARD.row_time[6] +.sym 16929 KEYBOARD.row_time[4] +.sym 16932 KEYBOARD.row_time[4] +.sym 16933 KEYBOARD.row_time[5] +.sym 16934 KEYBOARD.row_time[6] +.sym 16935 KEYBOARD.row_time[7] +.sym 16938 KEYBOARD.row_time[4] +.sym 16939 KEYBOARD.row_time[6] +.sym 16940 KEYBOARD.row_time[7] +.sym 16941 KEYBOARD.row_time[5] +.sym 16944 KEYBOARD.row_time[3] +.sym 16945 $abc$56607$n682 +.sym 16946 KEYBOARD.row_time[2] +.sym 16947 $abc$56607$n681 +.sym 16950 $abc$56607$n681 +.sym 16951 $abc$56607$n687 +.sym 16952 $abc$56607$n688 +.sym 16953 $abc$56607$n689 +.sym 16956 KEYBOARD.row_time[4] +.sym 16957 $abc$56607$n681 +.sym 16958 $abc$56607$n731 +.sym 16959 KEYBOARD.row_time[5] +.sym 16963 $abc$56607$n1494 +.sym 16964 $abc$56607$n2707 +.sym 16965 $abc$56607$n1475 +.sym 16966 $abc$56607$n1495 +.sym 16968 kbd_report[5][4] +.sym 16969 kbd_report[5][5] +.sym 17037 $abc$56607$n954 +.sym 17038 $abc$56607$n955 +.sym 17039 $abc$56607$n956 +.sym 17040 $abc$56607$n957 +.sym 17043 KEYBOARD.kbd_code_hid[7] +.sym 17044 kbd_report[5][7] +.sym 17045 kbd_report[5][3] +.sym 17046 KEYBOARD.kbd_code_hid[3] +.sym 17049 kbd_report[5][0] +.sym 17050 kbd_report[5][1] +.sym 17051 kbd_report[5][2] +.sym 17052 kbd_report[5][3] +.sym 17055 KEYBOARD.kbd_code_hid[2] +.sym 17056 kbd_report[5][2] +.sym 17057 kbd_report[5][1] +.sym 17058 KEYBOARD.kbd_code_hid[1] +.sym 17061 KEYBOARD.kbd_code_hid[6] +.sym 17062 kbd_report[5][6] +.sym 17063 kbd_report[5][0] +.sym 17064 KEYBOARD.kbd_code_hid[0] +.sym 17067 $abc$56607$n2461 .sym 17068 $false .sym 17069 $false .sym 17070 $false -.sym 17073 $abc$32112$n1392 +.sym 17073 $abc$56607$n2465 .sym 17074 $false .sym 17075 $false .sym 17076 $false -.sym 17079 KEYBOARD.COLS_SHADOW[2] +.sym 17079 $abc$56607$n2458 .sym 17080 $false .sym 17081 $false .sym 17082 $false -.sym 17083 $abc$32112$n827 +.sym 17083 $abc$56607$n1407 .sym 17084 CLK$2$2 -.sym 17085 $0\KBD_FREEZE[0:0]$2 -.sym 17086 $abc$32112$n596 -.sym 17087 $abc$32112$n1282 -.sym 17088 $abc$32112$n834 -.sym 17089 $abc$32112$n1284 -.sym 17090 $abc$32112$n585 -.sym 17091 $abc$32112$n560 -.sym 17092 $abc$32112$n1283 -.sym 17093 KEYBOARD.last_data[15] -.sym 17160 $abc$32112$n1284 -.sym 17161 $abc$32112$n575 -.sym 17162 KEYBOARD.COLS_SHADOW[3] -.sym 17163 KEYBOARD.is_pressed -.sym 17166 $abc$32112$n1284 -.sym 17167 KEYBOARD.COLS_SHADOW[3] -.sym 17168 $abc$32112$n575 -.sym 17169 $abc$32112$n560 -.sym 17172 $abc$32112$n1287 -.sym 17173 KEYBOARD.COLS_SHADOW[2] -.sym 17174 $abc$32112$n582 +.sym 17085 $abc$56607$n35$2 +.sym 17086 $abc$56607$n968 +.sym 17087 $abc$56607$n950 +.sym 17088 $abc$56607$n958 +.sym 17089 $abc$56607$n901_1 +.sym 17090 $abc$56607$n1328 +.sym 17091 $abc$56607$n1422 +.sym 17092 $abc$56607$n1407 +.sym 17093 KEYBOARD.report[1][4] +.sym 17160 $abc$56607$n27 +.sym 17161 $abc$56607$n927 +.sym 17162 $false +.sym 17163 $false +.sym 17166 $abc$56607$n952 +.sym 17167 $abc$56607$n976 +.sym 17168 $abc$56607$n959 +.sym 17169 $abc$56607$n975 +.sym 17172 $abc$56607$n27 +.sym 17173 $abc$56607$n944 +.sym 17174 $abc$56607$n927 .sym 17175 $false -.sym 17178 $abc$32112$n1281_1 -.sym 17179 KEYBOARD.COLS_SHADOW[1] -.sym 17180 $abc$32112$n563 -.sym 17181 $abc$32112$n598 -.sym 17184 $abc$32112$n609 -.sym 17185 $abc$32112$n610 -.sym 17186 $abc$32112$n611 -.sym 17187 $abc$32112$n595_1 -.sym 17190 $abc$32112$n593 -.sym 17191 $abc$32112$n594 -.sym 17192 $abc$32112$n595_1 -.sym 17193 $abc$32112$n597 -.sym 17196 $abc$32112$n826 -.sym 17197 $abc$32112$n10 -.sym 17198 $abc$32112$n596 -.sym 17199 I2C.FLT_SCL.RESET -.sym 17202 KEYBOARD.COLS_SHADOW[3] +.sym 17178 $abc$56607$n953 +.sym 17179 $abc$56607$n952 +.sym 17180 $false +.sym 17181 $false +.sym 17184 $abc$56607$n944 +.sym 17185 $abc$56607$n953 +.sym 17186 $abc$56607$n962 +.sym 17187 $abc$56607$n969 +.sym 17190 $abc$56607$n952 +.sym 17191 $abc$56607$n962 +.sym 17192 $abc$56607$n926 +.sym 17193 $false +.sym 17196 $abc$56607$n2465 +.sym 17197 $false +.sym 17198 $false +.sym 17199 $false +.sym 17202 $abc$56607$n2464 .sym 17203 $false .sym 17204 $false .sym 17205 $false -.sym 17206 $abc$32112$n765 +.sym 17206 $abc$56607$n1450 .sym 17207 CLK$2$2 -.sym 17208 $0\KBD_FREEZE[0:0]$2 -.sym 17209 $abc$32112$n617 -.sym 17210 $abc$32112$n613 -.sym 17211 $abc$32112$n2089 -.sym 17212 $abc$32112$n607 -.sym 17213 $abc$32112$n614_1 -.sym 17214 $abc$32112$n604 -.sym 17215 I2C_INPUT_DATA[8][1] -.sym 17216 I2C_INPUT_DATA[8][0] -.sym 17283 $abc$32112$n1284 -.sym 17284 KEYBOARD.COLS_SHADOW[3] -.sym 17285 $abc$32112$n575 -.sym 17286 $abc$32112$n1174 -.sym 17289 $abc$32112$n1284 -.sym 17290 KEYBOARD.COLS_SHADOW[3] -.sym 17291 $abc$32112$n575 -.sym 17292 $abc$32112$n569 -.sym 17295 $abc$32112$n1174 -.sym 17296 $abc$32112$n1287 -.sym 17297 KEYBOARD.COLS_SHADOW[2] -.sym 17298 $abc$32112$n582 -.sym 17301 $abc$32112$n1287 -.sym 17302 KEYBOARD.COLS_SHADOW[2] -.sym 17303 $abc$32112$n582 -.sym 17304 $abc$32112$n585 -.sym 17307 $abc$32112$n584 -.sym 17308 $abc$32112$n609 -.sym 17309 $abc$32112$n569 -.sym 17310 $abc$32112$n617 -.sym 17313 $abc$32112$n560 -.sym 17314 $abc$32112$n1281_1 -.sym 17315 KEYBOARD.COLS_SHADOW[1] -.sym 17316 $abc$32112$n563 -.sym 17319 $abc$32112$n600 -.sym 17320 $abc$32112$n560 -.sym 17321 $abc$32112$n602 -.sym 17322 $abc$32112$n601_1 -.sym 17325 $abc$32112$n554 -.sym 17326 $abc$32112$n568 -.sym 17327 $abc$32112$n576 -.sym 17328 $abc$32112$n584 -.sym 17332 $abc$32112$n64 -.sym 17333 $abc$32112$n778 -.sym 17334 $abc$32112$n779_1 -.sym 17335 $abc$32112$n2088 -.sym 17336 $abc$32112$n67 -.sym 17337 $abc$32112$n738 -.sym 17338 $abc$32112$n70 -.sym 17339 LED2$2 -.sym 17406 $abc$32112$n1281_1 -.sym 17407 KEYBOARD.COLS_SHADOW[1] -.sym 17408 $abc$32112$n563 -.sym 17409 $abc$32112$n1174 -.sym 17412 $abc$32112$n823 -.sym 17413 $abc$32112$n585 -.sym 17414 I2C.FLT_SCL.RESET -.sym 17415 $false -.sym 17418 $abc$32112$n1281_1 -.sym 17419 KEYBOARD.COLS_SHADOW[1] -.sym 17420 $abc$32112$n563 +.sym 17208 $abc$56607$n35$2 +.sym 17209 $abc$56607$n966 +.sym 17210 $abc$56607$n1210 +.sym 17211 $abc$56607$n1179 +.sym 17212 $abc$56607$n930 +.sym 17213 $abc$56607$n1152 +.sym 17214 KEYBOARD.report[4][5] +.sym 17215 KEYBOARD.report[4][7] +.sym 17216 KEYBOARD.report[4][2] +.sym 17283 KEYBOARD.kbd_code_hid[2] +.sym 17284 KEYBOARD.report[1][2] +.sym 17285 $abc$56607$n986 +.sym 17286 $abc$56607$n987 +.sym 17289 KEYBOARD.report[1][5] +.sym 17290 KEYBOARD.kbd_code_hid[5] +.sym 17291 KEYBOARD.kbd_code_hid[4] +.sym 17292 KEYBOARD.report[1][4] +.sym 17295 kbd_report[5][7] +.sym 17296 KEYBOARD.report[1][7] +.sym 17297 $abc$56607$n312 +.sym 17298 $abc$56607$n1113_1 +.sym 17301 KEYBOARD.kbd_code_hid[7] +.sym 17302 KEYBOARD.report[1][7] +.sym 17303 KEYBOARD.report[1][6] +.sym 17304 KEYBOARD.kbd_code_hid[6] +.sym 17307 $abc$56607$n1515_1 +.sym 17308 $abc$56607$n1514 +.sym 17309 $abc$56607$n985_1 +.sym 17310 $abc$56607$n952 +.sym 17313 $abc$56607$n2459 +.sym 17314 $false +.sym 17315 $false +.sym 17316 $false +.sym 17319 $abc$56607$n2464 +.sym 17320 $false +.sym 17321 $false +.sym 17322 $false +.sym 17325 $abc$56607$n2460 +.sym 17326 $false +.sym 17327 $false +.sym 17328 $false +.sym 17329 $abc$56607$n1407 +.sym 17330 CLK$2$2 +.sym 17331 $abc$56607$n35$2 +.sym 17332 $abc$56607$n1209 +.sym 17333 $abc$56607$n1211_1 +.sym 17334 $abc$56607$n972 +.sym 17335 $abc$56607$n1164 +.sym 17336 $abc$56607$n969 +.sym 17337 $abc$56607$n973 +.sym 17338 KEYBOARD.report[6][7] +.sym 17339 KEYBOARD.report[6][5] +.sym 17406 $abc$56607$n1112 +.sym 17407 kbd_report[5][2] +.sym 17408 $abc$56607$n1118 +.sym 17409 KEYBOARD.report[3][2] +.sym 17412 $abc$56607$n1112 +.sym 17413 kbd_report[5][0] +.sym 17414 $abc$56607$n1114 +.sym 17415 KEYBOARD.report[2][0] +.sym 17418 $abc$56607$n1113_1 +.sym 17419 $abc$56607$n312 +.sym 17420 $false .sym 17421 $false -.sym 17424 $abc$32112$n1281_1 -.sym 17425 KEYBOARD.COLS_SHADOW[1] -.sym 17426 $abc$32112$n563 -.sym 17427 $abc$32112$n585 -.sym 17430 KEYBOARD.row_counter[1] -.sym 17431 $abc$32112$n1174 -.sym 17432 $false -.sym 17433 $false -.sym 17436 $abc$32112$n823 -.sym 17437 $abc$32112$n598 -.sym 17438 I2C.FLT_SCL.RESET -.sym 17439 $false -.sym 17442 $abc$32112$n1281_1 -.sym 17443 KEYBOARD.COLS_SHADOW[1] -.sym 17444 $abc$32112$n563 -.sym 17445 $abc$32112$n596 -.sym 17448 KEYBOARD.COLS_SHADOW[1] -.sym 17449 $false +.sym 17424 $abc$56607$n1115 +.sym 17425 KEYBOARD.report[1][2] +.sym 17426 KEYBOARD.report[2][2] +.sym 17427 $abc$56607$n1114 +.sym 17430 $abc$56607$n1115 +.sym 17431 KEYBOARD.report[1][0] +.sym 17432 KEYBOARD.report[6][0] +.sym 17433 $abc$56607$n1121 +.sym 17436 $abc$56607$n1119 +.sym 17437 KEYBOARD.report[4][3] +.sym 17438 KEYBOARD.report[6][3] +.sym 17439 $abc$56607$n1121 +.sym 17442 $abc$56607$n1517 +.sym 17443 $abc$56607$n1111 +.sym 17444 $abc$56607$n1117 +.sym 17445 $false +.sym 17448 $abc$56607$n1150 +.sym 17449 $abc$56607$n1151 .sym 17450 $false .sym 17451 $false -.sym 17452 $abc$32112$n800 -.sym 17453 CLK$2$2 -.sym 17454 $0\KBD_FREEZE[0:0]$2 -.sym 17455 $abc$32112$n1057 -.sym 17456 $abc$32112$n2092 -.sym 17457 $abc$32112$n605 -.sym 17458 $abc$32112$n1325 -.sym 17459 $abc$32112$n614 -.sym 17460 $abc$32112$n741 -.sym 17461 $abc$32112$n1175 -.sym 17462 KEYBOARD.last_data[13] -.sym 17529 $abc$32112$n794 -.sym 17530 $abc$32112$n1259 -.sym 17531 $false -.sym 17532 $false -.sym 17535 $abc$32112$n718 -.sym 17536 I2C.FLT_SCL.RESET -.sym 17537 $false -.sym 17538 $false -.sym 17541 $abc$32112$n60 -.sym 17542 $abc$32112$n61 -.sym 17543 $abc$32112$n63 -.sym 17544 $false -.sym 17547 $abc$32112$n29$2 -.sym 17548 KEYBOARD.row_counter[0] -.sym 17549 $false -.sym 17550 $false -.sym 17553 $abc$32112$n1257_1 -.sym 17554 $abc$32112$n801 -.sym 17555 $abc$32112$n795_1 -.sym 17556 $abc$32112$n720_1 -.sym 17559 $abc$32112$n671 -.sym 17560 KEYBOARD.report[7] -.sym 17561 $abc$32112$n568 -.sym 17562 $false -.sym 17565 $abc$32112$n671 -.sym 17566 KEYBOARD.report[6] -.sym 17567 $abc$32112$n593 +.sym 17455 $abc$56607$n907 +.sym 17456 $abc$56607$n977 +.sym 17457 $abc$56607$n976 +.sym 17458 $abc$56607$n906 +.sym 17459 $abc$56607$n978 +.sym 17460 $abc$56607$n908 +.sym 17461 $abc$56607$n980 +.sym 17462 KEYBOARD.report[2][3] +.sym 17529 $abc$56607$n1119 +.sym 17530 KEYBOARD.report[4][6] +.sym 17531 KEYBOARD.report[6][6] +.sym 17532 $abc$56607$n1121 +.sym 17535 $abc$56607$n1127 +.sym 17536 KEYBOARD.report[2][6] +.sym 17537 KEYBOARD.report[3][6] +.sym 17538 $abc$56607$n1146 +.sym 17541 $abc$56607$n1128 +.sym 17542 kbd_report[5][6] +.sym 17543 KEYBOARD.report[6][6] +.sym 17544 $abc$56607$n1142 +.sym 17547 $abc$56607$n1143 +.sym 17548 KEYBOARD.report[4][6] +.sym 17549 $abc$56607$n1203 +.sym 17550 $abc$56607$n1204 +.sym 17553 $abc$56607$n1112 +.sym 17554 kbd_report[5][1] +.sym 17555 $abc$56607$n1118 +.sym 17556 KEYBOARD.report[3][1] +.sym 17559 $abc$56607$n1128 +.sym 17560 kbd_report[5][1] +.sym 17561 $abc$56607$n1146 +.sym 17562 KEYBOARD.report[3][1] +.sym 17565 wr_cnt[1] +.sym 17566 wr_cnt[2] +.sym 17567 $abc$56607$n321 .sym 17568 $false -.sym 17571 $abc$32112$n671 -.sym 17572 KEYBOARD.report[5] -.sym 17573 $abc$32112$n601_1 +.sym 17571 $abc$56607$n2464 +.sym 17572 $false +.sym 17573 $false .sym 17574 $false -.sym 17575 $abc$32112$n605 +.sym 17575 $abc$56607$n1435 .sym 17576 CLK$2$2 -.sym 17577 $0\KBD_FREEZE[0:0]$2 -.sym 17578 $abc$32112$n1036 -.sym 17579 $abc$32112$n1034 -.sym 17580 $abc$32112$n1045 -.sym 17581 $abc$32112$n739 -.sym 17582 $abc$32112$n1031 -.sym 17583 $abc$32112$n1050 -.sym 17584 $abc$32112$n1049 -.sym 17585 $abc$32112$n1030 -.sym 17652 $abc$32112$n1063 -.sym 17653 $abc$32112$n1064 -.sym 17654 $abc$32112$n1060 -.sym 17655 $abc$32112$n1628 -.sym 17658 KEYBOARD.report[5] -.sym 17659 KEYBOARD.report[37] -.sym 17660 I2C.byte_counter[1] -.sym 17661 I2C.byte_counter[2] -.sym 17664 $abc$32112$n671 -.sym 17665 $abc$32112$n70 -.sym 17666 $false +.sym 17577 $abc$56607$n35$2 +.sym 17578 $abc$56607$n791 +.sym 17579 $abc$56607$n1143 +.sym 17580 $abc$56607$n1113_1 +.sym 17581 $abc$56607$n1114 +.sym 17582 $abc$56607$n305 +.sym 17583 $abc$56607$n2708 +.sym 17584 KEYBOARD.report[4][3] +.sym 17585 KEYBOARD.report[4][1] +.sym 17652 $abc$56607$n312 +.sym 17653 $abc$56607$n1113_1 +.sym 17654 $false +.sym 17655 $false +.sym 17658 $abc$56607$n1141 +.sym 17659 $abc$56607$n1144 +.sym 17660 $false +.sym 17661 $false +.sym 17664 wr_cnt[1] +.sym 17665 $abc$56607$n321 +.sym 17666 wr_cnt[2] .sym 17667 $false -.sym 17670 $abc$32112$n1077 -.sym 17671 $abc$32112$n1078 -.sym 17672 $abc$32112$n1074 -.sym 17673 $abc$32112$n1628 -.sym 17676 $abc$32112$n1259 -.sym 17677 $abc$32112$n806_1 -.sym 17678 $false -.sym 17679 $false -.sym 17682 KEYBOARD.report[7] -.sym 17683 KEYBOARD.report[39] -.sym 17684 I2C.byte_counter[1] -.sym 17685 I2C.byte_counter[2] -.sym 17688 $abc$32112$n801 -.sym 17689 $abc$32112$n817 -.sym 17690 $abc$32112$n818 +.sym 17670 $abc$56607$n1126 +.sym 17671 KEYBOARD.report[1][1] +.sym 17672 KEYBOARD.report[2][1] +.sym 17673 $abc$56607$n1127 +.sym 17676 $abc$56607$n1114 +.sym 17677 KEYBOARD.report[2][1] +.sym 17678 $abc$56607$n1135 +.sym 17679 $abc$56607$n1138 +.sym 17688 wr_cnt[1] +.sym 17689 wr_cnt[2] +.sym 17690 $abc$56607$n321 .sym 17691 $false -.sym 17694 $abc$32112$n1257_1 -.sym 17695 $abc$32112$n816_1 -.sym 17696 $abc$32112$n1310 -.sym 17697 $abc$32112$n720_1 -.sym 17701 $abc$32112$n754 -.sym 17702 $abc$32112$n1056 -.sym 17703 $abc$32112$n1308_1 -.sym 17704 $abc$32112$n809 -.sym 17705 $abc$32112$n818 -.sym 17706 $abc$32112$n769 -.sym 17707 KEYBOARD.report[0] -.sym 17708 KEYBOARD.report[4] -.sym 17775 $abc$32112$n1309_1 -.sym 17776 $abc$32112$n808 -.sym 17777 $abc$32112$n1308_1 -.sym 17778 $abc$32112$n1254 -.sym 17781 $abc$32112$n768 -.sym 17782 $abc$32112$n770_1 -.sym 17783 $abc$32112$n720_1 -.sym 17784 $false -.sym 17787 $abc$32112$n770_1 -.sym 17788 $abc$32112$n788 -.sym 17789 $abc$32112$n1278_1 -.sym 17790 $false -.sym 17793 $abc$32112$n771 -.sym 17794 $abc$32112$n769 -.sym 17795 $abc$32112$n717 -.sym 17796 $abc$32112$n671 -.sym 17799 $abc$32112$n769 -.sym 17800 $abc$32112$n671 -.sym 17801 $abc$32112$n717 +.sym 17694 $abc$56607$n2459 +.sym 17695 $false +.sym 17696 $false +.sym 17697 $false +.sym 17698 $abc$56607$n1435 +.sym 17699 CLK$2$2 +.sym 17700 $abc$56607$n35$2 +.sym 17701 $abc$56607$n793 +.sym 17702 $abc$56607$n1232 +.sym 17703 $abc$56607$n736 +.sym 17704 $abc$56607$n1007 +.sym 17705 $abc$56607$n746 +.sym 17706 $abc$56607$n842 +.sym 17707 $abc$56607$n1018 +.sym 17708 last_isr +.sym 17775 $abc$56607$n757 +.sym 17776 $abc$56607$n791 +.sym 17777 $abc$56607$n770 +.sym 17778 $false +.sym 17781 $abc$56607$n759 +.sym 17782 $abc$56607$n758 +.sym 17783 I2C.FLT_SCL.RESET +.sym 17784 $abc$56607$n757 +.sym 17787 $abc$56607$n733 +.sym 17788 $abc$56607$n771 +.sym 17789 I2C.FLT_SCL.RESET +.sym 17790 $abc$56607$n757 +.sym 17793 $abc$56607$n733 +.sym 17794 $abc$56607$n812 +.sym 17795 $abc$56607$n673 +.sym 17796 $false +.sym 17799 KEYBOARD.isr +.sym 17800 last_isr +.sym 17801 $false .sym 17802 $false -.sym 17805 $abc$32112$n788 -.sym 17806 $abc$32112$n770_1 +.sym 17805 $abc$56607$n733 +.sym 17806 $abc$56607$n22 .sym 17807 $false .sym 17808 $false -.sym 17811 $abc$32112$n739 -.sym 17812 $abc$32112$n771 -.sym 17813 $abc$32112$n768 +.sym 17811 $abc$56607$n811 +.sym 17812 $abc$56607$n755 +.sym 17813 $false .sym 17814 $false -.sym 17817 $abc$32112$n809 -.sym 17818 $abc$32112$n69 -.sym 17819 KEYBOARD.report[62] -.sym 17820 $abc$32112$n810 -.sym 17824 $abc$32112$n631 -.sym 17825 $abc$32112$n756 -.sym 17826 $abc$32112$n747 -.sym 17827 $abc$32112$n755 -.sym 17828 $abc$32112$n746 -.sym 17829 KEYBOARD.report[28] -.sym 17830 KEYBOARD.report[29] -.sym 17831 KEYBOARD.report[24] -.sym 17898 $abc$32112$n1061 -.sym 17899 $abc$32112$n1062 +.sym 17817 $abc$56607$n842 +.sym 17818 $abc$56607$n759 +.sym 17819 $abc$56607$n770 +.sym 17820 $false +.sym 17828 $abc$56607$n2577 +.sym 17829 $abc$56607$n755 +.sym 17831 temp_output_report[1] +.sym 17898 i2c_input_data_type[0] +.sym 17899 $false .sym 17900 $false .sym 17901 $false -.sym 17904 $abc$32112$n1254 -.sym 17905 $abc$32112$n60 -.sym 17906 KEYBOARD.report[40] -.sym 17907 $abc$32112$n1334_1 -.sym 17910 KEYBOARD.report[60] -.sym 17911 $abc$32112$n66 -.sym 17912 KEYBOARD.report[61] -.sym 17913 $abc$32112$n778 -.sym 17916 KEYBOARD.report[60] -.sym 17917 KEYBOARD.report[61] -.sym 17918 KEYBOARD.report[62] -.sym 17919 KEYBOARD.report[63] -.sym 17922 $abc$32112$n63 -.sym 17923 KEYBOARD.report[58] -.sym 17924 $abc$32112$n70 -.sym 17925 KEYBOARD.report[63] -.sym 17928 KEYBOARD.report[29] -.sym 17929 KEYBOARD.report[61] -.sym 17930 I2C.byte_counter[2] -.sym 17931 I2C.byte_counter[1] -.sym 17934 $abc$32112$n1257_1 -.sym 17935 $abc$32112$n720_1 -.sym 17936 $abc$32112$n1335 -.sym 17937 $abc$32112$n1278_1 -.sym 17940 $abc$32112$n2094 +.sym 17904 $abc$56607$n670 +.sym 17905 $abc$56607$n22 +.sym 17906 $false +.sym 17907 $false +.sym 17910 $abc$56607$n842 +.sym 17911 $abc$56607$n755 +.sym 17912 $false +.sym 17913 $false +.sym 17916 i2c_input_data_type[1] +.sym 17917 i2c_input_data_type[0] +.sym 17918 i2c_input_data_type[3] +.sym 17919 i2c_input_data_type[2] +.sym 17922 i2c_input_data_type[1] +.sym 17923 i2c_input_data_type[3] +.sym 17924 i2c_input_data_type[0] +.sym 17925 i2c_input_data_type[2] +.sym 17928 i2c_input_data_type[2] +.sym 17929 $false +.sym 17930 $false +.sym 17931 $false +.sym 17934 i2c_input_data_type[1] +.sym 17935 $false +.sym 17936 $false +.sym 17937 $false +.sym 17940 I2C.received_byte[2] .sym 17941 $false .sym 17942 $false .sym 17943 $false -.sym 17944 $abc$32112$n720$2 +.sym 17944 $abc$56607$n1113 .sym 17945 CLK$2$2 -.sym 17946 $0\KBD_FREEZE[0:0]$2 -.sym 17947 $abc$32112$n748 -.sym 17948 $abc$32112$n1048 -.sym 17949 $abc$32112$n786 -.sym 17950 $abc$32112$n777 -.sym 17951 $abc$32112$n790_1 -.sym 17952 $abc$32112$n1046 -.sym 17953 $abc$32112$n1052 -.sym 17954 KEYBOARD.report[3] -.sym 18021 KEYBOARD.report[45] -.sym 18022 KEYBOARD.report[47] -.sym 18023 $abc$32112$n70 -.sym 18024 $abc$32112$n778 -.sym 18027 $abc$32112$n1333 -.sym 18028 $abc$32112$n777 -.sym 18029 $abc$32112$n786 -.sym 18030 $abc$32112$n1305_1 -.sym 18033 KEYBOARD.report[44] -.sym 18034 KEYBOARD.report[45] -.sym 18035 KEYBOARD.report[46] -.sym 18036 KEYBOARD.report[47] -.sym 18039 KEYBOARD.report[31] -.sym 18040 KEYBOARD.report[63] -.sym 18041 I2C.byte_counter[2] -.sym 18042 I2C.byte_counter[1] -.sym 18045 $abc$32112$n789 -.sym 18046 $abc$32112$n790_1 +.sym 17946 $false +.sym 17947 $abc$56607$n742 +.sym 17948 $abc$56607$n762 +.sym 17949 $13\int_tmr[19:0][0] +.sym 17951 $abc$56607$n761 +.sym 17952 $abc$56607$n744 +.sym 17953 $abc$56607$n743 +.sym 17954 int_tmr[1] +.sym 17983 $true +.sym 18020 wr_cnt[0]$3 +.sym 18021 $false +.sym 18022 wr_cnt[0] +.sym 18023 $false +.sym 18024 $false +.sym 18026 $auto$alumacc.cc:470:replace_alu$12138.C[2] +.sym 18028 wr_cnt[1] +.sym 18029 $false +.sym 18033 $false +.sym 18034 wr_cnt[2] +.sym 18035 $true$2 +.sym 18036 $auto$alumacc.cc:470:replace_alu$12138.C[2] +.sym 18039 $abc$56607$n773 +.sym 18040 $abc$56607$n842 +.sym 18041 $abc$56607$n988 +.sym 18042 $abc$56607$n754 +.sym 18045 $abc$56607$n768 +.sym 18046 $abc$56607$n772 .sym 18047 $false .sym 18048 $false -.sym 18051 $abc$32112$n2092 -.sym 18052 $false -.sym 18053 $false +.sym 18051 uart_double_ff +.sym 18052 $abc$56607$n774 +.sym 18053 $abc$56607$n762 .sym 18054 $false -.sym 18057 $abc$32112$n2091 -.sym 18058 $false -.sym 18059 $false -.sym 18060 $false -.sym 18063 $abc$32112$n2090 -.sym 18064 $false +.sym 18057 last_uart_active +.sym 18058 $abc$56607$n741 +.sym 18059 UART.tx_activity +.sym 18060 $abc$56607$n769 +.sym 18063 $abc$56607$n774 +.sym 18064 $abc$56607$n747 .sym 18065 $false .sym 18066 $false -.sym 18067 $abc$32112$n678 +.sym 18067 $abc$56607$n881 .sym 18068 CLK$2$2 -.sym 18069 $0\KBD_FREEZE[0:0]$2 -.sym 18070 $abc$32112$n1055 -.sym 18071 $abc$32112$n1054_1 -.sym 18072 $abc$32112$n1047 -.sym 18073 $abc$32112$n1075 -.sym 18074 $abc$32112$n1053_1 -.sym 18076 KEYBOARD.report[14] -.sym 18144 LED1$2 -.sym 18145 $false -.sym 18146 $false -.sym 18147 $false -.sym 18156 KEYBOARD.report[13] -.sym 18157 KEYBOARD.report[45] -.sym 18158 I2C.byte_counter[1] -.sym 18159 I2C.byte_counter[2] -.sym 18162 $abc$32112$n1075 -.sym 18163 $abc$32112$n1076 +.sym 18069 $abc$56607$n35$2 +.sym 18070 $abc$56607$n1501_1 +.sym 18071 $abc$56607$n985 +.sym 18072 $abc$56607$n938 +.sym 18074 COM_DCD$2 +.sym 18076 $abc$56607$n782 +.sym 18077 LED1$2 +.sym 18106 $false +.sym 18143 $auto$maccmap.cc:240:synth$13026.C[5] +.sym 18145 $abc$56607$n2549 +.sym 18146 $abc$56607$n2551 +.sym 18149 $auto$maccmap.cc:240:synth$13026.C[6] +.sym 18150 $false +.sym 18151 $2\ring_wr[3:0][2] +.sym 18152 $abc$56607$n2671 +.sym 18153 $auto$maccmap.cc:240:synth$13026.C[5] +.sym 18155 $auto$maccmap.cc:240:synth$13026.C[7] +.sym 18156 $false +.sym 18157 $2\ring_wr[3:0][3] +.sym 18158 $false +.sym 18159 $auto$maccmap.cc:240:synth$13026.C[6] +.sym 18162 $false +.sym 18163 $false .sym 18164 $false -.sym 18165 $false -.sym 18168 $false -.sym 18169 I2C.byte_counter[0] -.sym 18170 $true$2 -.sym 18171 $true$2 -.sym 18174 $abc$32112$n2092 -.sym 18175 $false -.sym 18176 $false -.sym 18177 $false -.sym 18190 $abc$32112$n720$2 +.sym 18165 $auto$maccmap.cc:240:synth$13026.C[7] +.sym 18168 $abc$56607$n741 +.sym 18169 UART.tx_activity +.sym 18170 last_uart_active +.sym 18171 $abc$56607$n988 +.sym 18174 $abc$56607$n761 +.sym 18175 UART_WR +.sym 18176 $abc$56607$n769 +.sym 18177 $abc$56607$n753 +.sym 18180 $abc$56607$n778 +.sym 18181 $abc$56607$n867 +.sym 18182 $false +.sym 18183 $false +.sym 18186 UART.tx_activity +.sym 18187 $false +.sym 18188 $false +.sym 18189 $false +.sym 18190 $abc$56607$n1227 .sym 18191 CLK$2$2 -.sym 18192 $0\KBD_FREEZE[0:0]$2 -.sym 18199 $abc$32112$n23 -.sym 18200 $abc$32112$n10 -.sym 18267 $abc$32112$n1293 -.sym 18268 $abc$32112$n677 +.sym 18192 $abc$56607$n35$2 +.sym 18193 $abc$56607$n2546 +.sym 18194 $abc$56607$n1221_1 +.sym 18195 $abc$56607$n1243 +.sym 18196 $abc$56607$n1953 +.sym 18197 $abc$56607$n1234 +.sym 18198 $abc$56607$n1239 +.sym 18199 $abc$56607$n1242 +.sym 18200 int_tmr[19] +.sym 18267 $false +.sym 18268 wr_cnt[0] .sym 18269 $false .sym 18270 $false -.sym 18273 $abc$32112$n682 -.sym 18274 $abc$32112$n1293 -.sym 18275 $abc$32112$n627 +.sym 18273 $2\ring_wr[3:0][1] +.sym 18274 $2\ring_wr[3:0][3] +.sym 18275 $false .sym 18276 $false -.sym 18279 $abc$32112$n675 -.sym 18280 $abc$32112$n1292_1 -.sym 18281 $abc$32112$n631_1 -.sym 18282 $false -.sym 18291 $abc$32112$n632 -.sym 18292 $abc$32112$n633 -.sym 18293 $abc$32112$n631_1 -.sym 18294 $abc$32112$n627 -.sym 18297 LED1$2 -.sym 18298 UART_WR -.sym 18299 last_isr -.sym 18300 KEYBOARD.isr -.sym 18303 KEYBOARD.isr -.sym 18304 $false -.sym 18305 $false -.sym 18306 $false -.sym 18313 I2C.FLT_SCL.RESET +.sym 18279 $abc$56607$n1949 +.sym 18280 $6\report_data_wadr[7:0][7] +.sym 18281 $abc$56607$n670 +.sym 18282 $abc$56607$n22 +.sym 18285 $2\ring_wr[3:0][1] +.sym 18286 $2\ring_wr[3:0][3] +.sym 18287 $false +.sym 18288 $false +.sym 18291 $abc$56607$n1239 +.sym 18292 $abc$56607$n2549 +.sym 18293 $abc$56607$n2551 +.sym 18294 $abc$56607$n670 +.sym 18297 $abc$56607$n1220 +.sym 18298 $abc$56607$n1961 +.sym 18299 $abc$56607$n1236_1 +.sym 18300 $abc$56607$n22 +.sym 18303 $abc$56607$n1220 +.sym 18304 $abc$56607$n1967 +.sym 18305 $abc$56607$n22 +.sym 18306 $abc$56607$n1249_1 +.sym 18309 $abc$56607$n1220 +.sym 18310 $abc$56607$n1221_1 +.sym 18311 $abc$56607$n771 +.sym 18312 $6\report_data_wadr[7:0][0] +.sym 18313 $abc$56607$n1018$2 .sym 18314 CLK$2$2 .sym 18315 $false -.sym 18318 $abc$32112$n1558 -.sym 18321 KEYBOARD.report[12] -.sym 18390 $false -.sym 18391 UART.tx_bit_counter[0] -.sym 18392 $false -.sym 18393 $true$2 -.sym 18396 UART.tx_bit_counter[0] -.sym 18397 UART.tx_bit_counter[1] -.sym 18398 $false -.sym 18399 $false -.sym 18402 UART.tx_bit_counter[3] -.sym 18403 $abc$32112$n711 -.sym 18404 $false -.sym 18405 $false -.sym 18408 $abc$32112$n2106 -.sym 18409 $abc$32112$n2107 -.sym 18410 $false -.sym 18411 $false -.sym 18414 $abc$32112$n2143 -.sym 18415 $false -.sym 18416 $false -.sym 18417 $false -.sym 18420 $abc$32112$n2141 -.sym 18421 $false -.sym 18422 $false -.sym 18423 $false -.sym 18426 $abc$32112$n2106 -.sym 18427 $false -.sym 18428 $false -.sym 18429 $false -.sym 18432 $abc$32112$n2107 -.sym 18433 $false -.sym 18434 $false -.sym 18435 $false -.sym 18436 $abc$32112$n595 +.sym 18317 $6\report_data_wadr[7:0][5] +.sym 18318 $6\report_data_wadr[7:0][6] +.sym 18319 $6\report_data_wadr[7:0][7] +.sym 18320 $abc$56607$n2680 +.sym 18321 $abc$56607$n2681 +.sym 18322 $abc$56607$n2678 +.sym 18396 $abc$56607$n1946 +.sym 18397 $6\report_data_wadr[7:0][6] +.sym 18398 $abc$56607$n670 +.sym 18399 $abc$56607$n22 +.sym 18408 $abc$56607$n1943 +.sym 18409 $6\report_data_wadr[7:0][5] +.sym 18410 $abc$56607$n670 +.sym 18411 $abc$56607$n22 +.sym 18414 $abc$56607$n1220 +.sym 18415 $abc$56607$n1965 +.sym 18416 $abc$56607$n22 +.sym 18417 $abc$56607$n1247 +.sym 18432 $abc$56607$n1220 +.sym 18433 $abc$56607$n1963 +.sym 18434 $abc$56607$n22 +.sym 18435 $abc$56607$n1245 +.sym 18436 $abc$56607$n1018$2 .sym 18437 CLK$2$2 -.sym 18438 $abc$32112$n21 +.sym 18438 $false +.sym 18446 $abc$56607$n22 .sym 18475 $true -.sym 18512 UART.tx_bit_counter[0]$2 +.sym 18512 init_ram_cnt[1]$3 .sym 18513 $false -.sym 18514 UART.tx_bit_counter[0] +.sym 18514 init_ram_cnt[1] .sym 18515 $false .sym 18516 $false -.sym 18518 $auto$alumacc.cc:470:replace_alu$4643.C[2] -.sym 18520 UART.tx_bit_counter[1] +.sym 18518 $auto$alumacc.cc:470:replace_alu$12144.C[3] +.sym 18520 init_ram_cnt[2] .sym 18521 $true$2 -.sym 18524 $auto$alumacc.cc:470:replace_alu$4643.C[3] +.sym 18524 $auto$alumacc.cc:470:replace_alu$12144.C[4] .sym 18525 $false -.sym 18526 UART.tx_bit_counter[2] -.sym 18527 $true$2 -.sym 18528 $auto$alumacc.cc:470:replace_alu$4643.C[2] +.sym 18526 init_ram_cnt[3] +.sym 18527 $false +.sym 18528 $auto$alumacc.cc:470:replace_alu$12144.C[3] +.sym 18530 $auto$alumacc.cc:470:replace_alu$12144.C[5] .sym 18531 $false -.sym 18532 UART.tx_bit_counter[3] +.sym 18532 init_ram_cnt[4] .sym 18533 $true$2 -.sym 18534 $auto$alumacc.cc:470:replace_alu$4643.C[3] -.sym 18537 UART.tx_bit_counter[0] -.sym 18538 UART.tx_bit_counter[1] -.sym 18539 UART.tx_bit_counter[2] -.sym 18540 UART.tx_activity -.sym 18543 UART.tx_activity -.sym 18544 $false -.sym 18545 $false -.sym 18546 $false -.sym 18549 $abc$32112$n2143 -.sym 18550 $false -.sym 18551 $false -.sym 18552 $false -.sym 18555 $abc$32112$n2141 -.sym 18556 $false -.sym 18557 $false -.sym 18558 $false -.sym 18559 $abc$32112$n595 -.sym 18560 CLK$2$2 -.sym 18561 $abc$32112$n21 -.sym 18736 I2C_INPUT_DATA[4][5] -.sym 18737 I2C_INPUT_DATA[4][7] -.sym 18738 I2C_INPUT_DATA[5][0] -.sym 18739 $abc$32112$n657 -.sym 18742 I2C_INPUT_DATA[5][2] -.sym 18743 I2C_INPUT_DATA[5][5] -.sym 18744 I2C_INPUT_DATA[5][6] -.sym 18745 I2C_INPUT_DATA[4][2] -.sym 18748 I2C.received_byte[5] +.sym 18534 $auto$alumacc.cc:470:replace_alu$12144.C[4] +.sym 18536 $auto$alumacc.cc:470:replace_alu$12144.C[6] +.sym 18537 $false +.sym 18538 init_ram_cnt[5] +.sym 18539 $true$2 +.sym 18540 $auto$alumacc.cc:470:replace_alu$12144.C[5] +.sym 18542 $auto$alumacc.cc:470:replace_alu$12144.C[7] +.sym 18543 $false +.sym 18544 init_ram_cnt[6] +.sym 18545 $true$2 +.sym 18546 $auto$alumacc.cc:470:replace_alu$12144.C[6] +.sym 18549 $false +.sym 18550 init_ram_cnt[7] +.sym 18551 $true$2 +.sym 18552 $auto$alumacc.cc:470:replace_alu$12144.C[7] +.sym 18698 $true +.sym 18735 KEYBOARD.row_time[0]$2 +.sym 18736 $false +.sym 18737 KEYBOARD.row_time[0] +.sym 18738 $false +.sym 18739 $false +.sym 18741 $auto$alumacc.cc:470:replace_alu$12194.C[2] +.sym 18743 $false +.sym 18744 KEYBOARD.row_time[1] +.sym 18747 $auto$alumacc.cc:470:replace_alu$12194.C[3] +.sym 18748 $false .sym 18749 $false -.sym 18750 $false -.sym 18751 $false -.sym 18754 I2C.received_byte[7] +.sym 18750 KEYBOARD.row_time[2] +.sym 18751 $auto$alumacc.cc:470:replace_alu$12194.C[2] +.sym 18753 $auto$alumacc.cc:470:replace_alu$12194.C[4] +.sym 18754 $false .sym 18755 $false -.sym 18756 $false -.sym 18757 $false -.sym 18760 I2C.received_byte[0] +.sym 18756 KEYBOARD.row_time[3] +.sym 18757 $auto$alumacc.cc:470:replace_alu$12194.C[3] +.sym 18759 $auto$alumacc.cc:470:replace_alu$12194.C[5] +.sym 18760 $false .sym 18761 $false -.sym 18762 $false -.sym 18763 $false -.sym 18772 I2C.received_byte[2] +.sym 18762 KEYBOARD.row_time[4] +.sym 18763 $auto$alumacc.cc:470:replace_alu$12194.C[4] +.sym 18765 $auto$alumacc.cc:470:replace_alu$12194.C[6] +.sym 18766 $false +.sym 18767 $false +.sym 18768 KEYBOARD.row_time[5] +.sym 18769 $auto$alumacc.cc:470:replace_alu$12194.C[5] +.sym 18771 $auto$alumacc.cc:470:replace_alu$12194.C[7] +.sym 18772 $false .sym 18773 $false -.sym 18774 $false -.sym 18775 $false -.sym 18778 I2C.received_byte[6] +.sym 18774 KEYBOARD.row_time[6] +.sym 18775 $auto$alumacc.cc:470:replace_alu$12194.C[6] +.sym 18777 $auto$alumacc.cc:470:replace_alu$12194.C[8] +.sym 18778 $false .sym 18779 $false -.sym 18780 $false -.sym 18781 $false -.sym 18782 $abc$32112$n2231 +.sym 18780 KEYBOARD.row_time[7] +.sym 18781 $auto$alumacc.cc:470:replace_alu$12194.C[7] +.sym 18782 $abc$56607$n1490$2 .sym 18783 CLK$2$2 -.sym 18784 $false -.sym 18899 I2C.received_byte[6] +.sym 18784 $abc$56607$n33$2 +.sym 18861 $auto$alumacc.cc:470:replace_alu$12194.C[8] +.sym 18898 $auto$alumacc.cc:470:replace_alu$12194.C[9] +.sym 18899 $false .sym 18900 $false -.sym 18901 $false -.sym 18902 $false -.sym 18911 I2C.received_byte[4] +.sym 18901 KEYBOARD.row_time[8] +.sym 18902 $auto$alumacc.cc:470:replace_alu$12194.C[8] +.sym 18904 $auto$alumacc.cc:470:replace_alu$12194.C[10] +.sym 18905 $false +.sym 18906 $false +.sym 18907 KEYBOARD.row_time[9] +.sym 18908 $auto$alumacc.cc:470:replace_alu$12194.C[9] +.sym 18910 $auto$alumacc.cc:470:replace_alu$12194.C[11] +.sym 18911 $false .sym 18912 $false -.sym 18913 $false -.sym 18914 $false -.sym 18917 I2C.received_byte[0] +.sym 18913 KEYBOARD.row_time[10] +.sym 18914 $auto$alumacc.cc:470:replace_alu$12194.C[10] +.sym 18916 $auto$alumacc.cc:470:replace_alu$12194.C[12] +.sym 18917 $false .sym 18918 $false -.sym 18919 $false -.sym 18920 $false -.sym 18929 I2C.received_byte[3] +.sym 18919 KEYBOARD.row_time[11] +.sym 18920 $auto$alumacc.cc:470:replace_alu$12194.C[11] +.sym 18922 $auto$alumacc.cc:470:replace_alu$12194.C[13] +.sym 18923 $false +.sym 18924 $false +.sym 18925 KEYBOARD.row_time[12] +.sym 18926 $auto$alumacc.cc:470:replace_alu$12194.C[12] +.sym 18928 $auto$alumacc.cc:470:replace_alu$12194.C[14] +.sym 18929 $false .sym 18930 $false -.sym 18931 $false -.sym 18932 $false -.sym 18935 I2C.received_byte[1] +.sym 18931 KEYBOARD.row_time[13] +.sym 18932 $auto$alumacc.cc:470:replace_alu$12194.C[13] +.sym 18934 $auto$alumacc.cc:470:replace_alu$12194.C[15] +.sym 18935 $false .sym 18936 $false -.sym 18937 $false -.sym 18938 $false -.sym 18945 $abc$32112$n2235 +.sym 18937 KEYBOARD.row_time[14] +.sym 18938 $auto$alumacc.cc:470:replace_alu$12194.C[14] +.sym 18941 $false +.sym 18942 $false +.sym 18943 KEYBOARD.row_time[15] +.sym 18944 $auto$alumacc.cc:470:replace_alu$12194.C[15] +.sym 18945 $abc$56607$n1490$2 .sym 18946 CLK$2$2 -.sym 18947 $false -.sym 18984 $true -.sym 19021 $abc$32112$n2095$2 -.sym 19022 $false -.sym 19023 $abc$32112$n2095 -.sym 19024 $false -.sym 19025 $false -.sym 19027 $auto$alumacc.cc:470:replace_alu$4608.C[3] -.sym 19029 $false -.sym 19030 $abc$32112$n2145 -.sym 19033 $auto$alumacc.cc:470:replace_alu$4608.C[4] -.sym 19035 $false -.sym 19036 $abc$32112$n2146 -.sym 19039 $auto$alumacc.cc:470:replace_alu$4608.C[5] -.sym 19041 $false -.sym 19042 $abc$32112$n2147 -.sym 19045 $auto$alumacc.cc:470:replace_alu$4608.C[6] -.sym 19047 $false -.sym 19048 $abc$32112$n2148 -.sym 19051 $auto$alumacc.cc:470:replace_alu$4608.C[7] -.sym 19053 $false -.sym 19054 $abc$32112$n2149 -.sym 19057 $abc$32112$n2170$2 -.sym 19059 $false -.sym 19060 $abc$32112$n2150 -.sym 19067 $abc$32112$n2170$2 -.sym 19151 $abc$32112$n826 -.sym 19152 $abc$32112$n10 -.sym 19153 $abc$32112$n585 -.sym 19154 I2C.FLT_SCL.RESET -.sym 19163 $abc$32112$n826 -.sym 19164 $abc$32112$n10 -.sym 19165 $abc$32112$n569 -.sym 19166 I2C.FLT_SCL.RESET -.sym 19181 KEYBOARD.COLS_SHADOW[3] +.sym 18947 $abc$56607$n33$2 +.sym 19022 $abc$56607$n681 +.sym 19023 $abc$56607$n687 +.sym 19024 KEYBOARD.row_time[8] +.sym 19025 KEYBOARD.row_time[9] +.sym 19028 KEYBOARD.row_time[4] +.sym 19029 KEYBOARD.row_time[5] +.sym 19030 KEYBOARD.row_time[2] +.sym 19031 KEYBOARD.row_time[3] +.sym 19034 $abc$56607$n688 +.sym 19035 KEYBOARD.row_time[10] +.sym 19036 KEYBOARD.row_time[11] +.sym 19037 $false +.sym 19040 KEYBOARD.row_time[12] +.sym 19041 KEYBOARD.row_time[14] +.sym 19042 KEYBOARD.row_time[15] +.sym 19043 KEYBOARD.row_time[13] +.sym 19046 KEYBOARD.row_time[8] +.sym 19047 KEYBOARD.row_time[9] +.sym 19048 KEYBOARD.row_time[10] +.sym 19049 KEYBOARD.row_time[11] +.sym 19052 KEYBOARD.row_time[10] +.sym 19053 KEYBOARD.row_time[11] +.sym 19054 $false +.sym 19055 $false +.sym 19058 KEYBOARD.row_time[8] +.sym 19059 KEYBOARD.row_time[9] +.sym 19060 $false +.sym 19061 $false +.sym 19064 $abc$56607$n2462 +.sym 19065 $false +.sym 19066 $false +.sym 19067 $false +.sym 19068 $abc$56607$n1435 +.sym 19069 CLK$2$2 +.sym 19070 $abc$56607$n35$2 +.sym 19145 $abc$56607$n27 +.sym 19146 $abc$56607$n912 +.sym 19147 I2C.FLT_SCL.RESET +.sym 19148 $false +.sym 19151 $abc$56607$n2231 +.sym 19152 KEYBOARD.row_counter[0] +.sym 19153 KEYBOARD.row_counter[1] +.sym 19154 $abc$56607$n2237 +.sym 19157 $abc$56607$n932 +.sym 19158 $abc$56607$n934 +.sym 19159 $abc$56607$n1494 +.sym 19160 $false +.sym 19163 $abc$56607$n27 +.sym 19164 I2C.FLT_SCL.RESET +.sym 19165 $abc$56607$n912 +.sym 19166 $false +.sym 19175 $abc$56607$n2462 +.sym 19176 $false +.sym 19177 $false +.sym 19178 $false +.sym 19181 $abc$56607$n2463 .sym 19182 $false .sym 19183 $false .sym 19184 $false -.sym 19191 $abc$32112$n811 +.sym 19191 $abc$56607$n1407 .sym 19192 CLK$2$2 -.sym 19193 $0\KBD_FREEZE[0:0]$2 -.sym 19268 $abc$32112$n1174 -.sym 19269 $abc$32112$n1175 +.sym 19193 $abc$56607$n35$2 +.sym 19268 $abc$56607$n969 +.sym 19269 $abc$56607$n952 .sym 19270 $false .sym 19271 $false -.sym 19274 KEYBOARD.last_data[11] -.sym 19275 KEYBOARD.last_data[3] -.sym 19276 KEYBOARD.row_counter[1] +.sym 19274 $abc$56607$n1494 +.sym 19275 $abc$56607$n933 +.sym 19276 $false .sym 19277 $false -.sym 19280 $abc$32112$n826 -.sym 19281 $abc$32112$n10 -.sym 19282 $abc$32112$n598 -.sym 19283 I2C.FLT_SCL.RESET -.sym 19286 $abc$32112$n1283 -.sym 19287 $abc$32112$n1282 -.sym 19288 KEYBOARD.row_counter[0] +.sym 19280 $abc$56607$n1495 +.sym 19281 $abc$56607$n959 +.sym 19282 $false +.sym 19283 $false +.sym 19286 $abc$56607$n1494 +.sym 19287 $abc$56607$n928 +.sym 19288 $abc$56607$n926 .sym 19289 $false -.sym 19292 $abc$32112$n1174 -.sym 19293 $abc$32112$n1175 -.sym 19294 $false -.sym 19295 $false -.sym 19298 $abc$32112$n1174 -.sym 19299 $abc$32112$n1175 -.sym 19300 $false -.sym 19301 $false -.sym 19304 KEYBOARD.last_data[15] -.sym 19305 KEYBOARD.last_data[7] -.sym 19306 KEYBOARD.row_counter[1] -.sym 19307 $false -.sym 19310 KEYBOARD.COLS_SHADOW[3] +.sym 19292 $abc$56607$n1475 +.sym 19293 $abc$56607$n1495 +.sym 19294 $abc$56607$n901_1 +.sym 19295 $abc$56607$n1477 +.sym 19298 $abc$56607$n1494 +.sym 19299 $abc$56607$n928 +.sym 19300 $abc$56607$n958 +.sym 19301 $abc$56607$n961 +.sym 19304 $abc$56607$n950 +.sym 19305 $abc$56607$n951 +.sym 19306 $abc$56607$n901_1 +.sym 19307 $abc$56607$n958 +.sym 19310 $abc$56607$n2462 .sym 19311 $false .sym 19312 $false .sym 19313 $false -.sym 19314 $abc$32112$n834 +.sym 19314 $abc$56607$n1450 .sym 19315 CLK$2$2 -.sym 19316 $0\KBD_FREEZE[0:0]$2 -.sym 19391 $abc$32112$n1175 -.sym 19392 $abc$32112$n600 -.sym 19393 $abc$32112$n598 -.sym 19394 $abc$32112$n613 -.sym 19397 $abc$32112$n1284 -.sym 19398 KEYBOARD.COLS_SHADOW[3] -.sym 19399 $abc$32112$n575 -.sym 19400 $false -.sym 19403 $abc$32112$n671 -.sym 19404 $abc$32112$n63 -.sym 19405 $false -.sym 19406 $false -.sym 19409 $abc$32112$n1284 -.sym 19410 KEYBOARD.COLS_SHADOW[3] -.sym 19411 $abc$32112$n575 -.sym 19412 $abc$32112$n598 -.sym 19415 $abc$32112$n560 -.sym 19416 $abc$32112$n1287 -.sym 19417 KEYBOARD.COLS_SHADOW[2] -.sym 19418 $abc$32112$n582 -.sym 19421 $abc$32112$n585 -.sym 19422 $abc$32112$n1287 -.sym 19423 KEYBOARD.COLS_SHADOW[2] -.sym 19424 $abc$32112$n582 -.sym 19427 I2C.received_byte[1] +.sym 19316 $abc$56607$n35$2 +.sym 19391 KEYBOARD.kbd_code_hid[3] +.sym 19392 KEYBOARD.report[4][3] +.sym 19393 KEYBOARD.report[4][1] +.sym 19394 KEYBOARD.kbd_code_hid[1] +.sym 19397 $abc$56607$n1119 +.sym 19398 KEYBOARD.report[4][7] +.sym 19399 $abc$56607$n1211_1 +.sym 19400 $abc$56607$n1212 +.sym 19403 kbd_report[5][4] +.sym 19404 KEYBOARD.report[1][4] +.sym 19405 $abc$56607$n312 +.sym 19406 $abc$56607$n1113_1 +.sym 19409 KEYBOARD.report[4][0] +.sym 19410 KEYBOARD.report[4][1] +.sym 19411 KEYBOARD.report[4][2] +.sym 19412 KEYBOARD.report[4][3] +.sym 19415 $abc$56607$n1119 +.sym 19416 KEYBOARD.report[4][2] +.sym 19417 KEYBOARD.report[6][2] +.sym 19418 $abc$56607$n1121 +.sym 19421 $abc$56607$n2463 +.sym 19422 $false +.sym 19423 $false +.sym 19424 $false +.sym 19427 $abc$56607$n2465 .sym 19428 $false .sym 19429 $false .sym 19430 $false -.sym 19433 I2C.received_byte[0] +.sym 19433 $abc$56607$n2460 .sym 19434 $false .sym 19435 $false .sym 19436 $false -.sym 19437 $abc$32112$n2227 +.sym 19437 $abc$56607$n1422 .sym 19438 CLK$2$2 -.sym 19439 $false -.sym 19514 $abc$32112$n604 -.sym 19515 $abc$32112$n605_1 -.sym 19516 $abc$32112$n606 -.sym 19517 $abc$32112$n607 -.sym 19520 $abc$32112$n605_1 -.sym 19521 $abc$32112$n614_1 -.sym 19522 $abc$32112$n779_1 +.sym 19439 $abc$56607$n35$2 +.sym 19514 $abc$56607$n1118 +.sym 19515 KEYBOARD.report[3][7] +.sym 19516 $abc$56607$n1213 +.sym 19517 $abc$56607$n1210 +.sym 19520 $abc$56607$n1114 +.sym 19521 KEYBOARD.report[2][7] +.sym 19522 $false .sym 19523 $false -.sym 19526 $abc$32112$n1175 -.sym 19527 $abc$32112$n1174 -.sym 19528 $abc$32112$n600 -.sym 19529 $abc$32112$n613 -.sym 19532 $abc$32112$n671 -.sym 19533 $abc$32112$n61 -.sym 19534 $false -.sym 19535 $false -.sym 19538 $abc$32112$n605_1 -.sym 19539 $abc$32112$n614_1 -.sym 19540 $abc$32112$n615 -.sym 19541 $abc$32112$n613 -.sym 19544 $abc$32112$n604 -.sym 19545 $abc$32112$n605_1 -.sym 19546 $abc$32112$n606 -.sym 19547 $abc$32112$n607 -.sym 19550 $abc$32112$n598 -.sym 19551 $abc$32112$n613 -.sym 19552 $abc$32112$n615 +.sym 19526 KEYBOARD.kbd_code_hid[2] +.sym 19527 KEYBOARD.report[3][2] +.sym 19528 KEYBOARD.report[3][0] +.sym 19529 KEYBOARD.kbd_code_hid[0] +.sym 19532 $abc$56607$n1118 +.sym 19533 KEYBOARD.report[3][3] +.sym 19534 $abc$56607$n1114 +.sym 19535 KEYBOARD.report[2][3] +.sym 19538 $abc$56607$n970 +.sym 19539 $abc$56607$n971 +.sym 19540 $abc$56607$n972 +.sym 19541 $abc$56607$n973 +.sym 19544 KEYBOARD.kbd_code_hid[6] +.sym 19545 KEYBOARD.report[3][6] +.sym 19546 KEYBOARD.report[3][1] +.sym 19547 KEYBOARD.kbd_code_hid[1] +.sym 19550 KEYBOARD.kbd_code_hid[7] +.sym 19551 $false +.sym 19552 $false .sym 19553 $false -.sym 19556 I2C_INPUT_DATA[4][0] -.sym 19557 I2C_INPUT_DATA[8][0] -.sym 19558 $abc$32112$n664 +.sym 19556 KEYBOARD.kbd_code_hid[5] +.sym 19557 $false +.sym 19558 $false .sym 19559 $false -.sym 19560 $abc$32112$n501 +.sym 19560 $abc$56607$n1328 .sym 19561 CLK$2$2 -.sym 19562 $0\KBD_FREEZE[0:0]$2 -.sym 19637 KEYBOARD.report[20] -.sym 19638 KEYBOARD.report[52] -.sym 19639 I2C.byte_counter[2] -.sym 19640 I2C.byte_counter[1] -.sym 19643 $abc$32112$n671 -.sym 19644 $abc$32112$n67 -.sym 19645 $false -.sym 19646 $false -.sym 19649 $abc$32112$n717 -.sym 19650 $abc$32112$n630 -.sym 19651 $false -.sym 19652 $false -.sym 19655 KEYBOARD.report[17] -.sym 19656 $abc$32112$n61 -.sym 19657 KEYBOARD.report[18] -.sym 19658 $abc$32112$n63 -.sym 19661 $abc$32112$n1328 -.sym 19662 $abc$32112$n739 -.sym 19663 $abc$32112$n720_1 -.sym 19664 $false -.sym 19667 $abc$32112$n742 -.sym 19668 $abc$32112$n743_1 -.sym 19669 $false -.sym 19670 $false -.sym 19673 $abc$32112$n29$2 -.sym 19674 KEYBOARD.row_counter[0] -.sym 19675 KEYBOARD.row_counter[1] -.sym 19676 $false -.sym 19679 KEYBOARD.COLS_SHADOW[1] +.sym 19562 $abc$56607$n27 +.sym 19637 KEYBOARD.report[2][4] +.sym 19638 KEYBOARD.report[2][5] +.sym 19639 KEYBOARD.report[2][6] +.sym 19640 KEYBOARD.report[2][7] +.sym 19643 KEYBOARD.kbd_code_hid[7] +.sym 19644 KEYBOARD.report[2][7] +.sym 19645 KEYBOARD.report[2][3] +.sym 19646 KEYBOARD.kbd_code_hid[3] +.sym 19649 $abc$56607$n977 +.sym 19650 $abc$56607$n978 +.sym 19651 $abc$56607$n979_1 +.sym 19652 $abc$56607$n980 +.sym 19655 $abc$56607$n907 +.sym 19656 $abc$56607$n908 +.sym 19657 $false +.sym 19658 $false +.sym 19661 KEYBOARD.kbd_code_hid[4] +.sym 19662 KEYBOARD.report[2][4] +.sym 19663 KEYBOARD.report[2][0] +.sym 19664 KEYBOARD.kbd_code_hid[0] +.sym 19667 KEYBOARD.report[2][0] +.sym 19668 KEYBOARD.report[2][1] +.sym 19669 KEYBOARD.report[2][2] +.sym 19670 KEYBOARD.report[2][3] +.sym 19673 KEYBOARD.kbd_code_hid[6] +.sym 19674 KEYBOARD.report[2][6] +.sym 19675 KEYBOARD.report[2][1] +.sym 19676 KEYBOARD.kbd_code_hid[1] +.sym 19679 $abc$56607$n2461 .sym 19680 $false .sym 19681 $false .sym 19682 $false -.sym 19683 $abc$32112$n822 +.sym 19683 $abc$56607$n1435 .sym 19684 CLK$2$2 -.sym 19685 $0\KBD_FREEZE[0:0]$2 -.sym 19760 KEYBOARD.report[57] -.sym 19761 KEYBOARD.report[49] -.sym 19762 $abc$32112$n1628 -.sym 19763 $abc$32112$n882 -.sym 19766 KEYBOARD.report[25] -.sym 19767 KEYBOARD.report[17] -.sym 19768 $abc$32112$n1628 -.sym 19769 $abc$32112$n1035 -.sym 19772 $abc$32112$n1046 -.sym 19773 $abc$32112$n1049 -.sym 19774 $abc$32112$n1050 +.sym 19685 $abc$56607$n35$2 +.sym 19760 wr_cnt[0] +.sym 19761 wr_cnt[2] +.sym 19762 wr_cnt[1] +.sym 19763 wr_cnt[3] +.sym 19766 $abc$56607$n321 +.sym 19767 wr_cnt[2] +.sym 19768 wr_cnt[1] +.sym 19769 $false +.sym 19772 wr_cnt[0] +.sym 19773 wr_cnt[1] +.sym 19774 $abc$56607$n305 .sym 19775 $false -.sym 19778 $abc$32112$n741 -.sym 19779 $abc$32112$n717 -.sym 19780 $abc$32112$n671 -.sym 19781 $false -.sym 19784 $abc$32112$n1032 -.sym 19785 $abc$32112$n1033 -.sym 19786 I2C.byte_counter[1] -.sym 19787 I2C.byte_counter[2] -.sym 19790 KEYBOARD.report[59] -.sym 19791 KEYBOARD.report[51] -.sym 19792 $abc$32112$n1628 -.sym 19793 $abc$32112$n882 -.sym 19796 KEYBOARD.report[27] -.sym 19797 KEYBOARD.report[19] -.sym 19798 $abc$32112$n1628 -.sym 19799 $abc$32112$n1035 -.sym 19802 $abc$32112$n1031 -.sym 19803 $abc$32112$n1034 -.sym 19804 $abc$32112$n1036 +.sym 19778 $abc$56607$n305 +.sym 19779 $abc$56607$n312 +.sym 19780 wr_cnt[0] +.sym 19781 wr_cnt[1] +.sym 19784 $false +.sym 19785 wr_cnt[0] +.sym 19786 $false +.sym 19787 $true$2 +.sym 19790 KEYBOARD.row_counter[0] +.sym 19791 KEYBOARD.row_counter[1] +.sym 19792 $abc$56607$n2231 +.sym 19793 $abc$56607$n2237 +.sym 19796 $abc$56607$n2461 +.sym 19797 $false +.sym 19798 $false +.sym 19799 $false +.sym 19802 $abc$56607$n2459 +.sym 19803 $false +.sym 19804 $false .sym 19805 $false -.sym 19883 $abc$32112$n741 -.sym 19884 $abc$32112$n671 -.sym 19885 $abc$32112$n755 -.sym 19886 I2C.FLT_SCL.RESET -.sym 19889 KEYBOARD.report[4] -.sym 19890 KEYBOARD.report[36] -.sym 19891 I2C.byte_counter[1] -.sym 19892 I2C.byte_counter[2] -.sym 19895 KEYBOARD.report[57] -.sym 19896 $abc$32112$n61 -.sym 19897 KEYBOARD.report[59] -.sym 19898 $abc$32112$n64 -.sym 19901 $abc$32112$n60 -.sym 19902 KEYBOARD.report[56] -.sym 19903 $false +.sym 19806 $abc$56607$n1422 +.sym 19807 CLK$2$2 +.sym 19808 $abc$56607$n35$2 +.sym 19883 $abc$56607$n757 +.sym 19884 $abc$56607$n791 +.sym 19885 $abc$56607$n736 +.sym 19886 $abc$56607$n733 +.sym 19889 $abc$56607$n746 +.sym 19890 $abc$56607$n758 +.sym 19891 I2C.FLT_SCL.RESET +.sym 19892 $false +.sym 19895 I2C.FLT_SCL.RESET +.sym 19896 $abc$56607$n22 +.sym 19897 $false +.sym 19898 $false +.sym 19901 $abc$56607$n770 +.sym 19902 $abc$56607$n758 +.sym 19903 I2C.FLT_SCL.RESET .sym 19904 $false -.sym 19907 KEYBOARD.report[56] -.sym 19908 KEYBOARD.report[57] -.sym 19909 KEYBOARD.report[58] -.sym 19910 KEYBOARD.report[59] -.sym 19913 $abc$32112$n741 -.sym 19914 $abc$32112$n755 +.sym 19907 KEYBOARD.isr +.sym 19908 last_isr +.sym 19909 $false +.sym 19910 $false +.sym 19913 $abc$56607$n733 +.sym 19914 $abc$56607$n736 .sym 19915 $false .sym 19916 $false -.sym 19919 $abc$32112$n671 -.sym 19920 KEYBOARD.report[0] -.sym 19921 $abc$32112$n621 +.sym 19919 $abc$56607$n770 +.sym 19920 $abc$56607$n793 +.sym 19921 $false .sym 19922 $false -.sym 19925 $abc$32112$n671 -.sym 19926 KEYBOARD.report[4] -.sym 19927 $abc$32112$n1167 +.sym 19925 KEYBOARD.isr +.sym 19926 $false +.sym 19927 $false .sym 19928 $false -.sym 19929 $abc$32112$n605 +.sym 19929 $abc$56607$n1232 .sym 19930 CLK$2$2 -.sym 19931 $0\KBD_FREEZE[0:0]$2 -.sym 20006 $abc$32112$n746 -.sym 20007 $abc$32112$n1299_1 -.sym 20008 $abc$32112$n754 -.sym 20009 $abc$32112$n720_1 -.sym 20012 KEYBOARD.report[28] -.sym 20013 KEYBOARD.report[29] -.sym 20014 KEYBOARD.report[30] -.sym 20015 KEYBOARD.report[31] -.sym 20018 $abc$32112$n671 -.sym 20019 $abc$32112$n748 -.sym 20020 $abc$32112$n67 -.sym 20021 KEYBOARD.report[29] -.sym 20024 $abc$32112$n756 -.sym 20025 $abc$32112$n757 -.sym 20026 $false -.sym 20027 $false -.sym 20030 $abc$32112$n60 -.sym 20031 KEYBOARD.report[24] -.sym 20032 $abc$32112$n747 -.sym 20033 $abc$32112$n749_1 -.sym 20036 $abc$32112$n2091 -.sym 20037 $false -.sym 20038 $false +.sym 19931 $abc$56607$n35$2 +.sym 19968 $true +.sym 20005 $abc$56607$n270$2 +.sym 20006 $false +.sym 20007 $abc$56607$n270 +.sym 20008 $false +.sym 20009 $false +.sym 20011 $auto$alumacc.cc:470:replace_alu$12087.C[2] +.sym 20013 $false +.sym 20014 $abc$56607$n269 +.sym 20017 $auto$alumacc.cc:470:replace_alu$12087.C[3] +.sym 20019 $true$2 +.sym 20020 $abc$56607$n2620 +.sym 20023 $abc$56607$n2577$2 +.sym 20025 $false +.sym 20026 $abc$56607$n266 +.sym 20033 $abc$56607$n2577$2 +.sym 20036 $abc$56607$n744 +.sym 20037 $abc$56607$n745 +.sym 20038 $abc$56607$n746 .sym 20039 $false -.sym 20042 $abc$32112$n2092 -.sym 20043 $false -.sym 20044 $false -.sym 20045 $false -.sym 20048 $abc$32112$n2087 +.sym 20048 I2C.received_byte[1] .sym 20049 $false .sym 20050 $false .sym 20051 $false -.sym 20052 $abc$32112$n631 +.sym 20052 $abc$56607$n1113 .sym 20053 CLK$2$2 -.sym 20054 $0\KBD_FREEZE[0:0]$2 -.sym 20129 $abc$32112$n70 -.sym 20130 KEYBOARD.report[31] -.sym 20131 $false +.sym 20054 $false +.sym 20129 $abc$56607$n842 +.sym 20130 $abc$56607$n743 +.sym 20131 $abc$56607$n746 .sym 20132 $false -.sym 20135 KEYBOARD.report[43] -.sym 20136 KEYBOARD.report[35] -.sym 20137 $abc$32112$n1628 -.sym 20138 $false -.sym 20141 $abc$32112$n61 -.sym 20142 KEYBOARD.report[41] -.sym 20143 $abc$32112$n66 -.sym 20144 KEYBOARD.report[44] -.sym 20147 $abc$32112$n738 -.sym 20148 KEYBOARD.report[43] -.sym 20149 $abc$32112$n69 -.sym 20150 KEYBOARD.report[46] -.sym 20153 KEYBOARD.report[40] -.sym 20154 KEYBOARD.report[41] -.sym 20155 KEYBOARD.report[42] -.sym 20156 KEYBOARD.report[43] -.sym 20159 $abc$32112$n1047 -.sym 20160 $abc$32112$n1048 -.sym 20161 I2C.byte_counter[1] -.sym 20162 I2C.byte_counter[2] -.sym 20165 $abc$32112$n1057 -.sym 20166 $abc$32112$n1056 -.sym 20167 $abc$32112$n1053_1 -.sym 20168 $abc$32112$n1628 -.sym 20171 $abc$32112$n671 -.sym 20172 KEYBOARD.report[3] -.sym 20173 $abc$32112$n1165 +.sym 20135 $abc$56607$n667 +.sym 20136 $abc$56607$n747 +.sym 20137 $abc$56607$n743 +.sym 20138 $abc$56607$n746 +.sym 20141 $false +.sym 20142 $true$2 +.sym 20143 int_tmr[0] +.sym 20144 $false +.sym 20153 $abc$56607$n842 +.sym 20154 UART.tx_activity +.sym 20155 last_uart_active +.sym 20156 $abc$56607$n762 +.sym 20159 wr_cnt[0] +.sym 20160 wr_cnt[1] +.sym 20161 wr_cnt[2] +.sym 20162 wr_cnt[3] +.sym 20165 $abc$56607$n745 +.sym 20166 $abc$56607$n744 +.sym 20167 $false +.sym 20168 $false +.sym 20171 $abc$56607$n667 +.sym 20172 int_tmr[1] +.sym 20173 $false .sym 20174 $false -.sym 20175 $abc$32112$n605 +.sym 20175 $abc$56607$n938 .sym 20176 CLK$2$2 -.sym 20177 $0\KBD_FREEZE[0:0]$2 -.sym 20252 KEYBOARD.report[28] -.sym 20253 KEYBOARD.report[60] -.sym 20254 I2C.byte_counter[2] -.sym 20255 I2C.byte_counter[1] -.sym 20258 KEYBOARD.report[12] -.sym 20259 KEYBOARD.report[44] -.sym 20260 I2C.byte_counter[1] -.sym 20261 I2C.byte_counter[2] -.sym 20264 KEYBOARD.report[11] -.sym 20265 KEYBOARD.report[3] -.sym 20266 $abc$32112$n1628 +.sym 20177 $abc$56607$n35$2 +.sym 20252 $abc$56607$n1500 +.sym 20253 $abc$56607$n1498 +.sym 20254 $abc$56607$n667 +.sym 20255 $false +.sym 20258 $abc$56607$n1501_1 +.sym 20259 $abc$56607$n781 +.sym 20260 $false +.sym 20261 $false +.sym 20264 $abc$56607$n782 +.sym 20265 $abc$56607$n781 +.sym 20266 $abc$56607$n1501_1 .sym 20267 $false -.sym 20270 KEYBOARD.report[15] -.sym 20271 KEYBOARD.report[47] -.sym 20272 I2C.byte_counter[1] -.sym 20273 I2C.byte_counter[2] -.sym 20276 $abc$32112$n1054_1 -.sym 20277 $abc$32112$n1055 +.sym 20276 LED1$2 +.sym 20277 $false .sym 20278 $false .sym 20279 $false -.sym 20288 $false -.sym 20289 $false -.sym 20290 $false -.sym 20291 $false -.sym 20298 $abc$32112$n630 +.sym 20288 int_tmr[19] +.sym 20289 int_tmr[0] +.sym 20290 UART_WR +.sym 20291 $abc$56607$n761 +.sym 20294 $abc$56607$n667 +.sym 20295 $abc$56607$n670 +.sym 20296 I2C.FLT_SCL.RESET +.sym 20297 $false +.sym 20298 $abc$56607$n873 .sym 20299 CLK$2$2 .sym 20300 $false -.sym 20411 KEYBOARD.row_counter[0] -.sym 20412 KEYBOARD.row_counter[1] -.sym 20413 $false +.sym 20375 $abc$56607$n1242 +.sym 20376 $abc$56607$n1243 +.sym 20377 ring_wr[1] +.sym 20378 ring_wr[3] +.sym 20381 $abc$56607$n22 +.sym 20382 $abc$56607$n1953 +.sym 20383 $false +.sym 20384 $false +.sym 20387 wr_cnt[3] +.sym 20388 ring_wr[2] +.sym 20389 $false +.sym 20390 $false +.sym 20393 $false +.sym 20394 init_ram_cnt[0] +.sym 20395 $true$2 +.sym 20396 $true$2 +.sym 20399 wr_cnt[3] +.sym 20400 ring_wr[2] +.sym 20401 $false +.sym 20402 $false +.sym 20405 $abc$56607$n2548 +.sym 20406 $abc$56607$n2546 +.sym 20407 $false +.sym 20408 $false +.sym 20411 $abc$56607$n1234 +.sym 20412 wr_cnt[2] +.sym 20413 ring_wr[1] .sym 20414 $false -.sym 20417 I2C.FLT_SCL.RESET -.sym 20418 $false -.sym 20419 $false +.sym 20417 int_tmr[19] +.sym 20418 $abc$56607$n1733 +.sym 20419 $abc$56607$n667 .sym 20420 $false -.sym 20421 $abc$32112$n419 +.sym 20421 $abc$56607$n985 .sym 20422 CLK$2$2 -.sym 20423 $false -.sym 20460 $true -.sym 20497 $abc$32112$n2140$3 -.sym 20498 $false -.sym 20499 $abc$32112$n2140 -.sym 20500 $false -.sym 20501 $false -.sym 20503 $auto$alumacc.cc:470:replace_alu$4646.C[2] -.sym 20505 $false -.sym 20506 $abc$32112$n2106 +.sym 20423 $abc$56607$n35$2 +.sym 20460 $false +.sym 20497 $auto$maccmap.cc:240:synth$13003.C[5] +.sym 20499 $abc$56607$n2546 +.sym 20500 $abc$56607$n2548 +.sym 20503 $auto$maccmap.cc:240:synth$13003.C[6] +.sym 20504 $false +.sym 20505 $abc$56607$n2678 +.sym 20506 $abc$56607$n2680 +.sym 20507 $auto$maccmap.cc:240:synth$13003.C[5] +.sym 20509 $auto$maccmap.cc:240:synth$13003.C[7] .sym 20510 $false -.sym 20511 $false -.sym 20512 $abc$32112$n2142 -.sym 20513 $auto$alumacc.cc:470:replace_alu$4646.C[2] -.sym 20528 $false -.sym 20529 $false -.sym 20530 $false -.sym 20531 $false -.sym 20544 $abc$32112$n630 -.sym 20545 CLK$2$2 -.sym 20546 $false +.sym 20511 ring_wr[3] +.sym 20512 $abc$56607$n2681 +.sym 20513 $auto$maccmap.cc:240:synth$13003.C[6] +.sym 20516 $false +.sym 20517 $false +.sym 20518 $false +.sym 20519 $auto$maccmap.cc:240:synth$13003.C[7] +.sym 20522 ring_wr[3] +.sym 20523 $abc$56607$n1242 +.sym 20524 $false +.sym 20525 $false +.sym 20528 wr_cnt[3] +.sym 20529 ring_wr[1] +.sym 20530 ring_wr[3] +.sym 20531 ring_wr[2] +.sym 20534 wr_cnt[3] +.sym 20535 ring_wr[1] +.sym 20536 ring_wr[3] +.sym 20537 ring_wr[2] +.sym 20583 $true +.sym 20620 init_ram_cnt[1]$2 +.sym 20621 $false +.sym 20622 init_ram_cnt[1] +.sym 20623 $false +.sym 20624 $false +.sym 20626 $auto$alumacc.cc:470:replace_alu$12080.C[3] +.sym 20628 init_ram_cnt[2] +.sym 20629 $true$2 +.sym 20632 $auto$alumacc.cc:470:replace_alu$12080.C[4] +.sym 20634 init_ram_cnt[3] +.sym 20635 $false +.sym 20638 $auto$alumacc.cc:470:replace_alu$12080.C[5] +.sym 20640 init_ram_cnt[4] +.sym 20641 $true$2 +.sym 20644 $auto$alumacc.cc:470:replace_alu$12080.C[6] +.sym 20646 init_ram_cnt[5] +.sym 20647 $false +.sym 20650 $auto$alumacc.cc:470:replace_alu$12080.C[7] +.sym 20652 init_ram_cnt[6] +.sym 20653 $true$2 +.sym 20656 $abc$56607$n22$2 +.sym 20658 init_ram_cnt[7] +.sym 20659 $false +.sym 20666 $abc$56607$n22$2 .sym 20715 $false .sym 20717 KEYBOARD.ROWS_EN[0] .sym 20718 $false .sym 20720 KEYBOARD.ROWS_EN[1] -.sym 20776 I2C_INPUT_DATA[4][2] -.sym 20846 $abc$32112$n655 -.sym 20848 $abc$32112$n653 -.sym 20851 $abc$32112$n654 -.sym 20853 I2C_INPUT_DATA[5][1] -.sym 20989 $abc$32112$n2146 -.sym 20990 I2C_INPUT_DATA[8][2] -.sym 21091 $abc$32112$n2171 -.sym 21093 KEYBOARD.last_data[7] -.sym 21193 $abc$32112$n598 -.sym 21195 $abc$32112$n16 -.sym 21290 $abc$32112$n718 -.sym 21292 $abc$32112$n797 -.sym 21293 $abc$32112$n2087 -.sym 21294 $abc$32112$n1043 -.sym 21295 $abc$32112$n796 -.sym 21296 KEYBOARD.report[50] -.sym 21297 KEYBOARD.report[49] -.sym 21392 $abc$32112$n801 -.sym 21393 $abc$32112$n1028 -.sym 21394 $abc$32112$n802 -.sym 21395 $abc$32112$n799 -.sym 21396 $abc$32112$n800_1 -.sym 21397 $abc$32112$n795_1 -.sym 21398 $abc$32112$n803 -.sym 21399 KEYBOARD.report[54] -.sym 21494 $abc$32112$n1294_1 -.sym 21495 $abc$32112$n1327_1 -.sym 21496 $abc$32112$n732_1 -.sym 21497 $abc$32112$n742 -.sym 21498 $abc$32112$n1328 -.sym 21499 $abc$32112$n1064 -.sym 21500 $abc$32112$n743_1 -.sym 21501 KEYBOARD.report[52] -.sym 21596 $abc$32112$n1071 -.sym 21597 $abc$32112$n1066 -.sym 21598 $abc$32112$n772 -.sym 21599 $abc$32112$n1035 -.sym 21600 $abc$32112$n1161 -.sym 21601 $abc$32112$n1070 -.sym 21602 $abc$32112$n1032 -.sym 21603 KEYBOARD.report[1] -.sym 21698 $abc$32112$n1033 -.sym 21699 $abc$32112$n771 -.sym 21700 $abc$32112$n1167 -.sym 21701 $abc$32112$n1027 -.sym 21702 KEYBOARD.report[57] -.sym 21703 KEYBOARD.report[56] -.sym 21704 KEYBOARD.report[59] -.sym 21705 KEYBOARD.report[62] -.sym 21800 $abc$32112$n1067 -.sym 21801 $abc$32112$n1023 -.sym 21802 $abc$32112$n1026 -.sym 21803 $abc$32112$n749_1 -.sym 21804 $abc$32112$n1069 -.sym 21805 $abc$32112$n757 -.sym 21806 $abc$32112$n1024 -.sym 21807 KEYBOARD.report[40] -.sym 21902 $abc$32112$n1038 -.sym 21903 $abc$32112$n1333 -.sym 21904 $abc$32112$n1068 -.sym 21905 $abc$32112$n1165 -.sym 21906 KEYBOARD.report[41] -.sym 21907 KEYBOARD.report[46] -.sym 21908 KEYBOARD.report[47] -.sym 21909 KEYBOARD.report[42] -.sym 22004 $abc$32112$n1039 -.sym 22005 $abc$32112$n1040 -.sym 22006 $abc$32112$n1041 -.sym 22007 $abc$32112$n1025 -.sym 22008 KEYBOARD.report[15] -.sym 22009 KEYBOARD.report[8] -.sym 22010 KEYBOARD.report[9] -.sym 22011 KEYBOARD.report[10] -.sym 22109 KEYBOARD.row_counter[0] -.sym 22110 KEYBOARD.is_pressed -.sym 22111 KEYBOARD.row_counter[1] -.sym 22209 $abc$32112$n2140 -.sym 22210 KEYBOARD.report[11] -.sym 22214 KEYBOARD.report[13] -.sym 22310 $abc$32112$n22 -.sym 22312 KEYBOARD.ROWS_EN[2] -.sym 22315 KEYBOARD.ROWS_EN[3] +.sym 20771 I2C.SCLF +.sym 20774 KEYBOARD.COLS_SHADOW[6] +.sym 20851 $abc$56607$n2571 +.sym 20852 $abc$56607$n684 +.sym 20853 KEYBOARD.row_counter[0] +.sym 20984 $abc$56607$n694 +.sym 20985 $abc$56607$n917 +.sym 20986 $abc$56607$n712 +.sym 20987 $abc$56607$n916 +.sym 20988 $abc$56607$n1489 +.sym 20989 $abc$56607$n711 +.sym 20990 $abc$56607$n918 +.sym 20991 KEYBOARD.row_time[1] +.sym 21086 $abc$56607$n933 +.sym 21087 $abc$56607$n934 +.sym 21088 $abc$56607$n956 +.sym 21089 $abc$56607$n935 +.sym 21090 wr_cnt[1] +.sym 21091 wr_cnt[3] +.sym 21092 wr_cnt[2] +.sym 21093 wr_cnt[0] +.sym 21188 $abc$56607$n1431 +.sym 21189 $abc$56607$n928 +.sym 21190 $abc$56607$n929 +.sym 21191 $abc$56607$n965 +.sym 21192 $abc$56607$n912 +.sym 21193 KEYBOARD.report[3][4] +.sym 21194 KEYBOARD.report[3][7] +.sym 21195 KEYBOARD.report[3][6] +.sym 21290 $abc$56607$n971 +.sym 21291 $abc$56607$n1191_1 +.sym 21292 $abc$56607$n1189 +.sym 21293 $abc$56607$n913 +.sym 21294 $abc$56607$n1178 +.sym 21295 $abc$56607$n1181 +.sym 21296 $abc$56607$n1192 +.sym 21297 $abc$56607$n1190 +.sym 21392 $abc$56607$n1117 +.sym 21393 $abc$56607$n970 +.sym 21394 $abc$56607$n914 +.sym 21395 KEYBOARD.report[3][3] +.sym 21396 KEYBOARD.report[3][0] +.sym 21397 KEYBOARD.report[3][5] +.sym 21398 KEYBOARD.report[3][2] +.sym 21399 KEYBOARD.report[3][1] +.sym 21494 $abc$56607$n986 +.sym 21495 $abc$56607$n979_1 +.sym 21496 $abc$56607$n2459 +.sym 21497 $abc$56607$n1530_1 +.sym 21498 $abc$56607$n2461 +.sym 21499 $abc$56607$n2460 +.sym 21500 $abc$56607$n2465 +.sym 21501 KEYBOARD.report[1][1] +.sym 21596 $abc$56607$n1135 +.sym 21597 $abc$56607$n1141 +.sym 21598 $abc$56607$n1136 +.sym 21599 $abc$56607$n1119 +.sym 21600 KEYBOARD.report[2][5] +.sym 21601 KEYBOARD.report[2][7] +.sym 21602 KEYBOARD.report[2][2] +.sym 21603 KEYBOARD.report[2][0] +.sym 21698 $abc$56607$n757 +.sym 21699 $abc$56607$n1142 +.sym 21700 $abc$56607$n670 +.sym 21702 $abc$56607$n321 +.sym 21704 $abc$56607$n21 +.sym 21705 temp_output_report[0] +.sym 21801 $abc$56607$n266 +.sym 21803 LED4$2 +.sym 21804 LED2$2 +.sym 21805 LED3$2 +.sym 21905 $abc$56607$n774 +.sym 21907 int_tmr[0] +.sym 21908 int_tmr[3] +.sym 21909 int_tmr[7] +.sym 22004 $abc$56607$n1498 +.sym 22005 int_tmr[10] +.sym 22006 int_tmr[13] +.sym 22007 int_tmr[11] +.sym 22008 int_tmr[9] +.sym 22009 int_tmr[12] +.sym 22010 int_tmr[8] +.sym 22011 int_tmr[14] +.sym 22106 $abc$56607$n246 +.sym 22107 $abc$56607$n1955 +.sym 22110 $abc$56607$n2618 +.sym 22112 init_ram_cnt[0] +.sym 22113 init_ram_cnt[1] +.sym 22208 $abc$56607$n734 +.sym 22209 $abc$56607$n733 +.sym 22210 $abc$56607$n243 +.sym 22211 $abc$56607$n236 +.sym 22212 $abc$56607$n237 +.sym 22213 $abc$56607$n240 +.sym 22214 $abc$56607$n735 +.sym 22215 $abc$56607$n239 +.sym 22312 init_ram_cnt[2] +.sym 22313 init_ram_cnt[3] +.sym 22314 init_ram_cnt[4] +.sym 22315 init_ram_cnt[5] +.sym 22316 init_ram_cnt[6] +.sym 22317 init_ram_cnt[7] .sym 22487 $false .sym 22489 KEYBOARD.ROWS_EN[2] .sym 22490 $false .sym 22492 KEYBOARD.ROWS_EN[3] -.sym 22653 I2C.received_byte[2] -.sym 22654 $false -.sym 22655 $false -.sym 22656 $false -.sym 22663 $abc$32112$n2235 +.sym 22544 I2C.SDAF +.sym 22623 SCL$2 +.sym 22624 $false +.sym 22625 $false +.sym 22626 $false +.sym 22641 KBD_COLUMNS[6]$2 +.sym 22642 $false +.sym 22643 $false +.sym 22644 $false +.sym 22663 $true .sym 22664 CLK$2$2 .sym 22665 $false -.sym 22675 KEYBOARD.COLS_SHADOW[0] -.sym 22677 I2C.SCLF -.sym 22780 I2C_INPUT_DATA[4][6] -.sym 22781 I2C_INPUT_DATA[5][3] -.sym 22782 I2C_INPUT_DATA[5][4] -.sym 22783 I2C_INPUT_DATA[4][1] -.sym 22792 I2C_INPUT_DATA[4][0] -.sym 22793 I2C_INPUT_DATA[5][7] -.sym 22794 $abc$32112$n654 -.sym 22795 $abc$32112$n656 -.sym 22810 I2C_INPUT_DATA[4][3] -.sym 22811 I2C_INPUT_DATA[4][4] -.sym 22812 I2C_INPUT_DATA[5][1] -.sym 22813 $abc$32112$n655 -.sym 22822 I2C.received_byte[1] +.sym 22742 $true +.sym 22779 KEYBOARD.kbd_code_hid[3]$2 +.sym 22780 $false +.sym 22781 KEYBOARD.kbd_code_hid[3] +.sym 22782 $false +.sym 22783 $false +.sym 22785 $auto$alumacc.cc:470:replace_alu$12041.C[5] +.sym 22787 KEYBOARD.kbd_code_hid[4] +.sym 22788 $true$2 +.sym 22791 $auto$alumacc.cc:470:replace_alu$12041.C[6] +.sym 22793 KEYBOARD.kbd_code_hid[5] +.sym 22794 $false +.sym 22797 $auto$alumacc.cc:470:replace_alu$12041.C[7] +.sym 22799 KEYBOARD.kbd_code_hid[6] +.sym 22800 $false +.sym 22803 $abc$56607$n2571$2 +.sym 22805 KEYBOARD.kbd_code_hid[7] +.sym 22806 $false +.sym 22813 $abc$56607$n2571$2 +.sym 22816 KEYBOARD.row_time[0] +.sym 22817 KEYBOARD.row_time[1] +.sym 22818 $false +.sym 22819 $false +.sym 22822 $abc$56607$n2244 .sym 22823 $false .sym 22824 $false .sym 22825 $false -.sym 22826 $abc$32112$n2231 +.sym 22826 $abc$56607$n1490$2 .sym 22827 CLK$2$2 .sym 22828 $false -.sym 22830 LED3$2 -.sym 22835 LED4$2 -.sym 22933 $abc$32112$n1387 -.sym 22934 $false -.sym 22935 $false +.sym 22829 $abc$56607$n2572 +.sym 22830 $abc$56607$n2462 +.sym 22833 $abc$56607$n919 +.sym 22834 $abc$56607$n2231 +.sym 22836 KEYBOARD.row_time[0] +.sym 22903 KEYBOARD.row_time[0] +.sym 22904 KEYBOARD.row_time[1] +.sym 22905 $false +.sym 22906 $false +.sym 22909 $abc$56607$n918 +.sym 22910 $abc$56607$n919 +.sym 22911 KEYBOARD.kbd_code_hid[3] +.sym 22912 $false +.sym 22915 KEYBOARD.row_time[6] +.sym 22916 $abc$56607$n684 +.sym 22917 KEYBOARD.row_time[7] +.sym 22918 $false +.sym 22921 $abc$56607$n917 +.sym 22922 $abc$56607$n2571 +.sym 22923 $abc$56607$n2572 +.sym 22924 $false +.sym 22927 $abc$56607$n33$2 +.sym 22928 KEYBOARD.row_time[0] +.sym 22929 $abc$56607$n1490$2 +.sym 22930 $false +.sym 22933 $abc$56607$n698 +.sym 22934 $abc$56607$n712 +.sym 22935 $abc$56607$n713 .sym 22936 $false -.sym 22939 I2C.received_byte[2] -.sym 22940 $false -.sym 22941 $false +.sym 22939 KEYBOARD.kbd_code_hid[2] +.sym 22940 KEYBOARD.kbd_code_hid[1] +.sym 22941 KEYBOARD.kbd_code_hid[0] .sym 22942 $false -.sym 22949 $abc$32112$n2227 +.sym 22945 KEYBOARD.row_time[1] +.sym 22946 $false +.sym 22947 $false +.sym 22948 $false +.sym 22949 $abc$56607$n1489 .sym 22950 CLK$2$2 -.sym 22951 $false -.sym 22952 KEYBOARD.COLS_SHADOW[3] -.sym 22954 KEYBOARD.COLS_SHADOW[1] -.sym 22958 KEYBOARD.COLS_SHADOW[2] -.sym 22959 I2C.SDAF -.sym 22988 $true -.sym 23025 $abc$32112$n64$2 -.sym 23026 $false -.sym 23027 $abc$32112$n64 +.sym 22951 $abc$56607$n33$2 +.sym 22954 $abc$56607$n1897 +.sym 22955 $abc$56607$n1899 +.sym 22956 $abc$56607$n1894 +.sym 22957 KEYBOARD.ROWS_EN[14] +.sym 22959 KEYBOARD.ROWS_EN[8] +.sym 23026 $abc$56607$n928 +.sym 23027 $abc$56607$n934 .sym 23028 $false .sym 23029 $false -.sym 23031 $auto$alumacc.cc:470:replace_alu$4541.C[5] -.sym 23033 $abc$32112$n66 -.sym 23034 $true$2 -.sym 23037 $auto$alumacc.cc:470:replace_alu$4541.C[6] -.sym 23039 $abc$32112$n67 -.sym 23040 $false -.sym 23043 $auto$alumacc.cc:470:replace_alu$4541.C[7] -.sym 23045 $abc$32112$n69 -.sym 23046 $false -.sym 23049 $abc$32112$n2171$2 -.sym 23051 $abc$32112$n70 -.sym 23052 $false -.sym 23059 $abc$32112$n2171$2 -.sym 23068 KEYBOARD.COLS_SHADOW[3] -.sym 23069 $false -.sym 23070 $false +.sym 23032 $abc$56607$n935 +.sym 23033 $abc$56607$n936 +.sym 23034 $false +.sym 23035 $false +.sym 23038 KEYBOARD.kbd_code_hid[4] +.sym 23039 kbd_report[5][4] +.sym 23040 KEYBOARD.kbd_code_hid[5] +.sym 23041 kbd_report[5][5] +.sym 23044 kbd_report[5][4] +.sym 23045 kbd_report[5][5] +.sym 23046 kbd_report[5][6] +.sym 23047 kbd_report[5][7] +.sym 23050 $abc$56607$n670 +.sym 23051 $abc$56607$n791 +.sym 23052 wr_cnt[0] +.sym 23053 wr_cnt[1] +.sym 23056 $abc$56607$n670 +.sym 23057 $abc$56607$n791 +.sym 23058 $abc$56607$n1899 +.sym 23059 $false +.sym 23062 $abc$56607$n670 +.sym 23063 $abc$56607$n791 +.sym 23064 $abc$56607$n1897 +.sym 23065 $false +.sym 23068 $abc$56607$n791 +.sym 23069 $abc$56607$n1894 +.sym 23070 $abc$56607$n670 .sym 23071 $false -.sym 23072 $abc$32112$n790 +.sym 23072 $abc$56607$n1007 .sym 23073 CLK$2$2 -.sym 23074 $0\KBD_FREEZE[0:0]$2 -.sym 23179 $abc$32112$n1174 -.sym 23180 KEYBOARD.row_counter[1] +.sym 23074 $abc$56607$n35$2 +.sym 23075 $abc$56607$n964 +.sym 23076 $abc$56607$n29 +.sym 23077 $abc$56607$n932 +.sym 23078 $abc$56607$n962 +.sym 23079 $abc$56607$n963 +.sym 23080 KEYBOARD.report[4][4] +.sym 23081 KEYBOARD.report[4][0] +.sym 23082 KEYBOARD.report[4][6] +.sym 23149 $abc$56607$n968 +.sym 23150 $abc$56607$n1494 +.sym 23151 $abc$56607$n926 +.sym 23152 $abc$56607$n959 +.sym 23155 $abc$56607$n929 +.sym 23156 $abc$56607$n930 +.sym 23157 $false +.sym 23158 $false +.sym 23161 KEYBOARD.report[4][4] +.sym 23162 KEYBOARD.report[4][5] +.sym 23163 KEYBOARD.report[4][6] +.sym 23164 KEYBOARD.report[4][7] +.sym 23167 KEYBOARD.kbd_code_hid[7] +.sym 23168 KEYBOARD.report[4][7] +.sym 23169 KEYBOARD.report[4][2] +.sym 23170 KEYBOARD.kbd_code_hid[2] +.sym 23173 $abc$56607$n913 +.sym 23174 $abc$56607$n914 +.sym 23175 $false +.sym 23176 $false +.sym 23179 $abc$56607$n2462 +.sym 23180 $false .sym 23181 $false .sym 23182 $false -.sym 23191 I2C.FLT_SDA.out +.sym 23185 $abc$56607$n2465 +.sym 23186 $false +.sym 23187 $false +.sym 23188 $false +.sym 23191 $abc$56607$n2464 .sym 23192 $false .sym 23193 $false .sym 23194 $false -.sym 23195 $true +.sym 23195 $abc$56607$n1431 .sym 23196 CLK$2$2 -.sym 23197 $false -.sym 23198 $abc$32112$n2172 -.sym 23203 KEYBOARD.report[16] -.sym 23205 KEYBOARD.report[18] -.sym 23272 $abc$32112$n2171 -.sym 23273 $abc$32112$n2172 -.sym 23274 $false -.sym 23275 $false -.sym 23284 $abc$32112$n61 -.sym 23285 KEYBOARD.report[49] -.sym 23286 $false +.sym 23197 $abc$56607$n35$2 +.sym 23198 $abc$56607$n947 +.sym 23199 $abc$56607$n938_1 +.sym 23200 $abc$56607$n948 +.sym 23201 $abc$56607$n944 +.sym 23202 $abc$56607$n945 +.sym 23203 $abc$56607$n937 +.sym 23204 $abc$56607$n946 +.sym 23205 $abc$56607$n1180_1 +.sym 23272 KEYBOARD.kbd_code_hid[7] +.sym 23273 KEYBOARD.report[3][7] +.sym 23274 KEYBOARD.report[3][4] +.sym 23275 KEYBOARD.kbd_code_hid[4] +.sym 23278 $abc$56607$n1118 +.sym 23279 KEYBOARD.report[3][5] +.sym 23280 KEYBOARD.report[6][5] +.sym 23281 $abc$56607$n1121 +.sym 23284 $abc$56607$n1190 +.sym 23285 $abc$56607$n1191_1 +.sym 23286 $abc$56607$n1192 .sym 23287 $false -.sym 23290 $abc$32112$n671 -.sym 23291 $abc$32112$n60 -.sym 23292 $false -.sym 23293 $false -.sym 23296 KEYBOARD.report[18] -.sym 23297 KEYBOARD.report[50] -.sym 23298 I2C.byte_counter[2] -.sym 23299 I2C.byte_counter[1] -.sym 23302 $abc$32112$n797 -.sym 23303 $abc$32112$n69 -.sym 23304 KEYBOARD.report[54] -.sym 23305 $abc$32112$n798 -.sym 23308 $abc$32112$n2089 -.sym 23309 $false -.sym 23310 $false -.sym 23311 $false -.sym 23314 $abc$32112$n2088 -.sym 23315 $false -.sym 23316 $false -.sym 23317 $false -.sym 23318 $abc$32112$n693$2 -.sym 23319 CLK$2$2 -.sym 23320 $0\KBD_FREEZE[0:0]$2 -.sym 23321 $abc$32112$n2093 -.sym 23322 $abc$32112$n798 -.sym 23323 $abc$32112$n2090 -.sym 23324 KEYBOARD.report[48] -.sym 23325 KEYBOARD.report[51] -.sym 23326 KEYBOARD.report[55] -.sym 23327 KEYBOARD.report[53] -.sym 23395 $abc$32112$n802 -.sym 23396 $abc$32112$n803 -.sym 23397 $false -.sym 23398 $false -.sym 23401 KEYBOARD.report[16] -.sym 23402 KEYBOARD.report[48] -.sym 23403 I2C.byte_counter[2] -.sym 23404 I2C.byte_counter[1] -.sym 23407 KEYBOARD.report[52] -.sym 23408 KEYBOARD.report[53] -.sym 23409 KEYBOARD.report[54] -.sym 23410 KEYBOARD.report[55] -.sym 23413 $abc$32112$n63 -.sym 23414 KEYBOARD.report[50] -.sym 23415 $abc$32112$n64 -.sym 23416 KEYBOARD.report[51] -.sym 23419 $abc$32112$n60 -.sym 23420 KEYBOARD.report[48] -.sym 23421 $abc$32112$n66 -.sym 23422 KEYBOARD.report[52] -.sym 23425 $abc$32112$n799 -.sym 23426 $abc$32112$n800_1 -.sym 23427 $abc$32112$n796 -.sym 23428 $abc$32112$n1254 -.sym 23431 KEYBOARD.report[48] -.sym 23432 KEYBOARD.report[49] -.sym 23433 KEYBOARD.report[50] -.sym 23434 KEYBOARD.report[51] -.sym 23437 $abc$32112$n2093 +.sym 23290 KEYBOARD.report[3][4] +.sym 23291 KEYBOARD.report[3][5] +.sym 23292 KEYBOARD.report[3][6] +.sym 23293 KEYBOARD.report[3][7] +.sym 23296 $abc$56607$n1179 +.sym 23297 $abc$56607$n1180_1 +.sym 23298 $abc$56607$n1181 +.sym 23299 $false +.sym 23302 $abc$56607$n1114 +.sym 23303 KEYBOARD.report[2][4] +.sym 23304 KEYBOARD.report[4][4] +.sym 23305 $abc$56607$n1119 +.sym 23308 $abc$56607$n1114 +.sym 23309 KEYBOARD.report[2][5] +.sym 23310 KEYBOARD.report[4][5] +.sym 23311 $abc$56607$n1119 +.sym 23314 kbd_report[5][5] +.sym 23315 KEYBOARD.report[1][5] +.sym 23316 $abc$56607$n312 +.sym 23317 $abc$56607$n1113_1 +.sym 23321 $abc$56607$n1377 +.sym 23322 $abc$56607$n1213 +.sym 23323 KEYBOARD.ROWS_EN[11] +.sym 23324 KEYBOARD.ROWS_EN[10] +.sym 23325 KEYBOARD.ROWS_EN[15] +.sym 23326 KEYBOARD.ROWS_EN[12] +.sym 23327 KEYBOARD.ROWS_EN[13] +.sym 23328 KEYBOARD.ROWS_EN[9] +.sym 23395 $abc$56607$n1118 +.sym 23396 KEYBOARD.report[3][0] +.sym 23397 KEYBOARD.report[4][0] +.sym 23398 $abc$56607$n1119 +.sym 23401 KEYBOARD.kbd_code_hid[3] +.sym 23402 KEYBOARD.report[3][3] +.sym 23403 KEYBOARD.kbd_code_hid[5] +.sym 23404 KEYBOARD.report[3][5] +.sym 23407 KEYBOARD.report[3][0] +.sym 23408 KEYBOARD.report[3][1] +.sym 23409 KEYBOARD.report[3][2] +.sym 23410 KEYBOARD.report[3][3] +.sym 23413 $abc$56607$n2461 +.sym 23414 $false +.sym 23415 $false +.sym 23416 $false +.sym 23419 $abc$56607$n2458 +.sym 23420 $false +.sym 23421 $false +.sym 23422 $false +.sym 23425 $abc$56607$n2463 +.sym 23426 $false +.sym 23427 $false +.sym 23428 $false +.sym 23431 $abc$56607$n2460 +.sym 23432 $false +.sym 23433 $false +.sym 23434 $false +.sym 23437 $abc$56607$n2459 .sym 23438 $false .sym 23439 $false .sym 23440 $false -.sym 23441 $abc$32112$n693$2 +.sym 23441 $abc$56607$n1431 .sym 23442 CLK$2$2 -.sym 23443 $0\KBD_FREEZE[0:0]$2 -.sym 23444 $abc$32112$n1326 -.sym 23445 $abc$32112$n1078 -.sym 23446 KEYBOARD.report[23] -.sym 23447 KEYBOARD.report[22] -.sym 23448 KEYBOARD.report[19] -.sym 23449 KEYBOARD.report[20] -.sym 23450 KEYBOARD.report[17] -.sym 23451 KEYBOARD.report[21] -.sym 23518 KEYBOARD.report[19] -.sym 23519 KEYBOARD.report[22] -.sym 23520 $abc$32112$n69 -.sym 23521 $abc$32112$n738 -.sym 23524 $abc$32112$n732_1 -.sym 23525 $abc$32112$n1326 -.sym 23526 $abc$32112$n1325 -.sym 23527 $abc$32112$n1294_1 -.sym 23530 $abc$32112$n66 -.sym 23531 KEYBOARD.report[20] +.sym 23443 $abc$56607$n35$2 +.sym 23444 $abc$56607$n2464 +.sym 23445 $abc$56607$n2705 +.sym 23446 $abc$56607$n2706 +.sym 23447 $abc$56607$n2702 +.sym 23448 $abc$56607$n1118 +.sym 23449 KEYBOARD.report[6][6] +.sym 23450 KEYBOARD.report[6][1] +.sym 23451 KEYBOARD.report[6][4] +.sym 23518 KEYBOARD.kbd_code_hid[1] +.sym 23519 KEYBOARD.report[1][1] +.sym 23520 KEYBOARD.report[1][0] +.sym 23521 KEYBOARD.kbd_code_hid[0] +.sym 23524 KEYBOARD.kbd_code_hid[2] +.sym 23525 KEYBOARD.report[2][2] +.sym 23526 KEYBOARD.kbd_code_hid[5] +.sym 23527 KEYBOARD.report[2][5] +.sym 23530 $abc$56607$n27 +.sym 23531 KEYBOARD.kbd_code_hid[1] .sym 23532 $false .sym 23533 $false -.sym 23536 KEYBOARD.report[20] -.sym 23537 KEYBOARD.report[21] -.sym 23538 KEYBOARD.report[22] -.sym 23539 KEYBOARD.report[23] -.sym 23542 $abc$32112$n1327_1 -.sym 23543 KEYBOARD.report[16] -.sym 23544 $abc$32112$n60 -.sym 23545 $abc$32112$n1254 -.sym 23548 KEYBOARD.report[21] -.sym 23549 KEYBOARD.report[53] -.sym 23550 I2C.byte_counter[2] -.sym 23551 I2C.byte_counter[1] -.sym 23554 KEYBOARD.report[16] -.sym 23555 KEYBOARD.report[17] -.sym 23556 KEYBOARD.report[18] -.sym 23557 KEYBOARD.report[19] -.sym 23560 $abc$32112$n2091 +.sym 23536 $abc$56607$n1114 +.sym 23537 KEYBOARD.report[2][6] +.sym 23538 KEYBOARD.report[3][6] +.sym 23539 $abc$56607$n1118 +.sym 23542 $abc$56607$n27 +.sym 23543 KEYBOARD.kbd_code_hid[3] +.sym 23544 $false +.sym 23545 $false +.sym 23548 $abc$56607$n27 +.sym 23549 KEYBOARD.kbd_code_hid[2] +.sym 23550 $false +.sym 23551 $false +.sym 23554 $abc$56607$n27 +.sym 23555 KEYBOARD.kbd_code_hid[7] +.sym 23556 $false +.sym 23557 $false +.sym 23560 $abc$56607$n2459 .sym 23561 $false .sym 23562 $false .sym 23563 $false -.sym 23564 $abc$32112$n693$2 +.sym 23564 $abc$56607$n1450 .sym 23565 CLK$2$2 -.sym 23566 $0\KBD_FREEZE[0:0]$2 -.sym 23567 $abc$32112$n1331_1 -.sym 23568 $abc$32112$n651 -.sym 23569 $abc$32112$n762 -.sym 23570 $abc$32112$n1330_1 -.sym 23571 $0\KBD_FREEZE[0:0] -.sym 23572 KEYBOARD.report[37] -.sym 23573 KEYBOARD.report[38] -.sym 23574 KEYBOARD.report[39] -.sym 23641 KEYBOARD.report[22] -.sym 23642 KEYBOARD.report[54] -.sym 23643 I2C.byte_counter[2] -.sym 23644 I2C.byte_counter[1] -.sym 23647 $abc$32112$n1071 -.sym 23648 $abc$32112$n1070 -.sym 23649 $abc$32112$n1067 -.sym 23650 $abc$32112$n1628 -.sym 23653 KEYBOARD.report[36] -.sym 23654 KEYBOARD.report[37] -.sym 23655 KEYBOARD.report[38] -.sym 23656 KEYBOARD.report[39] -.sym 23659 I2C.byte_counter[1] -.sym 23660 I2C.byte_counter[2] -.sym 23661 $false -.sym 23662 $false -.sym 23665 $abc$32112$n61 -.sym 23666 $abc$32112$n63 -.sym 23667 $abc$32112$n60 +.sym 23566 $abc$56607$n35$2 +.sym 23567 $abc$56607$n2704 +.sym 23568 $abc$56607$n1137 +.sym 23569 $abc$56607$n1121 +.sym 23570 $abc$56607$n2701 +.sym 23572 $abc$56607$n35 +.sym 23573 $abc$56607$n1120 +.sym 23574 $abc$56607$n2703 +.sym 23641 $abc$56607$n1115 +.sym 23642 KEYBOARD.report[1][1] +.sym 23643 $abc$56607$n1136 +.sym 23644 $false +.sym 23647 $abc$56607$n1142 +.sym 23648 KEYBOARD.report[6][1] +.sym 23649 $abc$56607$n1143 +.sym 23650 KEYBOARD.report[4][1] +.sym 23653 $abc$56607$n1119 +.sym 23654 KEYBOARD.report[4][1] +.sym 23655 $abc$56607$n1137 +.sym 23656 $false +.sym 23659 $abc$56607$n305 +.sym 23660 wr_cnt[1] +.sym 23661 wr_cnt[0] +.sym 23662 $abc$56607$n312 +.sym 23665 $abc$56607$n2463 +.sym 23666 $false +.sym 23667 $false .sym 23668 $false -.sym 23671 KEYBOARD.report[6] -.sym 23672 KEYBOARD.report[38] -.sym 23673 I2C.byte_counter[1] -.sym 23674 I2C.byte_counter[2] -.sym 23677 KEYBOARD.report[9] -.sym 23678 KEYBOARD.report[1] -.sym 23679 $abc$32112$n1628 +.sym 23671 $abc$56607$n2465 +.sym 23672 $false +.sym 23673 $false +.sym 23674 $false +.sym 23677 $abc$56607$n2460 +.sym 23678 $false +.sym 23679 $false .sym 23680 $false -.sym 23683 $abc$32112$n671 -.sym 23684 KEYBOARD.report[1] -.sym 23685 $abc$32112$n1161 +.sym 23683 $abc$56607$n2458 +.sym 23684 $false +.sym 23685 $false .sym 23686 $false -.sym 23687 $abc$32112$n605 +.sym 23687 $abc$56607$n1435 .sym 23688 CLK$2$2 -.sym 23689 $0\KBD_FREEZE[0:0]$2 -.sym 23690 $abc$32112$n773 -.sym 23691 $abc$32112$n1329 -.sym 23692 $abc$32112$n1301 -.sym 23694 KEYBOARD.report[35] -.sym 23695 KEYBOARD.report[32] -.sym 23696 KEYBOARD.report[33] -.sym 23697 KEYBOARD.report[34] -.sym 23764 KEYBOARD.report[41] -.sym 23765 KEYBOARD.report[33] -.sym 23766 $abc$32112$n1628 +.sym 23689 $abc$56607$n35$2 +.sym 23692 $true$2 +.sym 23694 KEYBOARD.ROWS_EN[0] +.sym 23764 $abc$56607$n842 +.sym 23765 $abc$56607$n744 +.sym 23766 $abc$56607$n746 .sym 23767 $false -.sym 23770 $abc$32112$n772 -.sym 23771 $abc$32112$n773 -.sym 23772 $false +.sym 23770 wr_cnt[1] +.sym 23771 wr_cnt[2] +.sym 23772 $abc$56607$n321 .sym 23773 $false -.sym 23776 $abc$32112$n60 -.sym 23777 $abc$32112$n61 -.sym 23778 $abc$32112$n63 +.sym 23776 last_isr +.sym 23777 KEYBOARD.isr +.sym 23778 $false .sym 23779 $false -.sym 23782 KEYBOARD.report[0] -.sym 23783 KEYBOARD.report[32] -.sym 23784 I2C.byte_counter[1] -.sym 23785 I2C.byte_counter[2] -.sym 23788 $abc$32112$n2088 -.sym 23789 $false -.sym 23790 $false -.sym 23791 $false -.sym 23794 $abc$32112$n2087 -.sym 23795 $false -.sym 23796 $false -.sym 23797 $false -.sym 23800 $abc$32112$n2090 -.sym 23801 $false +.sym 23788 $false +.sym 23789 wr_cnt[0] +.sym 23790 $true$2 +.sym 23791 $true$2 +.sym 23800 I2C.byte_counter[0] +.sym 23801 $abc$56607$n673 .sym 23802 $false .sym 23803 $false -.sym 23806 $abc$32112$n2093 +.sym 23806 I2C.received_byte[0] .sym 23807 $false .sym 23808 $false .sym 23809 $false -.sym 23810 $abc$32112$n720$2 +.sym 23810 $abc$56607$n1113 .sym 23811 CLK$2$2 -.sym 23812 $0\KBD_FREEZE[0:0]$2 -.sym 23813 $abc$32112$n1298 -.sym 23814 $abc$32112$n1299_1 -.sym 23815 $abc$32112$n1042 -.sym 23817 $abc$32112$n1163 -.sym 23818 $abc$32112$n1297 -.sym 23819 $abc$32112$n2091 -.sym 23820 KEYBOARD.report[2] -.sym 23887 $abc$32112$n1068 -.sym 23888 $abc$32112$n1069 -.sym 23889 $false -.sym 23890 $false -.sym 23893 $abc$32112$n1028 -.sym 23894 $abc$32112$n1027 -.sym 23895 $abc$32112$n1024 -.sym 23896 $abc$32112$n1628 -.sym 23899 KEYBOARD.report[24] -.sym 23900 KEYBOARD.report[56] -.sym 23901 I2C.byte_counter[2] -.sym 23902 I2C.byte_counter[1] -.sym 23905 KEYBOARD.report[30] -.sym 23906 $abc$32112$n69 -.sym 23907 KEYBOARD.report[27] -.sym 23908 $abc$32112$n738 -.sym 23911 KEYBOARD.report[30] -.sym 23912 KEYBOARD.report[62] -.sym 23913 I2C.byte_counter[2] -.sym 23914 I2C.byte_counter[1] -.sym 23917 KEYBOARD.report[24] -.sym 23918 KEYBOARD.report[25] -.sym 23919 KEYBOARD.report[26] -.sym 23920 KEYBOARD.report[27] -.sym 23923 $abc$32112$n1025 -.sym 23924 $abc$32112$n1026 -.sym 23925 $false -.sym 23926 $false -.sym 23929 $abc$32112$n2087 -.sym 23930 $false -.sym 23931 $false -.sym 23932 $false -.sym 23933 $abc$32112$n678 +.sym 23812 $false +.sym 23814 int_tmr[2] +.sym 23815 int_tmr[4] +.sym 23817 int_tmr[6] +.sym 23820 int_tmr[5] +.sym 23893 i2c_input_data_type[3] +.sym 23894 $false +.sym 23895 $false +.sym 23896 $false +.sym 23905 temp_output_report[2] +.sym 23906 $false +.sym 23907 $false +.sym 23908 $false +.sym 23911 temp_output_report[0] +.sym 23912 $false +.sym 23913 $false +.sym 23914 $false +.sym 23917 temp_output_report[1] +.sym 23918 $false +.sym 23919 $false +.sym 23920 $false +.sym 23933 $abc$56607$n1211 .sym 23934 CLK$2$2 -.sym 23935 $0\KBD_FREEZE[0:0]$2 -.sym 23938 KEYBOARD.report[30] -.sym 23939 KEYBOARD.report[27] -.sym 23941 KEYBOARD.report[25] -.sym 23942 KEYBOARD.report[31] -.sym 23943 KEYBOARD.report[26] -.sym 24010 $abc$32112$n1042 -.sym 24011 $abc$32112$n1043 -.sym 24012 $abc$32112$n1039 -.sym 24013 $abc$32112$n1628 -.sym 24016 KEYBOARD.report[43] -.sym 24017 $abc$32112$n738 -.sym 24018 KEYBOARD.report[42] -.sym 24019 $abc$32112$n63 -.sym 24022 KEYBOARD.report[14] -.sym 24023 KEYBOARD.report[46] -.sym 24024 I2C.byte_counter[1] -.sym 24025 I2C.byte_counter[2] -.sym 24028 $abc$32112$n63 -.sym 24029 $abc$32112$n61 -.sym 24030 $abc$32112$n60 +.sym 23935 $abc$56607$n35$2 +.sym 23938 $13\int_tmr[19:0][2] +.sym 23939 $13\int_tmr[19:0][3] +.sym 23940 $13\int_tmr[19:0][4] +.sym 23941 $13\int_tmr[19:0][5] +.sym 23942 $13\int_tmr[19:0][6] +.sym 23943 $13\int_tmr[19:0][7] +.sym 24028 UART.tx_activity +.sym 24029 last_uart_active +.sym 24030 $false .sym 24031 $false -.sym 24034 $abc$32112$n2088 -.sym 24035 $false -.sym 24036 $false -.sym 24037 $false -.sym 24040 $abc$32112$n2093 -.sym 24041 $false +.sym 24040 $abc$56607$n667 +.sym 24041 $13\int_tmr[19:0][0] .sym 24042 $false .sym 24043 $false -.sym 24046 $abc$32112$n2094 -.sym 24047 $false +.sym 24046 $abc$56607$n667 +.sym 24047 $13\int_tmr[19:0][3] .sym 24048 $false .sym 24049 $false -.sym 24052 $abc$32112$n2089 -.sym 24053 $false +.sym 24052 $abc$56607$n667 +.sym 24053 $13\int_tmr[19:0][7] .sym 24054 $false .sym 24055 $false -.sym 24056 $abc$32112$n678 +.sym 24056 $abc$56607$n901$2 .sym 24057 CLK$2$2 -.sym 24058 $0\KBD_FREEZE[0:0]$2 -.sym 24062 KEYBOARD.report[36] -.sym 24133 $abc$32112$n1040 -.sym 24134 $abc$32112$n1041 -.sym 24135 $false -.sym 24136 $false -.sym 24139 KEYBOARD.report[10] -.sym 24140 KEYBOARD.report[42] -.sym 24141 I2C.byte_counter[1] -.sym 24142 I2C.byte_counter[2] -.sym 24145 KEYBOARD.report[26] -.sym 24146 KEYBOARD.report[58] -.sym 24147 I2C.byte_counter[2] -.sym 24148 I2C.byte_counter[1] -.sym 24151 KEYBOARD.report[8] -.sym 24152 KEYBOARD.report[40] -.sym 24153 I2C.byte_counter[1] -.sym 24154 I2C.byte_counter[2] -.sym 24157 $false -.sym 24158 $false +.sym 24058 $abc$56607$n35$2 +.sym 24059 $13\int_tmr[19:0][8] +.sym 24060 $13\int_tmr[19:0][9] +.sym 24061 $13\int_tmr[19:0][10] +.sym 24062 $13\int_tmr[19:0][11] +.sym 24063 $13\int_tmr[19:0][12] +.sym 24064 $13\int_tmr[19:0][13] +.sym 24065 $13\int_tmr[19:0][14] +.sym 24066 $13\int_tmr[19:0][15] +.sym 24133 UART.tx_activity +.sym 24134 last_uart_active +.sym 24135 $abc$56607$n742 +.sym 24136 $abc$56607$n747 +.sym 24139 $abc$56607$n667 +.sym 24140 $13\int_tmr[19:0][10] +.sym 24141 $false +.sym 24142 $false +.sym 24145 $abc$56607$n667 +.sym 24146 $13\int_tmr[19:0][13] +.sym 24147 $false +.sym 24148 $false +.sym 24151 $abc$56607$n667 +.sym 24152 $13\int_tmr[19:0][11] +.sym 24153 $false +.sym 24154 $false +.sym 24157 $abc$56607$n667 +.sym 24158 $13\int_tmr[19:0][9] .sym 24159 $false .sym 24160 $false -.sym 24163 $false -.sym 24164 $false +.sym 24163 $abc$56607$n667 +.sym 24164 $13\int_tmr[19:0][12] .sym 24165 $false .sym 24166 $false -.sym 24169 $false -.sym 24170 $false +.sym 24169 $abc$56607$n667 +.sym 24170 $13\int_tmr[19:0][8] .sym 24171 $false .sym 24172 $false -.sym 24175 $false -.sym 24176 $false +.sym 24175 $abc$56607$n667 +.sym 24176 $13\int_tmr[19:0][14] .sym 24177 $false .sym 24178 $false -.sym 24179 $abc$32112$n630 +.sym 24179 $abc$56607$n901$2 .sym 24180 CLK$2$2 -.sym 24181 $false -.sym 24185 KEYBOARD.report[58] -.sym 24187 KEYBOARD.report[60] -.sym 24274 $abc$32112$n1174 -.sym 24275 $false -.sym 24276 $false -.sym 24277 $false -.sym 24280 $abc$32112$n671 +.sym 24181 $abc$56607$n35$2 +.sym 24182 $13\int_tmr[19:0][16] +.sym 24183 $13\int_tmr[19:0][17] +.sym 24184 $13\int_tmr[19:0][18] +.sym 24185 $abc$56607$n1733 +.sym 24186 int_tmr[15] +.sym 24187 int_tmr[16] +.sym 24188 int_tmr[18] +.sym 24189 int_tmr[17] +.sym 24256 init_ram_cnt[0] +.sym 24257 $false +.sym 24258 $false +.sym 24259 $false +.sym 24262 init_ram_cnt[1] +.sym 24263 $false +.sym 24264 $false +.sym 24265 $false +.sym 24280 init_ram_cnt[3] .sym 24281 $false .sym 24282 $false .sym 24283 $false -.sym 24286 $abc$32112$n1175 -.sym 24287 $false -.sym 24288 $false -.sym 24289 $false -.sym 24302 $abc$32112$n839$2 +.sym 24292 $false +.sym 24293 $true$2 +.sym 24294 init_ram_cnt[0] +.sym 24295 $false +.sym 24298 init_ram_cnt[1] +.sym 24299 init_ram_cnt[0] +.sym 24300 $false +.sym 24301 $false +.sym 24302 $abc$56607$n842 .sym 24303 CLK$2$2 -.sym 24304 $false -.sym 24385 $abc$32112$n2107 -.sym 24386 $false -.sym 24387 $false +.sym 24304 $abc$56607$n35$2 +.sym 24379 init_ram_cnt[0] +.sym 24380 init_ram_cnt[1] +.sym 24381 $abc$56607$n735 +.sym 24382 $false +.sym 24385 $abc$56607$n734 +.sym 24386 init_ram_cnt[7] +.sym 24387 init_ram_cnt[5] .sym 24388 $false -.sym 24391 $false +.sym 24391 init_ram_cnt[2] .sym 24392 $false .sym 24393 $false .sym 24394 $false -.sym 24415 $false -.sym 24416 $false -.sym 24417 $false -.sym 24418 $false -.sym 24425 $abc$32112$n630 -.sym 24426 CLK$2$2 -.sym 24427 $false -.sym 24428 $abc$32112$n2003 -.sym 24432 $abc$32112$n601 -.sym 24433 KEYBOARD.ROWS_EN[0] +.sym 24397 init_ram_cnt[7] +.sym 24398 $false +.sym 24399 $false +.sym 24400 $false +.sym 24403 init_ram_cnt[6] +.sym 24404 $false +.sym 24405 $false +.sym 24406 $false +.sym 24409 init_ram_cnt[4] +.sym 24410 $false +.sym 24411 $false +.sym 24412 $false +.sym 24415 init_ram_cnt[2] +.sym 24416 init_ram_cnt[6] +.sym 24417 init_ram_cnt[4] +.sym 24418 init_ram_cnt[3] +.sym 24421 init_ram_cnt[5] +.sym 24422 $false +.sym 24423 $false +.sym 24424 $false +.sym 24428 $abc$56607$n1220 +.sym 24429 KEYBOARD.ROWS_EN[6] +.sym 24430 KEYBOARD.ROWS_EN[3] +.sym 24431 KEYBOARD.ROWS_EN[5] +.sym 24432 KEYBOARD.ROWS_EN[2] +.sym 24433 KEYBOARD.ROWS_EN[7] +.sym 24434 KEYBOARD.ROWS_EN[4] .sym 24435 KEYBOARD.ROWS_EN[1] -.sym 24502 $abc$32112$n23 -.sym 24503 $false +.sym 24464 $true +.sym 24501 init_ram_cnt[0]$2 +.sym 24502 $false +.sym 24503 init_ram_cnt[0] .sym 24504 $false .sym 24505 $false -.sym 24514 KEYBOARD.row_counter[0] +.sym 24507 $auto$alumacc.cc:470:replace_alu$12129.C[2] +.sym 24509 $false +.sym 24510 init_ram_cnt[1] +.sym 24513 $auto$alumacc.cc:470:replace_alu$12129.C[3] +.sym 24514 $false .sym 24515 $false -.sym 24516 $false -.sym 24517 $false -.sym 24532 $abc$32112$n2003 +.sym 24516 init_ram_cnt[2] +.sym 24517 $auto$alumacc.cc:470:replace_alu$12129.C[2] +.sym 24519 $auto$alumacc.cc:470:replace_alu$12129.C[4] +.sym 24520 $false +.sym 24521 $false +.sym 24522 init_ram_cnt[3] +.sym 24523 $auto$alumacc.cc:470:replace_alu$12129.C[3] +.sym 24525 $auto$alumacc.cc:470:replace_alu$12129.C[5] +.sym 24526 $false +.sym 24527 $false +.sym 24528 init_ram_cnt[4] +.sym 24529 $auto$alumacc.cc:470:replace_alu$12129.C[4] +.sym 24531 $auto$alumacc.cc:470:replace_alu$12129.C[6] +.sym 24532 $false .sym 24533 $false -.sym 24534 $false -.sym 24535 $false -.sym 24548 $abc$32112$n601 +.sym 24534 init_ram_cnt[5] +.sym 24535 $auto$alumacc.cc:470:replace_alu$12129.C[5] +.sym 24537 $auto$alumacc.cc:470:replace_alu$12129.C[7] +.sym 24538 $false +.sym 24539 $false +.sym 24540 init_ram_cnt[6] +.sym 24541 $auto$alumacc.cc:470:replace_alu$12129.C[6] +.sym 24544 $false +.sym 24545 $false +.sym 24546 init_ram_cnt[7] +.sym 24547 $auto$alumacc.cc:470:replace_alu$12129.C[7] +.sym 24548 $abc$56607$n842 .sym 24549 CLK$2$2 -.sym 24550 $abc$32112$n23 -.sym 24915 KBD_COLUMNS[0]$2 -.sym 24916 $false -.sym 24917 $false -.sym 24918 $false -.sym 24927 SCL$2 -.sym 24928 $false +.sym 24550 $abc$56607$n35$2 +.sym 24596 $false +.sym 24598 KEYBOARD.ROWS_EN[4] +.sym 24599 $false +.sym 24601 KEYBOARD.ROWS_EN[5] +.sym 24730 I2C.SDA_IN +.sym 24731 $false +.sym 24732 $false +.sym 24733 $false +.sym 24764 $true +.sym 24765 CLK$2$2 +.sym 24766 $false +.sym 24847 $true +.sym 24884 KEYBOARD.kbd_code_hid[0]$2 +.sym 24885 $false +.sym 24886 KEYBOARD.kbd_code_hid[0] +.sym 24887 $false +.sym 24888 $false +.sym 24890 $auto$alumacc.cc:470:replace_alu$12053.C[2] +.sym 24892 KEYBOARD.kbd_code_hid[1] +.sym 24893 $false +.sym 24896 $auto$alumacc.cc:470:replace_alu$12053.C[3] +.sym 24898 KEYBOARD.kbd_code_hid[2] +.sym 24899 $false +.sym 24902 $auto$alumacc.cc:470:replace_alu$12053.C[4] +.sym 24904 KEYBOARD.kbd_code_hid[3] +.sym 24905 $false +.sym 24908 $auto$alumacc.cc:470:replace_alu$12053.C[5] +.sym 24910 KEYBOARD.kbd_code_hid[4] +.sym 24911 $false +.sym 24914 $auto$alumacc.cc:470:replace_alu$12053.C[6] +.sym 24916 KEYBOARD.kbd_code_hid[5] +.sym 24917 $true$2 +.sym 24920 $auto$alumacc.cc:470:replace_alu$12053.C[7] +.sym 24922 KEYBOARD.kbd_code_hid[6] +.sym 24923 $false +.sym 24926 $abc$56607$n2572$2 +.sym 24928 KEYBOARD.kbd_code_hid[7] .sym 24929 $false -.sym 24930 $false -.sym 24931 $true -.sym 24932 CLK$2$2 -.sym 24933 $false -.sym 24936 KBD_COLUMNS[0]$2 -.sym 25046 I2C_INPUT_DATA[4][1] -.sym 25047 I2C_INPUT_DATA[8][1] -.sym 25048 $abc$32112$n664 +.sym 25043 $abc$56607$n2572$2 +.sym 25046 $abc$56607$n27 +.sym 25047 KEYBOARD.kbd_code_hid[4] +.sym 25048 $false .sym 25049 $false -.sym 25076 I2C_INPUT_DATA[4][2] -.sym 25077 I2C_INPUT_DATA[8][2] -.sym 25078 $abc$32112$n664 -.sym 25079 $false -.sym 25086 $abc$32112$n501 +.sym 25064 KEYBOARD.kbd_code_hid[5] +.sym 25065 KEYBOARD.kbd_code_hid[6] +.sym 25066 KEYBOARD.kbd_code_hid[4] +.sym 25067 KEYBOARD.kbd_code_hid[7] +.sym 25070 $false +.sym 25071 $true$2 +.sym 25072 KEYBOARD.row_counter[0] +.sym 25073 $false +.sym 25082 $false +.sym 25083 $true$2 +.sym 25084 KEYBOARD.row_time[0] +.sym 25085 $false +.sym 25086 $abc$56607$n1490$2 .sym 25087 CLK$2$2 -.sym 25088 $0\KBD_FREEZE[0:0]$2 -.sym 25089 KBD_COLUMNS[1]$2 -.sym 25091 KBD_COLUMNS[2]$2 -.sym 25195 KBD_COLUMNS[3]$2 -.sym 25196 $false +.sym 25088 $abc$56607$n33$2 +.sym 25157 $true +.sym 25194 wr_cnt[0]$2 +.sym 25195 $false +.sym 25196 wr_cnt[0] .sym 25197 $false .sym 25198 $false -.sym 25207 KBD_COLUMNS[1]$2 +.sym 25200 $auto$alumacc.cc:470:replace_alu$12114.C[2] +.sym 25202 $false +.sym 25203 wr_cnt[1] +.sym 25206 $auto$alumacc.cc:470:replace_alu$12114.C[3] +.sym 25207 $false .sym 25208 $false -.sym 25209 $false -.sym 25210 $false -.sym 25231 KBD_COLUMNS[2]$2 -.sym 25232 $false -.sym 25233 $false -.sym 25234 $false -.sym 25237 I2C.SDA_IN +.sym 25209 wr_cnt[2] +.sym 25210 $auto$alumacc.cc:470:replace_alu$12114.C[2] +.sym 25213 $false +.sym 25214 $false +.sym 25215 wr_cnt[3] +.sym 25216 $auto$alumacc.cc:470:replace_alu$12114.C[3] +.sym 25219 $false +.sym 25220 $true$2 +.sym 25221 wr_cnt[0] +.sym 25222 $false +.sym 25225 $abc$56607$n2707 +.sym 25226 $false +.sym 25227 $false +.sym 25228 $false +.sym 25237 $abc$56607$n2701 .sym 25238 $false .sym 25239 $false .sym 25240 $false -.sym 25241 $true +.sym 25241 $abc$56607$n1471$2 .sym 25242 CLK$2$2 -.sym 25243 $false -.sym 25312 $true -.sym 25349 $abc$32112$n60$2 -.sym 25350 $false -.sym 25351 $abc$32112$n60 -.sym 25352 $false -.sym 25353 $false -.sym 25355 $auto$alumacc.cc:470:replace_alu$4546.C[2] -.sym 25357 $abc$32112$n61 +.sym 25243 $abc$56607$n29 +.sym 25350 KEYBOARD.kbd_code_hid[6] +.sym 25351 KEYBOARD.report[4][6] +.sym 25352 KEYBOARD.report[4][0] +.sym 25353 KEYBOARD.kbd_code_hid[0] +.sym 25356 $abc$56607$n28 +.sym 25357 $false .sym 25358 $false -.sym 25361 $auto$alumacc.cc:470:replace_alu$4546.C[3] -.sym 25363 $abc$32112$n63 -.sym 25364 $false -.sym 25367 $auto$alumacc.cc:470:replace_alu$4546.C[4] -.sym 25369 $abc$32112$n64 -.sym 25370 $false -.sym 25373 $auto$alumacc.cc:470:replace_alu$4546.C[5] -.sym 25375 $abc$32112$n66 -.sym 25376 $false -.sym 25379 $auto$alumacc.cc:470:replace_alu$4546.C[6] -.sym 25381 $abc$32112$n67 -.sym 25382 $true$2 -.sym 25385 $auto$alumacc.cc:470:replace_alu$4546.C[7] -.sym 25387 $abc$32112$n69 +.sym 25359 $false +.sym 25362 $abc$56607$n937 +.sym 25363 $abc$56607$n938_1 +.sym 25364 $abc$56607$n933 +.sym 25365 $false +.sym 25368 $abc$56607$n963 +.sym 25369 $abc$56607$n964 +.sym 25370 $abc$56607$n965 +.sym 25371 $abc$56607$n966 +.sym 25374 KEYBOARD.kbd_code_hid[4] +.sym 25375 KEYBOARD.report[4][4] +.sym 25376 KEYBOARD.kbd_code_hid[5] +.sym 25377 KEYBOARD.report[4][5] +.sym 25380 $abc$56607$n2462 +.sym 25381 $false +.sym 25382 $false +.sym 25383 $false +.sym 25386 $abc$56607$n2458 +.sym 25387 $false .sym 25388 $false -.sym 25391 $abc$32112$n2172$2 -.sym 25393 $abc$32112$n70 +.sym 25389 $false +.sym 25392 $abc$56607$n2464 +.sym 25393 $false .sym 25394 $false -.sym 25399 KBD_COLUMNS[3]$2 -.sym 25508 $abc$32112$n2172$2 -.sym 25535 $abc$32112$n2087 -.sym 25536 $false -.sym 25537 $false -.sym 25538 $false -.sym 25547 $abc$32112$n2089 -.sym 25548 $false -.sym 25549 $false -.sym 25550 $false -.sym 25551 $abc$32112$n614 -.sym 25552 CLK$2$2 -.sym 25553 $0\KBD_FREEZE[0:0]$2 +.sym 25395 $false +.sym 25396 $abc$56607$n1422 +.sym 25397 CLK$2$2 +.sym 25398 $abc$56607$n35$2 +.sym 25505 KEYBOARD.kbd_code_hid[2] +.sym 25506 KEYBOARD.report[6][2] +.sym 25507 KEYBOARD.report[6][1] +.sym 25508 KEYBOARD.kbd_code_hid[1] +.sym 25511 KEYBOARD.report[6][0] +.sym 25512 KEYBOARD.report[6][1] +.sym 25513 KEYBOARD.report[6][2] +.sym 25514 KEYBOARD.report[6][3] +.sym 25517 KEYBOARD.kbd_code_hid[7] +.sym 25518 KEYBOARD.report[6][7] +.sym 25519 KEYBOARD.report[6][0] +.sym 25520 KEYBOARD.kbd_code_hid[0] +.sym 25523 $abc$56607$n945 +.sym 25524 $abc$56607$n946 +.sym 25525 $abc$56607$n947 +.sym 25526 $abc$56607$n948 +.sym 25529 KEYBOARD.kbd_code_hid[4] +.sym 25530 KEYBOARD.report[6][4] +.sym 25531 KEYBOARD.report[6][3] +.sym 25532 KEYBOARD.kbd_code_hid[3] +.sym 25535 KEYBOARD.report[6][4] +.sym 25536 KEYBOARD.report[6][5] +.sym 25537 KEYBOARD.report[6][6] +.sym 25538 KEYBOARD.report[6][7] +.sym 25541 KEYBOARD.kbd_code_hid[6] +.sym 25542 KEYBOARD.report[6][6] +.sym 25543 KEYBOARD.report[6][5] +.sym 25544 KEYBOARD.kbd_code_hid[5] +.sym 25547 $abc$56607$n1118 +.sym 25548 KEYBOARD.report[3][4] +.sym 25549 KEYBOARD.report[6][4] +.sym 25550 $abc$56607$n1121 .sym 25556 SCL$2 -.sym 25660 $abc$32112$n69 -.sym 25661 $abc$32112$n671 -.sym 25662 $false +.sym 25660 KEYBOARD.kbd_code_hid[0] +.sym 25661 KEYBOARD.kbd_code_hid[1] +.sym 25662 KEYBOARD.kbd_code_hid[2] .sym 25663 $false -.sym 25666 $abc$32112$n67 -.sym 25667 KEYBOARD.report[53] -.sym 25668 $abc$32112$n70 -.sym 25669 KEYBOARD.report[55] -.sym 25672 $abc$32112$n671 -.sym 25673 $abc$32112$n64 +.sym 25666 $abc$56607$n1121 +.sym 25667 KEYBOARD.report[6][7] +.sym 25668 $false +.sym 25669 $false +.sym 25672 $abc$56607$n2704 +.sym 25673 $false .sym 25674 $false .sym 25675 $false -.sym 25678 $abc$32112$n2087 +.sym 25678 $abc$56607$n2703 .sym 25679 $false .sym 25680 $false .sym 25681 $false -.sym 25684 $abc$32112$n2090 +.sym 25684 $abc$56607$n2708 .sym 25685 $false .sym 25686 $false .sym 25687 $false -.sym 25690 $abc$32112$n2094 +.sym 25690 $abc$56607$n2705 .sym 25691 $false .sym 25692 $false .sym 25693 $false -.sym 25696 $abc$32112$n2092 +.sym 25696 $abc$56607$n2706 .sym 25697 $false .sym 25698 $false .sym 25699 $false -.sym 25706 $abc$32112$n693$2 +.sym 25702 $abc$56607$n2702 +.sym 25703 $false +.sym 25704 $false +.sym 25705 $false +.sym 25706 $abc$56607$n1471$2 .sym 25707 CLK$2$2 -.sym 25708 $0\KBD_FREEZE[0:0]$2 +.sym 25708 $abc$56607$n29 .sym 25709 I2C.SDA_IN -.sym 25815 KEYBOARD.report[21] -.sym 25816 $abc$32112$n67 -.sym 25817 KEYBOARD.report[23] -.sym 25818 $abc$32112$n70 -.sym 25821 KEYBOARD.report[23] -.sym 25822 KEYBOARD.report[55] -.sym 25823 I2C.byte_counter[2] -.sym 25824 I2C.byte_counter[1] -.sym 25827 $abc$32112$n2094 -.sym 25828 $false -.sym 25829 $false -.sym 25830 $false -.sym 25833 $abc$32112$n2093 -.sym 25834 $false -.sym 25835 $false -.sym 25836 $false -.sym 25839 $abc$32112$n2090 -.sym 25840 $false -.sym 25841 $false -.sym 25842 $false -.sym 25845 $abc$32112$n2091 +.sym 25815 $abc$56607$n27 +.sym 25816 KEYBOARD.kbd_code_hid[6] +.sym 25817 $false +.sym 25818 $false +.sym 25821 $abc$56607$n2231 +.sym 25822 KEYBOARD.row_counter[0] +.sym 25823 KEYBOARD.row_counter[1] +.sym 25824 $abc$56607$n2237 +.sym 25827 KEYBOARD.row_counter[0] +.sym 25828 KEYBOARD.row_counter[1] +.sym 25829 $abc$56607$n2231 +.sym 25830 $abc$56607$n2237 +.sym 25833 $abc$56607$n2237 +.sym 25834 KEYBOARD.row_counter[0] +.sym 25835 KEYBOARD.row_counter[1] +.sym 25836 $abc$56607$n2231 +.sym 25839 $abc$56607$n312 +.sym 25840 wr_cnt[0] +.sym 25841 wr_cnt[1] +.sym 25842 $abc$56607$n305 +.sym 25845 KEYBOARD.kbd_code_hid[6] .sym 25846 $false .sym 25847 $false .sym 25848 $false -.sym 25851 $abc$32112$n2088 +.sym 25851 KEYBOARD.kbd_code_hid[1] .sym 25852 $false .sym 25853 $false .sym 25854 $false -.sym 25857 $abc$32112$n2092 +.sym 25857 KEYBOARD.kbd_code_hid[4] .sym 25858 $false .sym 25859 $false .sym 25860 $false -.sym 25861 $abc$32112$n614 +.sym 25861 $abc$56607$n1328 .sym 25862 CLK$2$2 -.sym 25863 $0\KBD_FREEZE[0:0]$2 -.sym 25970 $abc$32112$n1329 -.sym 25971 $abc$32112$n1330_1 -.sym 25972 $abc$32112$n762 -.sym 25973 $abc$32112$n1301 -.sym 25976 $abc$32112$n1254 -.sym 25977 $abc$32112$n1331_1 -.sym 25978 $abc$32112$n1255 +.sym 25863 $abc$56607$n27 +.sym 25970 $abc$56607$n2237 +.sym 25971 KEYBOARD.row_counter[0] +.sym 25972 KEYBOARD.row_counter[1] +.sym 25973 $abc$56607$n2231 +.sym 25976 $abc$56607$n1121 +.sym 25977 KEYBOARD.report[6][1] +.sym 25978 $false .sym 25979 $false -.sym 25982 $abc$32112$n67 -.sym 25983 KEYBOARD.report[37] -.sym 25984 $abc$32112$n70 -.sym 25985 KEYBOARD.report[39] -.sym 25988 KEYBOARD.report[36] -.sym 25989 $abc$32112$n66 -.sym 25990 KEYBOARD.report[38] -.sym 25991 $abc$32112$n69 -.sym 25994 I2C.FLT_SCL.RESET -.sym 25995 $false -.sym 25996 $false -.sym 25997 $false -.sym 26000 $abc$32112$n2092 +.sym 25982 $abc$56607$n305 +.sym 25983 wr_cnt[0] +.sym 25984 wr_cnt[1] +.sym 25985 $abc$56607$n312 +.sym 25988 $abc$56607$n2231 +.sym 25989 $abc$56607$n2237 +.sym 25990 KEYBOARD.row_counter[0] +.sym 25991 KEYBOARD.row_counter[1] +.sym 26000 I2C.FLT_SCL.RESET .sym 26001 $false .sym 26002 $false .sym 26003 $false -.sym 26006 $abc$32112$n2093 -.sym 26007 $false -.sym 26008 $false -.sym 26009 $false -.sym 26012 $abc$32112$n2094 -.sym 26013 $false -.sym 26014 $false -.sym 26015 $false -.sym 26016 $abc$32112$n651 -.sym 26017 CLK$2$2 -.sym 26018 $0\KBD_FREEZE[0:0]$2 -.sym 26125 KEYBOARD.report[32] -.sym 26126 KEYBOARD.report[33] -.sym 26127 KEYBOARD.report[34] -.sym 26128 KEYBOARD.report[35] -.sym 26131 KEYBOARD.report[32] -.sym 26132 $abc$32112$n60 -.sym 26133 KEYBOARD.report[33] -.sym 26134 $abc$32112$n61 -.sym 26137 KEYBOARD.report[34] -.sym 26138 $abc$32112$n63 -.sym 26139 KEYBOARD.report[35] -.sym 26140 $abc$32112$n64 -.sym 26149 $abc$32112$n2090 +.sym 26006 wr_cnt[0] +.sym 26007 wr_cnt[1] +.sym 26008 $abc$56607$n305 +.sym 26009 $abc$56607$n312 +.sym 26012 $abc$56607$n2231 +.sym 26013 $abc$56607$n2237 +.sym 26014 KEYBOARD.row_counter[0] +.sym 26015 KEYBOARD.row_counter[1] +.sym 26137 $false +.sym 26138 $false +.sym 26139 $false +.sym 26140 $false +.sym 26149 $abc$56607$n2701 .sym 26150 $false .sym 26151 $false .sym 26152 $false -.sym 26155 $abc$32112$n2087 -.sym 26156 $false -.sym 26157 $false -.sym 26158 $false -.sym 26161 $abc$32112$n2088 -.sym 26162 $false -.sym 26163 $false -.sym 26164 $false -.sym 26167 $abc$32112$n2089 -.sym 26168 $false -.sym 26169 $false -.sym 26170 $false -.sym 26171 $abc$32112$n651 +.sym 26171 $abc$56607$n1471$2 .sym 26172 CLK$2$2 -.sym 26173 $0\KBD_FREEZE[0:0]$2 -.sym 26280 $abc$32112$n69 -.sym 26281 KEYBOARD.report[30] -.sym 26282 KEYBOARD.report[28] -.sym 26283 $abc$32112$n66 -.sym 26286 KEYBOARD.report[25] -.sym 26287 $abc$32112$n61 -.sym 26288 $abc$32112$n1298 -.sym 26289 $abc$32112$n1297 -.sym 26292 KEYBOARD.report[2] -.sym 26293 KEYBOARD.report[34] -.sym 26294 I2C.byte_counter[1] -.sym 26295 I2C.byte_counter[2] -.sym 26304 $abc$32112$n60 -.sym 26305 $abc$32112$n63 -.sym 26306 $abc$32112$n61 +.sym 26173 $abc$56607$n28 +.sym 26286 $abc$56607$n667 +.sym 26287 $13\int_tmr[19:0][2] +.sym 26288 $false +.sym 26289 $false +.sym 26292 $abc$56607$n667 +.sym 26293 $13\int_tmr[19:0][4] +.sym 26294 $false +.sym 26295 $false +.sym 26304 $abc$56607$n667 +.sym 26305 $13\int_tmr[19:0][6] +.sym 26306 $false .sym 26307 $false -.sym 26310 KEYBOARD.report[27] -.sym 26311 $abc$32112$n64 -.sym 26312 KEYBOARD.report[26] -.sym 26313 $abc$32112$n63 -.sym 26316 $abc$32112$n671 -.sym 26317 $abc$32112$n66 -.sym 26318 $false -.sym 26319 $false -.sym 26322 $abc$32112$n671 -.sym 26323 KEYBOARD.report[2] -.sym 26324 $abc$32112$n1163 +.sym 26322 $abc$56607$n667 +.sym 26323 $13\int_tmr[19:0][5] +.sym 26324 $false .sym 26325 $false -.sym 26326 $abc$32112$n605 +.sym 26326 $abc$56607$n901$2 .sym 26327 CLK$2$2 -.sym 26328 $0\KBD_FREEZE[0:0]$2 -.sym 26447 $abc$32112$n2093 +.sym 26328 $abc$56607$n35$2 +.sym 26397 $true +.sym 26434 int_tmr[0]$2 +.sym 26435 $false +.sym 26436 int_tmr[0] +.sym 26437 $false +.sym 26438 $false +.sym 26440 $auto$alumacc.cc:470:replace_alu$12123.C[2] +.sym 26442 $false +.sym 26443 int_tmr[1] +.sym 26446 $auto$alumacc.cc:470:replace_alu$12123.C[3] +.sym 26447 $false .sym 26448 $false -.sym 26449 $false -.sym 26450 $false -.sym 26453 $abc$32112$n2090 +.sym 26449 int_tmr[2] +.sym 26450 $auto$alumacc.cc:470:replace_alu$12123.C[2] +.sym 26452 $auto$alumacc.cc:470:replace_alu$12123.C[4] +.sym 26453 $false .sym 26454 $false -.sym 26455 $false -.sym 26456 $false -.sym 26465 $abc$32112$n2088 +.sym 26455 int_tmr[3] +.sym 26456 $auto$alumacc.cc:470:replace_alu$12123.C[3] +.sym 26458 $auto$alumacc.cc:470:replace_alu$12123.C[5] +.sym 26459 $false +.sym 26460 $false +.sym 26461 int_tmr[4] +.sym 26462 $auto$alumacc.cc:470:replace_alu$12123.C[4] +.sym 26464 $auto$alumacc.cc:470:replace_alu$12123.C[6] +.sym 26465 $false .sym 26466 $false -.sym 26467 $false -.sym 26468 $false -.sym 26471 $abc$32112$n2094 +.sym 26467 int_tmr[5] +.sym 26468 $auto$alumacc.cc:470:replace_alu$12123.C[5] +.sym 26470 $auto$alumacc.cc:470:replace_alu$12123.C[7] +.sym 26471 $false .sym 26472 $false -.sym 26473 $false -.sym 26474 $false -.sym 26477 $abc$32112$n2089 +.sym 26473 int_tmr[6] +.sym 26474 $auto$alumacc.cc:470:replace_alu$12123.C[6] +.sym 26476 $auto$alumacc.cc:470:replace_alu$12123.C[8] +.sym 26477 $false .sym 26478 $false -.sym 26479 $false -.sym 26480 $false -.sym 26481 $abc$32112$n631 -.sym 26482 CLK$2$2 -.sym 26483 $0\KBD_FREEZE[0:0]$2 -.sym 26608 $abc$32112$n2091 +.sym 26479 int_tmr[7] +.sym 26480 $auto$alumacc.cc:470:replace_alu$12123.C[7] +.sym 26552 $auto$alumacc.cc:470:replace_alu$12123.C[8] +.sym 26589 $auto$alumacc.cc:470:replace_alu$12123.C[9] +.sym 26590 $false +.sym 26591 $false +.sym 26592 int_tmr[8] +.sym 26593 $auto$alumacc.cc:470:replace_alu$12123.C[8] +.sym 26595 $auto$alumacc.cc:470:replace_alu$12123.C[10] +.sym 26596 $false +.sym 26597 $false +.sym 26598 int_tmr[9] +.sym 26599 $auto$alumacc.cc:470:replace_alu$12123.C[9] +.sym 26601 $auto$alumacc.cc:470:replace_alu$12123.C[11] +.sym 26602 $false +.sym 26603 $false +.sym 26604 int_tmr[10] +.sym 26605 $auto$alumacc.cc:470:replace_alu$12123.C[10] +.sym 26607 $auto$alumacc.cc:470:replace_alu$12123.C[12] +.sym 26608 $false .sym 26609 $false -.sym 26610 $false -.sym 26611 $false -.sym 26636 $abc$32112$n651 -.sym 26637 CLK$2$2 -.sym 26638 $0\KBD_FREEZE[0:0]$2 -.sym 26763 $abc$32112$n2089 +.sym 26610 int_tmr[11] +.sym 26611 $auto$alumacc.cc:470:replace_alu$12123.C[11] +.sym 26613 $auto$alumacc.cc:470:replace_alu$12123.C[13] +.sym 26614 $false +.sym 26615 $false +.sym 26616 int_tmr[12] +.sym 26617 $auto$alumacc.cc:470:replace_alu$12123.C[12] +.sym 26619 $auto$alumacc.cc:470:replace_alu$12123.C[14] +.sym 26620 $false +.sym 26621 $false +.sym 26622 int_tmr[13] +.sym 26623 $auto$alumacc.cc:470:replace_alu$12123.C[13] +.sym 26625 $auto$alumacc.cc:470:replace_alu$12123.C[15] +.sym 26626 $false +.sym 26627 $false +.sym 26628 int_tmr[14] +.sym 26629 $auto$alumacc.cc:470:replace_alu$12123.C[14] +.sym 26631 $auto$alumacc.cc:470:replace_alu$12123.C[16] +.sym 26632 $false +.sym 26633 $false +.sym 26634 int_tmr[15] +.sym 26635 $auto$alumacc.cc:470:replace_alu$12123.C[15] +.sym 26707 $auto$alumacc.cc:470:replace_alu$12123.C[16] +.sym 26744 $auto$alumacc.cc:470:replace_alu$12123.C[17] +.sym 26745 $false +.sym 26746 $false +.sym 26747 int_tmr[16] +.sym 26748 $auto$alumacc.cc:470:replace_alu$12123.C[16] +.sym 26750 $auto$alumacc.cc:470:replace_alu$12123.C[18] +.sym 26751 $false +.sym 26752 $false +.sym 26753 int_tmr[17] +.sym 26754 $auto$alumacc.cc:470:replace_alu$12123.C[17] +.sym 26756 $auto$alumacc.cc:470:replace_alu$12123.C[19] +.sym 26757 $false +.sym 26758 $false +.sym 26759 int_tmr[18] +.sym 26760 $auto$alumacc.cc:470:replace_alu$12123.C[18] +.sym 26763 $false .sym 26764 $false -.sym 26765 $false -.sym 26766 $false -.sym 26775 $abc$32112$n2091 -.sym 26776 $false +.sym 26765 int_tmr[19] +.sym 26766 $auto$alumacc.cc:470:replace_alu$12123.C[19] +.sym 26769 $abc$56607$n667 +.sym 26770 $13\int_tmr[19:0][15] +.sym 26771 $false +.sym 26772 $false +.sym 26775 $abc$56607$n667 +.sym 26776 $13\int_tmr[19:0][16] .sym 26777 $false .sym 26778 $false -.sym 26791 $abc$32112$n720$2 +.sym 26781 $abc$56607$n667 +.sym 26782 $13\int_tmr[19:0][18] +.sym 26783 $false +.sym 26784 $false +.sym 26787 $abc$56607$n667 +.sym 26788 $13\int_tmr[19:0][17] +.sym 26789 $false +.sym 26790 $false +.sym 26791 $abc$56607$n901$2 .sym 26792 CLK$2$2 -.sym 26793 $0\KBD_FREEZE[0:0]$2 -.sym 27055 KEYBOARD.row_counter[0] -.sym 27056 $false -.sym 27057 $false -.sym 27058 $false -.sym 27079 $abc$32112$n29$2 -.sym 27080 $abc$32112$n839$2 +.sym 26793 $abc$56607$n35$2 +.sym 26862 $true +.sym 26899 $abc$56607$n246$2 +.sym 26900 $false +.sym 26901 $abc$56607$n246 +.sym 26902 $false +.sym 26903 $false +.sym 26905 $auto$alumacc.cc:470:replace_alu$12069.C[2] +.sym 26907 $true$2 +.sym 26908 $abc$56607$n1955 +.sym 26911 $auto$alumacc.cc:470:replace_alu$12069.C[3] +.sym 26913 $false +.sym 26914 $abc$56607$n243 +.sym 26917 $auto$alumacc.cc:470:replace_alu$12069.C[4] +.sym 26919 $true$2 +.sym 26920 $abc$56607$n2618 +.sym 26923 $auto$alumacc.cc:470:replace_alu$12069.C[5] +.sym 26925 $false +.sym 26926 $abc$56607$n240 +.sym 26929 $auto$alumacc.cc:470:replace_alu$12069.C[6] +.sym 26931 $false +.sym 26932 $abc$56607$n239 +.sym 26935 $auto$alumacc.cc:470:replace_alu$12069.C[7] +.sym 26937 $false +.sym 26938 $abc$56607$n237 +.sym 26941 $abc$56607$n2575 +.sym 26943 $false +.sym 26944 $abc$56607$n236 +.sym 27055 init_ram_cnt[5] +.sym 27056 init_ram_cnt[7] +.sym 27057 $abc$56607$n734 +.sym 27058 $abc$56607$n2575 +.sym 27061 $abc$56607$n2707 +.sym 27062 $false +.sym 27063 $false +.sym 27064 $false +.sym 27067 $abc$56607$n2704 +.sym 27068 $false +.sym 27069 $false +.sym 27070 $false +.sym 27073 $abc$56607$n2706 +.sym 27074 $false +.sym 27075 $false +.sym 27076 $false +.sym 27079 $abc$56607$n2703 +.sym 27080 $false .sym 27081 $false .sym 27082 $false -.sym 27085 KEYBOARD.row_counter[0] +.sym 27085 $abc$56607$n2708 .sym 27086 $false .sym 27087 $false .sym 27088 $false -.sym 27097 $abc$32112$n2003 +.sym 27091 $abc$56607$n2705 +.sym 27092 $false +.sym 27093 $false +.sym 27094 $false +.sym 27097 $abc$56607$n2702 .sym 27098 $false .sym 27099 $false .sym 27100 $false -.sym 27101 $abc$32112$n601 +.sym 27101 $abc$56607$n1471$2 .sym 27102 CLK$2$2 -.sym 27103 $abc$32112$n22 +.sym 27103 $abc$56607$n28 +.sym 27189 $false +.sym 27191 KEYBOARD.ROWS_EN[6] +.sym 27192 $false +.sym 27194 KEYBOARD.ROWS_EN[7] +.sym 27283 $false +.sym 27285 KEYBOARD.ROWS_EN[8] +.sym 27310 $false +.sym 27312 KEYBOARD.ROWS_EN[9] +.sym 27313 $false +.sym 27315 KEYBOARD.ROWS_EN[10] +.sym 27370 $false +.sym 27372 KEYBOARD.ROWS_EN[11] +.sym 27373 $false +.sym 27375 KEYBOARD.ROWS_EN[12] .sym 27400 COM_DCD$2 -.sym 27429 $abc$32112$n693 +.sym 27429 $abc$56607$n1018 .sym 27430 $false .sym 27432 I2C.SDA_DIR -.sym 27459 $0\KBD_FREEZE[0:0] +.sym 27459 $abc$56607$n35 .sym 27463 I2C_TRANS .sym 27519 LED4$2 .sym 27522 LED3$2 diff --git a/i2c_keyboard/hardware.bin b/i2c_keyboard/hardware.bin index a239755bfed1070eef2ec132a9c2b3a0afb0ca56..573b30aff2331a16ed9887d4f0e8404dc1354c08 100644 GIT binary patch literal 32220 zcmeHv3wTwy6ghBOs!sHoj=I zR40HoR?vgA3Kj8CU-j0jv3f1H54(8?SgA(aDpjioe4w{#V|`S;TK~T_vrhtE{p!8n z|GWSH_sP;TkG0mUS!>Oj$DX~zdqnSD_%Ew3`~r!@m852i&z?=W#Y9yk1QGuKzYQNC z(szA?gIy&DOCPBqKZq;tCzd_L@d5o05%(%o zGbtd_q9_g_JMwt;uR1LPVGmI3d`Z#J_^){VW<#`dV^g#TTY+Zkm8}*LEA5$*OS#*; zpUm!Uhe~g^{SJwI=oQ1Aw{PA|1ije9;h(xO?KuG zry=^`67AFY?$34st?;ujUY-G;b5Z3vWk?j-5FpXG>s>WYv(<6X*e)Hi7K1DZ+y$ zJp5{9hk%;zhyNnd7q`|Vs+BZF&ICK!i;~WjjNLen#a_C4{q%@v+~iMpFp{VYKSOnJ ztAsQEh?-Ad_d==-mo&j}n)B5skODgLzN7+etfs&-j3@+No_x=g*RR*8j*~hL^=l;8 zNss4*5||7#rrBvwTmEB&zbLdY8PGuxsY&`vTHTtYf5d)<#io9&mXw@#k<+r=NX?e$ z^6eo}UkYXGR7D-vFPslU+kMs&CPRHrvo%Y2_KYwP5AXx39Jz!2Sm8evi*(#ARI#0T zQp_fFpmgIMTNMl!v&-LMoCPbGuCQgpX#>qbu#H>^Pvl!Jn8rh;@U#9;x6uH~RL+Tr z*s}1YJd1p$F3&gyt0mePs!bR}RFYL}lAwi_lF&iyOe+$aS>ct;LDrY+U%!>*$h$dp z04-TjKhRcWtYvxW@8rWUoE~(BgGqy{j>Vuyh=kCB)iTkDOl0fh5hofGF%`yo^!aNH z{G4UO8w1*J$(3k&@T?3g#?WS$Af3h(@4O!MYO;oRl&xpO+kfyA9zH-X`$=piT1+&m zJ_lpGH6W?f@*S|$)X0M6gOz=je~w0$pvoT7_>ZIr9=hy?2I*5fYit3nsX(VGTI?AQ ztqYVyuy`i1wp%W(Ab-p$JUk5joK(-&obkB=gJ#Wdee~7_R2mv0#$mWvB#B+iLY0bm z62ebFdQhWQz-j3tF0g}3WI8|zPqV zD4%9vcv<-scVC5C(>NV#c_~N$Ue$>Pc*SaILMrIZdq>ZgB#!=l6#EiP=sei~`9_IO zJNg`>DRXy?p&!>!wj^tL%AGI0f=@Eh^*2~v2hoCLru>lkut&a_M{5U)BH5ALFGJd^ zFziglHW978%7ki?)FrdHMkP5;lXNI+ndU`=ya-7nz4xQ}3h`QB=!|V_hbuo?TvtOhMRAL=G=7N9Y=9e}cBFWRq=mg6^Jx0^`Q#*$T?()iTYaEjCNlb3m~k_?)}r<2^yGthF(H;K-o zfmB0-geC|O8!zw$L{f4gX=L1A)uv}w3=nPDO#6jMQl>VlP7-b3t2L7-g3@m~8_0p&!7Z)HBn(54Tp{Z{rRs<5CyN>45 zz_Xa+%rFQLslDjTP~z-TXCUgd(|+24HMXQ5I*XINY;v08_0EAM{^$if*g3?dr1Q={ z4&_z9bM%%zGy`Ou;gra2CNEEKGOi`}a1W*6*=hu^S4e8ZSmWJ^cumu+f5mhTzboKk z@f{f6iUna9ses1ioJf&ZJR4~L-}%D2V+17?EoDsrK8cI6No~uae3hk$GyVT5{ zSn?c&$%`pDDc|99arjmfg0avL#_E$dv;1m|)7bhWnnGO9DA+nXKHb7)y7@hfDsGvb9V7I&<`X<&4@HVmL+yYz*C|}df zBJY~=W+4t0qN#sGH&DF6SG2~PWFP`y?qn@@VH0S#5@Bq1>Wv_jkF52uC)_so6uYV; z^wE4Y`I9vJ!@(g>I$9OKyslER-DROR#o)??F!Y_kL+b^FF942fV@MC9RtC7>^? z+Ce6b9bW?pC^-<+2CA5tMWq(MbdtJDaWIs*XK`eWqNEQI0kmFm*^3INkf(3Ec{JD< zJUtE*g37M#=Gwd?E<)D;QHoI@-Q;Z_P+SMpV>Sv+Z}vbd3Z_>SESBg1&V!j1J;+!~ zN*4Bi<4gOY!76A>zM95JMXAzN>?-N~9jRr6$d}FlrK_g}(Mbod&4<09;Y@kwwbLZc z!(wT;4)IhGehHzpwp*j7CT|EV1?SDzBTevMBT(=lWamsgO5~C?Po0H95i*g zPbFI>g&nSMU+lhwAd>1IMidwx4$CM?NB(jf_OxlA#i_+^*oM3_S)?fGi^!*jaXdDF zO!;9w*hLT~N!ya+#}B_$MX9w9FS-(p@ORcxPV7Q%nj@UgEkd`^14$T8C9nQgYPso` z)*6x~VM1T-`dwtXkd&4e>TO*9FlSL0xF-tfVFLg(DNZ#f(>AtYWKp;nTNcTg^IqV} zWLo{u%UC02O1UnGp7)+!i^HIy@~38Cy&Rhb8-u7jUL$L3)>L$66Ey)NCoF#+8SOTl z!h5S$n26~=``(;3xH8=vH7^VBLMeRL~N;mMB!O}Ybd*`Aiq^RW|vvzn^du0jg1 zwE?^tg>1-Ln?A0}bmbYRx7XE64OUyW4pPU#$#0wnk5;C ziVnMVz_L){Hk;$@WgLS0SFRXRyPtfr925m>>osGAP74hdTfU#YP6?1PTkbNE#h(8c z3MB~tbOrmCK}3*_10Qr7MnAGBB+}%dI-upmE)Hx9o-Op4ur}6W)6v!_jg|G%c zo^AYD1}7y$83`&$9SGDJst>_4WX=aO8sZcTRz{194LHrb&96Y1VT|0;fSJ@-Vsf}O z$}8`LKE|>x;4^f@d|Trr+3+$>2sFSW?oW@#FChc7#p)aet8o3i=R#B&3Uc-W9Flqu zSexU0yn5jU8H*Qzj8LdkH)1kcPpAjwW>El#16{W9v>Qm6 zAb^%L@^tu{eb7YU*1$U_NUQcDA2z!5#992z_8RNInNlrFkbAJ1)PsaWThnIl5Fo?d z=iSzYhm{|?# zM9F&~l4!jEx+dA(2NeN z-cB`Ve7g_q+dzd#%$Jyrv!vdhWtXggshFPidx;EFihN&N_6>8^ypDpRer+%utb1Sy z@*HF>?K&u~gKgtZ&cb9$w2&^wiyaKmn z86#1~1rvf8ELc7bM!rh8r(iVr-v&FVAE{IGk+}YcOtBx~3kJ=}o@K88ci|t2bfNj* zmHH3(qkljjB*)qf*8e{)s6pL$8Me?%@-AA6gPsXxh}fQ*VH{m#WBV}W&ct|z zVQm1i7TIbnN)}Qy+T%HOYvk&~gq}pUyaTtMR4uJYreRKTwt*)+K;SA@Tk!&~rASUs z7ezX{oHUSirJ;0(Elzo12*ag$g@g;WA#}Oh#BgMF^S9tgHBK|Gc+a>tXK&g#3d%%| zAx`Ugx6-as;YF-FZZ3F9m$vLEoX#`ps92Lzb10SsfwfS5YCCGGNF2W?XdVpJ)K2#E z@D&ASNgmJV3djx;G}@pfiy}2i`C=sCUjWuf{YmD>F~-_IloLV5$N~?I(3KZ3IfDD4r z?2{tED~dWQzhY6!xx7fL7TFIP`3 zEw

ta9ztD7M$u(UjTKILKB9I#KgU&_`hpsq$O)hvA z1FxnrRIKHt4l$G(LZ`!y2f{Z@UnchyCVcvGbs@o&I;&CBEK~%j-!310R|^| zP-GNv6AOx4mNXkzW2$|N!Ameju)&X~q;d?mMn%Ta1|={}=9NM=;W+Ix2B0_@5?SYz zIN=tmRwS|zY0wL zDI)?LpWx4|6^T+Y62p*i#Ym;pz90!?1{2q6iPvI-A}I@;G z>YBEtt>q~!wh*}imlTZW*T9PnK-GfZIfpT#;G__hn^oXRL2p%Zza?-K%QVW`+@ z2n8Qr%Wg64oCCaQ+(3k!pV5@~QsdX5D=D^KD@koZV>$t}JH-O`R9{)EbIwE-?Bz zN-kjZAwI1p!@7ERL56GFv*`(x;mZLSEdHtGvrvt+PSx7D^al@v@K~EQvl~mWrfIt> z^O5a~eJ3?^>+kd^ML7_YzaQvCM^}6?(mqYnIxwJvhPXthB1-a=>W{g+5M_*bYANAs zj?)aItYWP&NN#QE??k<#k5s|Cr2hmmhej7fTH=m*hXKL*M=PRH2S>>)R*`Z8oG2u5 zL%3c*B<`&r$xF_$4>#Go!KM{mL{D#hImeaCZz>5# z@G$3qHPFB!xE^{pAPrKuOrSBF?#^fNI`V;u6v0Uov!KMFh|FY&6bTZH8l`#3>)X%v zB9AEscE8ioXO@&1fMQz~;F?@lmQ3a@lZTwa6a_17N@v?kA8W_BQ?rPTVEwuY@S->8 zmk1~umwXA76`GbsFbLaBmb^AJH? z7dp@+%>gK`Nk&P{sP(_~OLgiJY=I8=j8sr*T8sg+yT|P3@IF;nRy@2N40U1~RJ3qh zdLX<6afz+V03q=iMuA24EYE616jgrTVv+nBBKh^S@!>BROJiPREk6}Li7kZWq|Y-M zP;nx(RFDMU(D_dTQ`cZcX0GqXY9_Wm5E&o={fB21O>2iQxt1fJ=4>9wVd`J@(?u8- zG5W0tcyud_&GbVN0_d+ZjSKn%h{-*VwcZIO)WskSB6wd5k zffwQ9Ke3^dZGGJ+%#+!7g&9;({1uX=KNU<+5&=_xcmCqj?x6#QT9 z=gEuGI@1b0Aw@nhQsaSdxf!J-ZOvl71Do*qh8WP`h9^XE^gNTRrQ)NMc!|l}yWwYn zinHMcRJpM~1saojYo|fHi`}UnCnH#q_YI)~S7nQ*-?dKD7!w@d>!V%!TVF(q&-@H% z4m1Qpq>9AJ4XphSzQJ9jCjBLYkr4K|$0xCQ|7#SVG&^wkDKzx4mf;&Ff`~B>+UHVA ztTB=$o^@igrh{3e@V#s)1&wcB)_?_4>@M#sFarg@f&qBF)$|sm(Pxl|s4bTZ8b$f9 z&o&6>*)K4gGT!AZQjq`68#Pg92;}%|0fy7ShMTcG(d?PwXk-}@FC0Q9l5^6xK$=&Y zqu+?x(XtKREJ44(Iz;}3vv~U80=F0IR+`fO87xxtQCe4b;h@~2R`EWB^X0Q^2Ed8! zt*i^Fc=}~H9YfVme$Vvub4)2eTSt_=`24SA;2wN<6V-2|ik`WCmcmx*`7u?fWa9BW zmb|V<=QsI<19m^Mf|~(en2*HUlthX(ydSPO`dq}k6bGH7fjfNE^GE^0jLhMAxdJcu zaKNw_d_V!0QqRt%$eup_HH%hEA|C8`#IbCZ^y94ALshijTxd@2Aq9$}3@(yfYP97q zaXCc%zTJa5l=49z1XLndDPlEU#P5%*r)VsZgM9E*M9S5T#iFwpCNAf<;)+$<1Jb!1 zKdPW7yv}7>Rrm|;rTR1^dq9okNoW|8|Gw2Y(fN{}Ft`!H*CW;lqU$qoRD`wCfx+1m zw6KyMSp-bAJjpr?+~F|~W0j|1Kv_=2q7_NzrPFpA49ATdP#=VnEPsDhrGW4aJO*nh zcMfYQg#BVh0l^^n@yXD&NY%O|pFGg}rG7$(CA+@6jydpz)MKz-(k7iJ;TfPJ@*DEG zVN|*LD;tcTPkVnh0-GAv(tZl@DWVUv5k=^m=-WF>@zKEQcWHk!1K;FCz=G3;1G=O< zEK`gNl7_RAOZRJ^0Ym3guCA_)D}u-kC^)8be59G99IC zA}Jmi2C+RdBQ%-x8u_)E-Li2Ol#G}m4Eca)VZddQ9?SmAclrl8-zhw{^EF8gXaT_S2l%*wQJ2)y2`CDO-+W7QA& zT#MG!6Pr)^Rlj64P?=PA5zawWXP5yTeiKI;-$cb=wj+qRJbojF8he$)!$7RtIP2fb zj}{D0WF3w=zh6LIK5m?_b20%cU<_qPv2Wa^>ToFMsj9BKcKl|h*bDa4IYWLYdwIH;#`<}*P?C{VSe1~eID-j3#D>7y9w+bRxt1TNP=^OWQGjaG$@U)a= zxb;AwhPtw0iqxowOu%uez_M)l2&xO{ zk!7e$D(?;th;Z~$N#cPO54!N?z!tx$0s|d1U-2wU+;^EHv0$J_<*6EI=mn{FtU=t$ zZ<+Z_3k=2x1%{4Ldc`(hem*LwN)Bx_31)c|+{x@&P^pt5G{Ds~sQF(p?|JJ3tGxcKor4M8?sFmv#A+q_jNNXU!fJfi%a`E7SzhtX~R*_qwE zUee?oZg&73)v|{a4bSxhX<^t`*Y~5~?R=iAO#;s&P_FJiXfj5oU%#^=))KA$ooH4N zPJSj!ha50bcZta06y2P&V(6U_!_E_DwwR&*s zm6MjQw`?}y;|?`Hz6IH^#X*QC6{z0aPa0_N*bc$DgRY$h&oDoY0!_6I1IDOnBor}V z^7a)ME#Kh78-9a?zjHNdO&ohh!S@g>btoUK$^*k=fn!22fM}zJ>2OLQu8wyvrgpUF z@alt0fW?OI%4E_81pJE|og%HJLz8>}&-{*)bV(P=5b4dY#<=vOA44#RlE$OHQ4t9% zw9~N%&?yP+X4&>&#HBF|&wO#owj8e7QAcc9$O;zX_Hn!Nct@T(8#KRRWxZvv-y+fM_(8E!4yRou}`Kt?-6AGC07yL-!W^Z}~RLd3DDLe86Y@Zvz+ z9ym{Q0Cz=?si(~2`Ln5Ol&pO#5@=y?$#vMdXnEi(kwHf7_?7M$py4ucsAk02bxy0L zG%nKnk$*6*W7u%7i+piktqLegX&+C5x^nmcOWxmW#Sgd+y{Wt-FQTBV z74K3E)kPyQ3X)9K4KhM3J@?(U)f!AGOuSKM1TIv`)Bj&}&1VNApp55_@6NK2uD%0Jj{>8OrVN zW;9MfCG1+%VG=ere10hAYt%)}rKPn71%)4~aK0^Y0MnXF4T;65EBth=u)U`_)CnT- zRfj-{Yufe;c!onAtLoyjSk)e4cB#Nz@a&-+~Te%yYYqLR{XFBefV> z9{FyRg%WDzb?ev>2aue4>(y(+G`)S!@uM(>owG!*pk?#86e}qntTPq?C!{u2Xk57*mp+RY2aLpU zDx$m7Ehu}PU5sKF^XiG+r3kRs7kIt1W?-uX^K>{OF|a#=n6_VCroGba9hsIX$)E8S2M}Pfu)k6_;0(8l$XJ9vF4mKUyr=P)agRS$s-`>)J@6T@P zS;ijY#*mQqdA&SVXvM;?5+HqN<+2MgB+oq7=Y|K$>xw%dw+DVp@E2uMO&Y{{LEexI9C*}#v)HZOv-U$%9j{!~~%N|eQ~ zvOlM(^0#NUYjiqx>w#Kxf$&!|9{FQ?oW~Sq(z95O1N;f+!d7f7a>V%s{K15skd(#d z+6^TQdl2yULj^L1vZrZ9nX%6rUiBf;0*0^j7%nAwCT$pjQs+nmk&3?Nj#c&%A8$`| zskp;1CkN4E!y~AA-_K_?D7=%sz05it#gw*71s`9~IaEJ-2VatQ{`2?-8OROauq%;* zNPGf;wT}l3Ah8b7qOj(H_ZjA<{PXD zAe3!Q$aHz|F8+88<0A4?@>d3S6u77xeo7`@H}keVeG9}))|7<(8zYD__?58+F%bLnQx7ZEy4Ne|>5h0JeX*A~apF7thUI60GTj6={m08r! zD)5ggYB98QRM*Te6HU4LU3*0#=##H+*`VNxr-cC(YE$~!QD46S^nS7_6Db{1U; z8MJagd_ktWpc_QG_5VB|-^%3hr}6i`I1>{fXow6MFe*4Nq6jZ6d$`V!erLsj9g55) zFL$wf>vmwF1%y&}6IQU2wcUE&0N-du#C%lgL2h~GsVe=+7dXb;%v`y zv4IUk$MaEBH*@Uv8P6hD{-2ACm9TBFSI|_%w&J0Zu$Ri8Cv*#>XX! zq}4gdVZiY4={*b@AwrQFz#Smp5WG6yw`+y-7!<{$2mdo98lO{WwA-HPLJBCa(HX1R zDp%)neRs$WMm=Y6!msH;%1}a5BO}8}3}w*LPhHcFs<-heyq*Sdm*^aiKU^zk)ux>B+5_xy~|aS23LU81Myt9 z+a>ZmR~)>2nf0X`k*H$$^NfZ_OV!xuB3r@}!#i=Iz`3v|Np#N<%V2EENg!Tf38|I8 zhfr_A*ZM7qMP@1XkOTwWeE_z-lOG#;)(XTFZIVMeaXY}}l;}gKp3n*`6#D~4m7!?(Y7DjmydQ82QWKBn*sn{9G^_1ElRe0C zB{lIFhm%x~`Vv5==q^t;YUqFEW7P=QIZ(;sJENyJCdMyBRHO2MzaSsa3~0 zc@S`aZIG(c{0@Lc0MhAe%IJph<|~b}Ho#GZ8M(O%XgDe;;jGfQxGWb+qmFD% z8U;j(vCN4hA&pHxYillPG5oF|^>hB);`X1O5n8MhNo5n=a>F<&?Wtc)=}MZAmZSx1pmq#VE(ht=A&$nY7)5@NVz-P5&F-2}1 zh5p9n6aE?27~1stD=h{89FonoP26VyYcwTgKXHP{<^YRmdv8 z(aALxY|q(HM>z)VO;|Lcz>ZZc5^sI@yo(V%g0wAwGUx!zo`Bw{-;DF6yy*;B3l_0G zr?RBL3*Q=K08_Fm!Z&_jxpR8tQO%+iy*4hrBV&;(92ZhdNGm+qjuj-r~5#(GXX=sBpyL@82~v=Gnj^0RbI^ z)NoOj(FhK1MU>Uj;n+NH3KHx~lrP04ng!YR7uM zFS7_`Yq$>RM#trG_G>n+@YmO{6p=hpSjwQ5_Xg{K8NAiAfDq3LUHr8WPtMygYT^` zZNTApZIqw8oYwH0gg)w$^trA=Nn{OI^R3}%#Vr|zx7JoibsMr;OHE?sYB38)ZvsSG zEe$dUf*oX64@g!8b5p@ml?5owTsImprbF3 zO5z=W^}msgP1ghvMlBL)m^`ujKUgjJvSt7(ymNZIs-I25l!jQBu#dMJ@K=BL8Z-dQ zk(}a2IKp)t7cti2)m*iYxFo4Vn)+5o^nx-t;v-LpV#5PHqQ5}BW8l_M>g)gT!g@R{ zvf+@@fCzxGR!er*x-`laBeqXFdzQ+a9DYx5PaNuacq8{*3=E*Qo6~o1OR3QR$;?&Q z=@9D}afCV!M7X@xOb688&hsAsmV;b^8fFWw4xC5`!Jni@zyboA_L#fHZfs{^h^SGT zhZmRv!|R|Wppz4ZaP&6(EjxsaOXlIVyYNDjKWOl# z2#s|kaOX73iRQ=ybx-|tuBWR8pkx+?E7TP9+yhn@&}qqG(+x&K+>T8ayRMx*XaaDz z>x$AZ;V^hDHJ>}K#dOhrPvG8VsbMXlK@0}DPh%$5ha1A)^oGW#={P8Nw{H@9jkfu{FtNYO?e@nTcQwumxz0pw&eN);Tw1i|1uvs1e6z_ z^HgFb)Yk~KHYPvO0Y{~bz&!4XMY=3dI0d7-kh+#T&HjZM+MK)Kl1Q~H<>Q~|pxndz6 zaXb2~H-9yv$Xx&WP8b)&OsrYoV4127f(UxOL0x!JvnT}FPz+YHxGW_$U4Czon7IU~ zpKaqQ-VS@R%rIk6q#`eZd%$|nGf-XfOE|Wl4M(9C3kfoSuXUR~D?;g$Z$L{;qYVDe z232s+j98>WkwIa-NMMBz=3@#*jgfm|_Kfg+0A#np*&>;)XxHdUMJvVT8%K!sh|S>aq(=SS zKQ3b|8BUae|3dU*qnX6(Bd|C0edUq{80S6y@600LLHuK`t=N-bxZ!c8(4>2Ig~k(O z=JZ2|T5SV>xdHh1h5me?W|2^#DV@Iz4f-CtJd3(_e_)Ws&_(U#V!*DKI96^vusi&8 zG`)PmmI(A^ONOJFa}cxp*Hm)Ga8%P0lmm6;77dnBFZCIVcF8C?9QryCr~DZjVBQ@% z+bHtJzt!XLWm7l9e5{d%e2bB`yp&&P&c(&)hLc1d6hX7z#$7A^$WUa+={*BhK_aBl z(IlrW6$byF%O#L8WdREs{6-H=e0mG63eA4s2Qwd8`41;3%sh_3#fLlsU0CoTvdfk( z$|5tA8X>aQc^QSZ1>cy|lCpH7ttO5JgHxvXBlU;AW`*kED9i!tNwAjU&k{0mlN9J` z>+sOfAu$FbZy}9#4CzhfmlxooM_+8kIC{t2!AOl?9qz56MTY;x60tzjGsnF0vcR+5 zF7INEF5gTu9uEa=V>Eg{_4;yU>qwgNK@KnBerPw@6(@x~8lugxw~xW0QLFbdH@K$h zY3ik}YW&+zm$I%hF>ZAa_`v)51zA{}J_sjY+c|ua$W?Gay}FpB@*a3{y$LoZMJP|a zkg(tA(p3>fkb!^TOX431#J~R&O!>O;sCw%af{tMVeM2KT>hLSL3{a$|+`ej5geTc_ z`v9I|KG&URJl0Q6N6lW;U-Tw6-LU_lNYdPS=4kl%tw=*_hI?I{+*-t`QQ2B;}(Bd1`L*gkNY%;SBrhwJ`a36Jy6A8@?jyM z_&iYbg1g=;)`s%petOgp%9iB&>hF8zaZhl2@ZiX@7rdX}KIiYjQQW7BsO#cFQBF~> zVqWBXf4zwRM|rXTV*BD_Qc;g}v4A4I$e)Vw#dAp^u74uVi|3=_eiNfKvCB7afeB7f0;MS4^$d|Y#P9{9Lgzt8S{9@ysrc%X{k zSMqsaAMEqMJ`a3+J+SXQ@bMk{eU|U@K#5&c8Wx=FGWs=gz(1U7~lF|Ks}QXOc*KmDC*Z$;H&&NmNBb&|d|F`KxsE z7kA=@ExfSOTovOX`!6fs&!qgz%>6mqhV-AKMwF$#q~OcLYA zG?OCA%sbD-0$ZyRR61fQLa2o?XyA@KHWzWZ%F|R@+Z-|^%^@%Cn3DBGs)8CCW)~>} zZO-g0Fcw)iJk!9O*8BQ6RWh;EFPLNwOrn&T{%Wcp`Lbr#08|c`B=#6^Y}N!c=F9y+ z;dKOaX>B&f*(BpK^E%Ol<7Vjr0kGy`laWiOW)+F~5A_u(21F-qvH=X4tGTL?Gy7et z|Kb;zP+VA$e;f!UQNIoAD0XMmo%X2(qQnI^fpb#_^Oom!0VbT>ecF#a~SmgKvCYfe9HcLS|b-%GhTqNfWHpwfJocXFt z`U*<>u@=`O4{Z{XCaWe2YlJ1EqME~6vK})|bo=La>u}%|EHy<@#oQ^LVb6@pdUVv5 zm4+9bC>Qf0A6erZrRp#*VX}fI&epCCZKO~<>Ir1KVUrqj7K&h%A+Tjs2t9Odv*W>m zOn-)&!jNM)3}`hW#JH4A6+4AY*jSR7X9XPiG>K^eQPLS_Stxv%B!ddXeuu##E47z&;U&GvhdBI zFaRuhTe=F7l>Q{1G$JFB>6Ccow$Mbl>PW5Nn6|8z6BanEh|Y8=$;o%Kvr%4>++vsA zHn8$Ee=KQd71iw$>H3OEm!89l1(>vmz2(v3B0k9{>cF1EfDlttYq`CLAA^|A3v&$9 z*$cZ#z_>;Q%(l70h=e~eOi;c>dr$`C!V}2jSfU=dQ6x1PDAgEw1cIIn?4k&53npH6 zK{ZU)2v1EnLV_-xiMCm&hB7r^Qj+!Z0<%QSQYO++QH?5E$$_~_GrP@cY0#LCfC)e$ zP_dVuq)Tr+i%AkQ*Hhhmw)`!}d!70F0+?L7; z7}3luhAcvc+)1lK4A;1{*nr6|z)LvOCcGg<%_%Fb;Q>7waYXe2#t`59vL@f@-L^?$6qY5aG!MjB0_L%7bY#TE ztDd|&AW};rHUz+ClGLa|aHR&4s`}w8FTu+IxP@8P%LP>5W1^`O&;A1>xOkdzx1CYv zUTHuo{G0&n^PP($J@g?1n_wveBck`I9>ku(7MR`U+R9d7Lj#w`J zLc&WoQps=oNey_}j*B?7ZKB3v{?~d>Mrx(|NMpfDylnR#2J1}=9f1oxi1fGz#knZN zoz$RQ=P}bCCRjkifzYM13fF}KRcdN?zt)GX0a|Kn#Os$lou@7+Q9M};GDv%*`a8bd zNSzmBEm&o_<9@?>UeS74{hSpz=8b@4shjc>mag<>vH4D=P-)BRvL$spUjibwG~|8M zAENf#I8Vj)qQ%Y0kwHJl?4D|HpKc1*bzcc zkB6;9p4U}OPnH+IMT>o2Af2#Fhh{d%>!qYI0w&Q-ISl91(8VQ1GQpVG`mf} zSN5-X#uZ`_0k4-T#F01{xYz5~fuXAV`c243$sNZg(eF{Wv!rB`K!YyfyGZ+Z<<%k5 z2nGo)FXg)ZF(iySf7E?UFmzC~&A`AdNE&)uma$D77xX|HKSSQRxJH1+tGc9WYTPMi zQ2T1bek<1|k()pW2d|fsd;yb!h8rodZpNUf+va6Fjkc%Koe*F4BNQgq1Gd({87G+6 zMTJdYJvU3&W69;|33ZV{U>a%}IRlCjG}sx=3clUQx!Q7Z7jR(md1wBm8~Q`Nypzw^ z{bk3jR$U>^SP-&duZ-JNPg?e_7wxm^IBBKHu4t-ORI(*h#G|B>+era!n~4I=FdUUk zuE@Hkr0k9H0ao?@H>(|7fuHjl`!~V|%8wGfWLYqU`Ix4Pb2K zqdj0MToak`EIsWKBEtxvA*^n@>j9#iTk%>*p7Z1HUFqK8DQ#xi(o7g>lG??&9W+tAJNHUXtarQI@{#&!1F zeyB5O%s0SoE5EP0!)WZHS6K$7OqQ>CCPH^a{=~0=s4N+eaR?r}h4Qj1R&0rIsVy?r zS>yw$x&gN**FGGBLS8cDrA8U9M9(<+>7G}%%G9lseCH8=YPYhe2)8vhRa8bTgq-@` zHFhMYzQa0Ibmq-VW{?)!CP3yeD-h4!m7}zwl0FPd)I0fdLNwFUC za_l8L3@7YE#v>h!nv}!62c0eXwy{IQ`W=BxT@Fl_84RIy1YP{Q)iv6R?loMA=h=#d z%7zwya>H;BC_vM(VXFWsQvjrVi1sX%2atZqC zge^>CV*QUF_;kpyrE^^$Zw6bC15qGg>=m9Ky63rIUTiRK!FOX$Zw5_LQNkl(`lqs= zic9g-^xZoqC=9fTOc(2jYdJ3|HI3IH2*~=2s=TB}^RPx>93jl& z%57JOwDk#zV4;1b&H2QWo%Y7|^kFUbID;f3>|5XZr9SSs8@O3 ztUGjOdX|I1w)=Z0N2QevBJ=6H=Dvef`z@car@3r;}t%BNXuj{`tpt zp_*DUOKSvwHqys{7UW`a(?b!+*w4&}(;;Z2YdLf{dt#FeDO^IX2$Ei}B@uI;D)3Fa zX3lagl|^Wlrz#QMKUwAf2=IvidJy%-!2)P5igBj@Qg}a;NVNBc|Mk)T zFLdC)_izSD%pmH&AaKJf0>j6CCO?-Hi#Uu5JgRB(lQgYJAazqu z5ouB5+{?AhTPiM;GuzQ7rfj_=p?<>1V3Q;p;ANCNk-k@kC5__6C}L7wg1s~`Y3mMa z(m$KM#Pu11)G%pc%GQ;p%z8O`_aL^C2Mv6Q$iYjWj>Y<21e#t7ib#thmH?$~$pyoh z;}%$UNQI>xxR~!3JFoG~zB7ol+_1*3=3W9WV?MLp47m%&8%77f6BxMWTcR0YqG4yg zm}H!{9Dv5aR$)!QM#B*G}}MWwPnLqF4&>tmjh2g`|vV8K*|BPg7%_gHo+5_9Fe z&e1Aacgt%5j5YjLD4R8rg+>~HdAP@OsH4Lq(~Yw@2bRV*PJk?!$Wd?8MhG5F|tha_*QO9iACuHJwNr zk6E?v{LDZ);!>B~fwm9LQ}g*3`WT3jiTcM>ieb=~)c?~q(pBts9y6yvZ{ zbEK(N-iSGx=@`NeLkc*&M9(+wl2|7+2Vnrr07^N=wWg(iGFjK> zEjfwO_-8>mflDSNl;t?a@t%j0~V{8RE$Gj>k-+8wh>+O@k-88YYW_ zhLQJU9Z`~s2adc1Xj_IjpSuh<9z9c?#|mdpf~=W_BiT!1`&Cw-%#l`N#+S>L*Zq#9E_aLoXXM~AD(F)UplS2Zw($-S3juPWjO;%0D<2T7{qcvA&^~`vv_tX ztgrv==a_o#AMRr=V1;cLj9nL!kild(Cj7>8J2mW3OZ`)=Pmote}%- zKcz=72a}jQc?#CcO`CpkpVBYN&p&uOxIBR0Gjim%cQID>1Br5Mz*SXx2}(mJTx+JIaS$+#_AWd8Wd^16=c=NfN%>50~+d2EU9P^*cKm zI$@BP;DFM66#^!Wno_EX&biC5p!F;-%UtT?R41u%LGthbV)_F=RyapIdUtO?)G$sn zlcQ2((sH!A^r_dhq>g`ky&0e4;L%BQj|uu0Hc9ZelVlrXVoTHA++F5=jnbSPpadvE zoFErkFHtaj@#R-F_C((r3EcIku}OeuOY!XWL|qm|(bAX0bY%WH(3Gqm^(bs%%NK>k zy$l12pkm~n5)Hw67)+CYp|zDI!2{PcO2>M7%F`Qq2-8#B$G+E(K7%DNTaW%-s^C1Z z;EJ)A?UCqg{X>RL=(7m3!_a}BEAtcbch-QOUFO^J~CEfQ(d-x{-4?`iANyaf>^4P=x37yfoI5HP7Vbqc?nYI1T!Lr>*Pz7 zC?Rp&TktEn?WZ|=C8YB#mqD^Go*t+7QxAZZxc;je30{5tmEp7{I#>}eL$F3h88!5C zpMnalyV=Do9O+Nn%L)MA47$`BSb~dm^%$<4$|rW>V}j}veCR`mFe=aL0<|D2vw^Ef zt-JM34X@_&p*&}Bf~A3S%Xfe_z%|LUNUxA9W{h`0wPZDzBy3)y@8t3ECdn1ma|4(V zKYeq*BHFVpW7|Wy;~5mR_v^3)6PS82w+R;Xs2l#->BM(r@Z~vKXC>wK*O~g^mr-E(H0ptpPk1HNWn~JGqJxPX%z1jaqSi>?DRd zeEAoc+5Gr2g&W1(t9}B*1#p4_N{){wH9U}>`=_MQM58aZd!GS$0n=D|BuOK7`){FF zc^^GpkOATKGNR?Q%y)Q7OTT|jr_NQMkdOGbauo)kjl~6Ba(X|4IqvQI-oh)$&);4! z!Qx^s#Wc9(N`2jjwZ`~QIK6OHSVKj{#8G({JNWjuT{u8;Cz~8AQCM(pUlXCO*n49x z3+8p_1p(#ioU5`Jl@5~=^DZx}R>%|#^q(qRtVDR@GQ7)`*uYfc?q39|2&dGuxWGik zJF5d+kj_giQd}_9V}*#|_RQkP@8)?tY6+fF$ZvsG+%su%hir>AX}X&OUgCY`@xY^o z(Rnx&%dlIz^5M3aYWiZpl8$Se7C>iuHS7$4^RaKkWYluhPdGcv=`+|mXcCX~4Cuk+ zn1wLeXj#=sAIW3ZiPHka^4X!c#Y?}HT5E_h!0COx2eO1Ys+YT+^S6^Z>=78e+bO`9 zF`C;g*?2pmkxyQ*CI_lY3dH{Z5SYKVFSuG56c@!fMRAnT)Uo`1Ae@oGW)5jGvLnp< z82q!vanJAH;Lq;zpkcpfOZEP}{*N`HFk({ViFX`eZSdgx^H$6ac6)sXs2)Jpg|wW2 zrKuhRo=MTm-Gc@XMZQktML2~3HaY-tNQfLYl&ZsS-$M3~icxyud0q5Jc%jzOQ2P`P&z4YQM>=5#f z;zqo+DEcl99TZD-AvFlG?1nKx4Tmr}h=o`dH5(*4fY6oR0h|JPCQc`A-Vl+v&sAYS z{H=t-s+`5Hx&W9?Ib&&GOzSf0=6%*wjhjK{^?_5W6fvISF3CxTF* zZPgr6O!RYh2&Y$*PoM4Ohu;DChdxp~+$8QOe6!1lopw;taH146afiK%f|%C)$yUxe z&6=rc>i;{0tT-=gY&)E)*nxZ2q`>#hSK3ow+bS%2Y{gA=hP`l3CCR;%2hr0(sD^=e zSWKyqVG=vLtAToSINY8q{U;m0Kx8j5lB5wQ^Isiax}y0y0UrjXC2!cX?a zagrJ&z;D$MCBA081T7;Ufrg;*O$jheANOu*YPTjsL1B8VHy9mK#lqMvwz|uxoJB-n ztAf)w47Ch}OO#5Fzy3TUVT*b8Q&R*Z58Q&#VLg}YmNz!iStev~X{S9RVX_o4uuHi- zzO!DKA}M48t(-vE6lnxYHMl*YWFakL#DtVBHOd+xbIE|{`clK!F%Q8%+mOImqlkkO z4Lq5!CTpsDih7eQR$Ks-vlXS3IjLalXq^#V%nktojzM9p)Q8bb7reQ4t4HT4$1{+_ z1!eF=My5V=6`CQalwJbi)&=`J;H2vI9HR+t(mh3i$l7W2w+DDWbZ@zKV0km@G8map{Dl=IU)E6g&{F3qt1eASMq8(btfEQ`U}j5iJeIbxBsQc=w*zx;;H2^GpU zL^fjf`;>$MuB39Mug%eBPXJ-YzUbA~D`6?s zT{O#*(w4PrwvrFQLEvoO-Tr--*^ZSZ($T;r?BTjzX}Q*k2(y)>^7fdn=9w)3!Z;X) zbmOTbf+WvEOlXTJwhcb+9Ls09h0BH{W;p_%>uyV&kms4M&fB}MQ1PM>Jzsz}WWmGt zh?ovjf*S><95b#tKnQt`=UueZG~6L=>?YVHQsl0~DJc>dKY+on4Gp8cT7}@-l1AXM z1k)X^fgoHjt91o+TFW+mVh>)H^5d1DY3NmV!G=dmtR;JMKtnj-(vCX#wQs}y{Kt{U zKS}ol5NO<~Q32RT%wihL;&6CAcedtofG&>}z~MDtZWVg=FpFgVG7 z?Y8jHRv6ehK8Ct3pV_<*5G|tPafWQJY zQ9{H0!ru$Ix|G&%UXBQ81oHA85ARd~`-IOGyfcqc8sb1M|nyXX6mWiIXOqX38n zMFC$i+7ft+UlIiPZ1c`JV92ZYaWmKgVCvH1dxe>#U;P~~&(5zK6oe!G7O{+BF_Nid z;0Z>2{G1%DlxLseE9!3^8XoD%R2Ne2$5B#2CR1x_@IqzZtJ8f+bi(s%;@GulC7ow4 z3g_FPdEyfKIjPEVAKAV_6aC?bp;rnLfE=Df5{4j$^e~bhzqJ{$8-78@7B*k{mzmJC&g!28acNQd8!YD}M4J77DQ$y+9R#|1`EBX)NU$G7LY2a5s>_aML4puo7^qyM8+^ z0W&Om*1+uyiujAMn$27z6q@R5a=@H#PeZdtw*!AyX9ck8QD*1AUBA%>jRVAw{NgTD zU4)J$wFZ@jxeaMgwld54)f&9vv2f(uzuQj%rsInNZjG7Iw_2{s!C!;H{;yj+te4Favoa0I7IZ=4oI0A1KSx$< zkMdG+LJjZ9rB%}JmoANXLF{1*X}bUCdNKN0T5CYUB3A8lm-MsmxelvZh{<7Qf!G11TmO8r;RBD6`2EJKVJQ=Hj3k^3>zw!izisBHer= zUo8u^r_@yMl<&d&9AUbXM4v%!Y+o1Ms0`8fHfS6MueiKDn?<{BqRpuBdc9F*DJb8B ze!<3T0#o+WM_@$E-=^7F=USL>V3Ovn^6=XKp8Acf2jiLF-;xoCv@_qw^yv%Mg|mSf zN46>XmiSsc4f6c zhm;()2C{P)%roHXLFqj6Lb{(x!$lc&XiAo=EeY(^hxAlfrcWY|nQ$kqOJi6Jx<>TW z*U=HVlp*Q+K`macFdvIs3o2(usK#ixoFvOH4$y@wt~LT(j%Di6)>cEc;e!@f2EUDI znY$)Ou3~H9!3t)!xea-l?$6ojX{QDZD6zv&miyY?#{>IGU6m8BP>W|S9-CB=B$fK&M|gkm${?U=W8491rK4U@KA zSn$9Byyg{vzehY66w(h|EluPR2tx}(#X~g+CGS&4-Vc~Ow0;}}&g}OCo7|)icBzA( zh6)2NGJ`wLvv`A9c+3l(QlW+^QY1wpKo|y|91FtI0tQ4=l_vw{NG-a#E`q{!2_D9! zSl#}@Gp*=27ynrUxP!rYhiRchZYMX=ahip^uoRREfa#Eu2#5*?KCm?oMMMtj1<)xx0Rl70R{?C_~Ba%t_A_Zm3esfbntW$A8&n;dk7fM zcQog~#=nlq#5W#6ckm&p~H!PI$k9B@w`)fp!RCECWl7 zI$5I*3|%~3;}}b!eQ}gH)njlil1=e7fhIV2u!t?Ny|d}hgw?|+XnueR!u21X2eN1o z{06Fi>97=Tr96`-XjE6b~ z>w(0>kMY#o@jCzrN5l$IV&F<@qU_gyP9If{^YQfSg&_zPY6f9N)I<2fCOyhejf87! zzn2@7mxX4bwjlHGJ8>~en$Z@w$75OI~LC zadVB9^f!5{CG4Kv zj7~JHkV?zf7EG49eWlv68xR_CQCl8i38B56zWV5T(-DN^+UL*g7mQ1j!UdBTBAg%@ zAo0^;W2glOCSCATe9@2Nks#})r88@P5QSV(LdEmV+RlrYaK$O86_9t=!xGb3l@JNL zY(&n~oPz5eVRsNCPvMY>7b=VlE0IyW5*hqsDDA9ATsJC2PA8QChgc%0WmLd<`Rmp3 zaxgg&49At{IcGJrX{GUEUqBa&TfNIOF8aACzbt6I_od7Fq*9dfK^v=v`16b>Nx&p+ zWC0+N`7t&tc)o35N|)f%E*zd*1H7H%EvgLjd4-v~usKgW*d$0}S9Kl@Pp87j9v@hl zCcaUJON^XpDgl%6-U)5n1+SMKk(-dcxaJ?R0jDccQx#0wtpR(Pg;}Xi>G$G+XSQz# zi{3mtnA4{*a~@M+UcybP5IJTr%y?;29+%f$FMJF-uGm%2|IHqbh_HesskE*m`r<%g zeg0PE##uNLSw@~uat5yHt-RhK80dfPuh86(g~uPQx+!f$$sgE+Qr$RyizE@RSNfpBPVSOke9TkR@W7tKt$t1 z>xWr2PviTA->w2)b<{VNW%c!wYY|&yc5K>X7;D9^=8t)tMz5U52GPZOTO||o(0Zgx z+i`Ld3hXAE^AdY?9ByZ_Sp48_?i$mqRSgMa$XHEG6~HJ;B0h9I|Ib@2G2|;;$v6|V zv%oqo-3-Ah@g>X+Id37V0AUpV*D(pK?94nfkWnz%&9~vyB2J=7U&v!I15X^+o@a%z zZxj|cmpaPP)tf)W!6^O@j3wjnVFNVAe`8`6o2jY4!fCq&w-E`|ouSIxE^WosIf+&L zJd0k-8b)b!@wr#Lnotw}ljT0^@zJeW%ZV-*-FXHc2b>odw3$dzm(MbJ?C{3*ObwXj zgpmNoqZ!(?c@=Xlh<&SdfIqif)DF^I)x%7-o}8y>RR8jBj~NW6=FOkgrA6wME!vft zQ`iD7NV#IsmV^T@e`;S4+P2BwG6b7;Pixnn`1nLW;`hl* zn#nbp$C~8xcuo1(51OkprWsfC;=SE?`*q4|--H^2q@#LydA{q@^B{J1KjQU+(rM?S z%a^n-miK;QQ@04L9!!TH@A`){l{K|2J|iB>l%X*PIbo( zm>A6PNW&b@*uOy=|Jh~e0vA;?Pb(lz6L&3rhOy7}!YeT9>~x?hrX7j*1ZR(hnjtqZ zE{@olrF1sl(|1}~BNjK2BV&nDb2NH0wBq(880q*S+nQtxxx#LvraH$LWcG+4iviO` z?I3LE&Op^@30tI(@8tJeN~C?i4TGVTp-j-2EqFiZQh&J0GgrJ_NBAExQ`a{zRkkjV znIMVP2+m-=^upXg&gwGL&nj4X2>+i8?ue`>sL}PWJT*G zEjDrnQ0Rv+^~rnsK%^(-!S>X1F`rr+@t^Y4v2v5yPZZo$%qSK4QS4H$om zUTo9|szU}C%b}f*of+Wo$;4$STQY@X~qfPyOF#3fVaCa$Kgep7NGPcXRg7>H~)sI_UNz+urTa zR#Lv~V~mLYv|>7e5sco9^*Mdpt4)}1O|>=s;42!x+h5#4%9IcL8Y?o$nm4;qeYgQH z&t#fb4A*vDb@p#1gk`9y!Nta)Xvp4G0a%V%G#d191PfGp{4iYLiu7Am{X9Jktw&ek zO=u@AYXy-OxikijcL<`%5gtu;bA=6zSouVSK`b}8&1h@N@gL!#g{M1{n`p7@`D0BL z=cR;7^+m6~4(y}jxAC_LV&k{U5m~C-w_pnzh`S$;X55hI+B+Qjw|(~hWkgzh!OIb? zH1y8*$6%Id$jb&oKo&FpYq1rMpL*FAyeyFAH`v*jLz5Sisp9R4{Vxrz^5Noe1P({w zgBXEM+ySxF9)`maI2?fwZUm~t;r+k|clHmD{BQ)K2prxI98QJ95%|DIph_Oz4}9R0 z;BWvAN8s>&;BXEcj=%>#0#(l8{lEu42@VI~a0CwT2M*`J;Rt--BQUb&bo{qP{|Rb3 Bzt;c& diff --git a/i2c_keyboard/hardware.blif b/i2c_keyboard/hardware.blif index 3b9c183..925f3ea 100644 --- a/i2c_keyboard/hardware.blif +++ b/i2c_keyboard/hardware.blif @@ -1,43 +1,43 @@ # Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) .model top -.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] -.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] +.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] +.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .names $false .names $true 1 .names $undef -.gate SB_LUT4 I0=$abc$32112$n533 I1=$abc$32112$n2002 I2=$abc$32112$n538 I3=UART.tx_activity O=$abc$32112$n3 +.gate SB_LUT4 I0=$abc$56607$n658 I1=$abc$56607$n2229 I2=$abc$56607$n663 I3=UART.tx_activity O=$abc$56607$n1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$32112$n534 I1=$abc$32112$n537 I2=$abc$32112$n1572 I3=$abc$32112$n1558 O=$abc$32112$n533 +.gate SB_LUT4 I0=$abc$56607$n659 I1=$abc$56607$n662 I2=$abc$56607$n2509 I3=$abc$56607$n2495 O=$abc$56607$n658 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110000000101 -.gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$32112$n535 I3=$false O=$abc$32112$n534 +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$56607$n660 I3=$false O=$abc$56607$n659 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n2106 I1=$abc$32112$n2107 I2=$false I3=$false O=$abc$32112$n535 +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$56607$n2518 I1=$abc$56607$n2519 I2=$false I3=$false O=$abc$56607$n660 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$32112$n2106 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$56607$n2518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$32112$n535 I3=$false O=$abc$32112$n537 +.gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$56607$n660 I3=$false O=$abc$56607$n662 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n539_1 I1=$abc$32112$n540 I2=$abc$32112$n1558 I3=$abc$32112$n1572 O=$abc$32112$n538 +.gate SB_LUT4 I0=$abc$56607$n664 I1=$abc$56607$n665 I2=$abc$56607$n2495 I3=$abc$56607$n2509 O=$abc$56607$n663 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010001100000000 -.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$32112$n535 I3=$false O=$abc$32112$n539_1 +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$56607$n660 I3=$false O=$abc$56607$n664 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$32112$n535 I3=$false O=$abc$32112$n540 +.gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$56607$n660 I3=$false O=$abc$56607$n665 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n542 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n5 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$32112$n542 +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n670 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n3 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$56607$n667 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS @@ -46,2833 +46,3687 @@ .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=$false I3=$false O=$abc$32112$n19 +.gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$56607$n670 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$32112$n23 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$32112$n25 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$56607$n19 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$32112$n549 I1=$abc$32112$n550 I2=$abc$32112$n551 I3=$abc$32112$n552 O=$abc$32112$n29 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[11] I3=KEYBOARD.row_time[7] O=$abc$32112$n549 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$32112$n550 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n673 I2=$false I3=$false O=$abc$56607$n21 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$abc$56607$n674 I3=I2C.byte_counter[1] O=$abc$56607$n673 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[14] I1=KEYBOARD.row_time[15] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[10] O=$abc$32112$n551 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$56607$n674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[8] I2=KEYBOARD.row_time[12] I3=KEYBOARD.row_time[13] O=$abc$32112$n552 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$56607$n676 I1=$abc$56607$n715 I2=$abc$56607$n728 I3=$abc$56607$n720 O=$abc$56607$n27 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$32112$n554 I1=$abc$32112$n568 I2=$abc$32112$n576 I3=$abc$32112$n584 O=$abc$32112$n60 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$56607$n677 I1=$abc$56607$n701 I2=$abc$56607$n714 I3=$abc$56607$n704 O=$abc$56607$n676 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111111111110 -.gate SB_LUT4 I0=$abc$32112$n560 I1=$abc$32112$n1281_1 I2=KEYBOARD.COLS_SHADOW[1] I3=$abc$32112$n563 O=$abc$32112$n554 +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=$abc$56607$n678 I1=$abc$56607$n691 I2=KEYBOARD.is_pressed I3=$abc$56607$n696 O=$abc$56607$n677 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n560 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$abc$32112$n1174 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1175 +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$56607$n685 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$56607$n679 I3=$false O=$abc$56607$n678 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01111000 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=$abc$32112$n564 I3=$abc$32112$n566 O=$abc$32112$n563 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=$abc$32112$n565 I2=KEYBOARD.row_time[1] I3=$false O=$abc$32112$n564 +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$56607$n680 I1=$abc$56607$n683 I2=$abc$56607$n684 I3=$false O=$abc$56607$n679 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[7] I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$32112$n565 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$56607$n682 I2=KEYBOARD.row_time[2] I3=$abc$56607$n681 O=$abc$56607$n680 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n551 I1=$abc$32112$n567 I2=$false I3=$false O=$abc$32112$n566 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.row_time[11] I1=KEYBOARD.row_time[13] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[12] O=$abc$32112$n567 +.gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$56607$n681 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$56607$n682 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n569 O=$abc$32112$n568 +.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[4] O=$abc$56607$n683 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=$abc$32112$n1174 I2=$false I3=$false O=$abc$32112$n569 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$56607$n684 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n566 I2=$abc$32112$n564 I3=KEYBOARD.row_time[2] O=$abc$32112$n575 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n576 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n583 I2=$abc$32112$n566 I3=KEYBOARD.row_time[2] O=$abc$32112$n582 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n685 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$abc$32112$n565 I3=$false O=$abc$32112$n583 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n584 +.param LUT_INIT 0101001100110011 +.gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n687 I2=$abc$56607$n688 I3=$abc$56607$n689 O=$abc$56607$n686 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n585 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$56607$n687 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=$abc$32112$n566 I3=$abc$32112$n583 O=$abc$32112$n591 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$32112$n593 I1=$abc$32112$n594 I2=$abc$32112$n595_1 I3=$abc$32112$n597 O=$abc$32112$n61 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111111111110 -.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n585 O=$abc$32112$n593 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n560 O=$abc$32112$n594 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n596 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n595_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n596 +.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=$false I3=$false O=$abc$56607$n688 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$abc$32112$n598 O=$abc$32112$n597 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$56607$n689 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n1174 I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$32112$n598 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n600 I1=$abc$32112$n560 I2=$abc$32112$n602 I3=$abc$32112$n601_1 O=$abc$32112$n63 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$56607$n690 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111111111000 -.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$false O=$abc$32112$n600 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$56607$n692 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$56607$n693 I3=$false O=$abc$56607$n691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$abc$32112$n585 O=$abc$32112$n601_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n1174 O=$abc$32112$n602 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n692 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n604 I1=$abc$32112$n605_1 I2=$abc$32112$n606 I3=$abc$32112$n607 O=$abc$32112$n64 +.param LUT_INIT 0101001100110011 +.gate SB_LUT4 I0=$abc$56607$n680 I1=$abc$56607$n694 I2=$abc$56607$n695 I3=$false O=$abc$56607$n693 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$56607$n694 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[5] O=$abc$56607$n695 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111111111110 -.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n604 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$56607$n692 I2=$abc$56607$n693 I3=$abc$56607$n697 O=$abc$56607$n696 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$32112$n569 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n605_1 +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n699 I2=$false I3=$false O=$abc$56607$n697 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n687 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$56607$n698 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n1174 O=$abc$32112$n606 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$56607$n684 I1=$abc$56607$n700 I2=KEYBOARD.row_time[4] I3=KEYBOARD.row_time[5] O=$abc$56607$n699 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n598 O=$abc$32112$n607 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$56607$n700 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n609 I1=$abc$32112$n610 I2=$abc$32112$n611 I3=$abc$32112$n595_1 O=$abc$32112$n66 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$56607$n697 O=$abc$56607$n701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111100001110 -.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$false O=$abc$32112$n609 +.param LUT_INIT 1011001000000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=KEYBOARD.temp[5] I2=$abc$56607$n703 I3=$false O=$abc$56607$n702 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n598 O=$abc$32112$n610 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n582 I2=$false I3=$false O=$abc$32112$n611 +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$56607$n686 I1=$abc$56607$n690 I2=$false I3=$false O=$abc$56607$n703 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n605_1 I1=$abc$32112$n614_1 I2=$abc$32112$n615 I3=$abc$32112$n613 O=$abc$32112$n67 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111011111111 -.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$false O=$abc$32112$n613 +.gate SB_LUT4 I0=$abc$56607$n705 I1=$abc$56607$n709 I2=$abc$56607$n711 I3=$false O=$abc$56607$n704 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$32112$n560 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n614_1 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$56607$n708 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$56607$n706 O=$abc$56607$n705 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n596 O=$abc$32112$n615 +.param LUT_INIT 1011001000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=$abc$56607$n698 I3=$abc$56607$n707 O=$abc$56607$n706 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n1175 I1=$abc$32112$n600 I2=$abc$32112$n598 I3=$abc$32112$n613 O=$abc$32112$n617 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n694 I1=$abc$56607$n700 I2=$false I3=$false O=$abc$56607$n707 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=KEYBOARD.temp[4] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n708 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011101110110000 -.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n613 I2=$abc$32112$n615 I3=$false O=$abc$32112$n70 +.param LUT_INIT 0101001100110011 +.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n710 I2=$abc$56607$n695 I3=$false O=$abc$56607$n709 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110001 -.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n61 I2=$abc$32112$n63 I3=$false O=$abc$32112$n621 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=$abc$56607$n684 I2=KEYBOARD.row_time[3] I3=$false O=$abc$56607$n710 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n624 I2=I2C.wr I3=$false O=$abc$32112$n376 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n712 I2=$abc$56607$n713 I3=$false O=$abc$56607$n711 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$32112$n624 +.gate SB_LUT4 I0=KEYBOARD.row_time[6] I1=$abc$56607$n684 I2=KEYBOARD.row_time[7] I3=$false O=$abc$56607$n712 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$32112$n635 I2=$abc$32112$n634 I3=$abc$32112$n626 O=$abc$32112$n396 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$56607$n713 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$32112$n632 I1=$abc$32112$n633 I2=$abc$32112$n631_1 I3=$abc$32112$n627 O=$abc$32112$n626 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$56607$n685 I2=$abc$56607$n679 I3=$abc$56607$n706 O=$abc$56607$n714 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n628 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n627 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$abc$32112$n25 I2=$false I3=$false O=$abc$32112$n628 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$0\uart_double_ff[0:0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$32112$n630_1 +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$56607$n716 I1=$abc$56607$n718 I2=$false I3=$false O=$abc$56607$n715 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n542 I1=$abc$32112$n630_1 I2=I2C.FLT_SCL.RESET I3=$abc$32112$n628 O=$abc$32112$n631_1 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$56607$n709 O=$abc$56607$n716 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$32112$n632 +.param LUT_INIT 0111000100000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[1] I1=KEYBOARD.temp[1] I2=$abc$56607$n703 I3=$false O=$abc$56607$n717 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=LED1 I1=UART_WR I2=last_isr I3=KEYBOARD.isr O=$abc$32112$n633 +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=KEYBOARD.is_pressed I3=$abc$56607$n711 O=$abc$56607$n718 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$32112$n5 I1=I2C.wr I2=last_wr I3=$false O=$abc$32112$n634 +.param LUT_INIT 0111000100000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[3] I1=KEYBOARD.temp[3] I2=$abc$56607$n703 I3=$false O=$abc$56607$n719 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000001 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=$abc$32112$n2169 I2=I2C_OUTPUT_TYPE[0] I3=I2C_OUTPUT_TYPE[1] O=$abc$32112$n635 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000001 -.gate SB_LUT4 I0=$abc$32112$n637 I1=I2C.is_read I2=$false I3=$false O=$abc$32112$n403 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n630_1 I2=$false I3=$false O=$abc$32112$n637 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n634 I1=$abc$32112$n637 I2=$false I3=$false O=$abc$32112$n405 +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$56607$n721 I1=$abc$56607$n725 I2=$false I3=$false O=$abc$56607$n720 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=I2C.FLT_SCL.RESET I2=$abc$32112$n640 I3=$false O=$abc$32112$n409 +.gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$56607$n723 O=$abc$56607$n721 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000100000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.temp[2] I2=$abc$56607$n703 I3=$false O=$abc$56607$n722 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n631_1 I1=$abc$32112$n632 I2=$abc$32112$n634 I3=$false O=$abc$32112$n640 +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n724 I2=$abc$56607$n683 I3=$false O=$abc$56607$n723 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$32112$n1293 I1=$abc$32112$n677 I2=$false I3=$false O=$abc$32112$n419 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n646 I1=$abc$32112$n658 I2=I2C_INPUT_DATA[3][0] I3=$false O=$abc$32112$n645 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$56607$n694 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$56607$n724 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$32112$n647 I1=$abc$32112$n653 I2=$false I3=$false O=$abc$32112$n646 +.gate SB_LUT4 I0=$abc$56607$n726 I1=KEYBOARD.COLS_SHADOW[0] I2=KEYBOARD.is_pressed I3=$abc$56607$n727 O=$abc$56607$n725 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000100000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[0] I2=$abc$56607$n703 I3=$false O=$abc$56607$n726 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=$abc$56607$n694 I2=$abc$56607$n686 I3=KEYBOARD.row_time[3] O=$abc$56607$n727 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n727 I2=$false I3=$false O=$abc$56607$n728 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$56607$n730 I1=$abc$56607$n707 I2=$false I3=$false O=$abc$56607$n33 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[0][3] I1=I2C_INPUT_DATA[0][0] I2=I2C_INPUT_DATA[0][2] I3=$abc$32112$n648 O=$abc$32112$n647 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=$abc$56607$n681 I2=$abc$56607$n731 I3=KEYBOARD.row_time[5] O=$abc$56607$n730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[0][1] I1=$abc$32112$n652 I2=$abc$32112$n649 I3=$false O=$abc$32112$n648 +.gate SB_LUT4 I0=$abc$56607$n688 I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[11] I3=$false O=$abc$56607$n731 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n650 I1=$abc$32112$n651_1 I2=$false I3=$false O=$abc$32112$n649 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n736 I2=$false I3=$false O=$abc$56607$n842 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[1][1] I1=I2C_INPUT_DATA[1][2] I2=I2C_INPUT_DATA[1][4] I3=I2C_INPUT_DATA[1][7] O=$abc$32112$n650 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_DATA[1][0] I1=I2C_INPUT_DATA[1][3] I2=I2C_INPUT_DATA[1][5] I3=I2C_INPUT_DATA[1][6] O=$abc$32112$n651_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_DATA[0][4] I1=I2C_INPUT_DATA[0][5] I2=I2C_INPUT_DATA[0][6] I3=I2C_INPUT_DATA[0][7] O=$abc$32112$n652 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[5][7] I2=$abc$32112$n654 I3=$abc$32112$n656 O=$abc$32112$n653 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[4][3] I1=I2C_INPUT_DATA[4][4] I2=I2C_INPUT_DATA[5][1] I3=$abc$32112$n655 O=$abc$32112$n654 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[4][6] I1=I2C_INPUT_DATA[5][3] I2=I2C_INPUT_DATA[5][4] I3=I2C_INPUT_DATA[4][1] O=$abc$32112$n655 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[4][5] I1=I2C_INPUT_DATA[4][7] I2=I2C_INPUT_DATA[5][0] I3=$abc$32112$n657 O=$abc$32112$n656 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[5][2] I1=I2C_INPUT_DATA[5][5] I2=I2C_INPUT_DATA[5][6] I3=I2C_INPUT_DATA[4][2] O=$abc$32112$n657 +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$56607$n734 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$56607$n733 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$56607$n735 I3=$false O=$abc$56607$n734 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[6] I2=init_ram_cnt[4] I3=init_ram_cnt[3] O=$abc$56607$n735 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[2][4] I1=I2C_INPUT_DATA[2][5] I2=$abc$32112$n659 I3=I2C_INPUT_DATA[3][1] O=$abc$32112$n658 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n736 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n760 I1=$abc$56607$n753 I2=$abc$56607$n756 I3=$abc$56607$n1501_1 O=$abc$56607$n873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n660 I1=$abc$32112$n661 I2=$abc$32112$n662 I3=$abc$32112$n663 O=$abc$32112$n659 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[2][1] I1=I2C_INPUT_DATA[2][0] I2=I2C_INPUT_DATA[2][2] I3=I2C_INPUT_DATA[2][3] O=$abc$32112$n660 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_DATA[3][4] I1=I2C_INPUT_DATA[3][5] I2=I2C_INPUT_DATA[3][6] I3=I2C_INPUT_DATA[3][7] O=$abc$32112$n661 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n747 I2=$abc$56607$n742 I3=$false O=$abc$56607$n741 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n743 I2=$abc$56607$n746 I3=$false O=$abc$56607$n742 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n745 I1=$abc$56607$n744 I2=$false I3=$false O=$abc$56607$n743 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$56607$n744 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_DATA[2][6] I1=I2C_INPUT_DATA[2][7] I2=$false I3=$false O=$abc$32112$n662 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$56607$n745 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C_INPUT_DATA[3][2] I1=I2C_INPUT_DATA[3][3] I2=$false I3=$false O=$abc$32112$n663 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$56607$n746 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n665 I3=$false O=$abc$32112$n664 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n666 I1=I2C_INPUT_LEN[2] I2=$false I3=$false O=$abc$32112$n665 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$56607$n19 I2=$false I3=$false O=$abc$56607$n747 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$32112$n667 I2=$false I3=$false O=$abc$32112$n666 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$2\uart_double_ff[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$32112$n667 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n648 I1=$abc$32112$n670 I2=$abc$32112$n671_1 I3=$abc$32112$n673 O=$abc$32112$n669 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[2][4] I2=$abc$32112$n661 I3=$abc$32112$n662 O=$abc$32112$n670 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[2][2] I1=I2C_INPUT_DATA[2][3] I2=$abc$32112$n663 I3=$abc$32112$n672 O=$abc$32112$n671_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[0][0] I1=I2C_INPUT_DATA[0][3] I2=I2C_INPUT_DATA[2][1] I3=I2C_INPUT_DATA[0][2] O=$abc$32112$n672 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[3][0] I1=I2C_INPUT_DATA[3][1] I2=I2C_INPUT_DATA[2][0] I3=$false O=$abc$32112$n673 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$32112$n666 I2=I2C_INPUT_LEN[1] I3=$false O=$abc$32112$n674 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$56607$n751 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n676 I1=I2C.is_read I2=$abc$32112$n634 I3=$false O=$abc$32112$n675 +.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n667 I2=$abc$56607$n19 I3=$false O=$abc$56607$n988 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$32112$n666 O=$abc$32112$n676 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=I2C.wr I2=last_wr I3=$abc$32112$n678_1 O=$abc$32112$n677 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011010111 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$32112$n674 I2=$abc$32112$n634 I3=$false O=$abc$32112$n678_1 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n747 I2=$abc$56607$n754 I3=$false O=$abc$56607$n753 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n628 I2=I2C.FLT_SCL.RESET I3=$abc$32112$n640 O=$abc$32112$n424 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$32112$n634 I1=I2C.is_read I2=$abc$32112$n626 I3=$false O=$abc$32112$n435 +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n755 I2=$false I3=$false O=$abc$56607$n754 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n744 I1=$abc$56607$n745 I2=$abc$56607$n746 I3=$false O=$abc$56607$n755 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$32112$n676 I1=I2C.is_read I2=$abc$32112$n634 I3=$false O=$abc$32112$n682 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$56607$n759 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n757 O=$abc$56607$n756 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n744 I2=$abc$56607$n746 I3=$false O=$abc$56607$n757 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$32112$n409 I1=$abc$32112$n25 I2=$false I3=$false O=$abc$32112$n505 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n692 I2=$false I3=$false O=$abc$32112$n518 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n758 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1053 I1=$abc$32112$n686 I2=$false I3=$false O=$abc$32112$n685 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$56607$n759 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1054 I1=$abc$32112$n1065 I2=$abc$32112$n687 I3=$abc$32112$n2097 O=$abc$32112$n686 +.gate SB_LUT4 I0=$abc$56607$n763 I1=$abc$56607$n766 I2=$abc$56607$n761 I3=$false O=$abc$56607$n760 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$56607$n842 I1=UART.tx_activity I2=last_uart_active I3=$abc$56607$n762 O=$abc$56607$n761 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$32112$n506 I1=I2C.FLT_SCL.out I2=$abc$32112$n8 I3=$abc$32112$n688 O=$abc$32112$n687 +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n747 I2=$abc$56607$n743 I3=$abc$56607$n746 O=$abc$56607$n762 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n689 I1=$abc$32112$n691 I2=$false I3=$false O=$abc$32112$n688 +.gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$56607$n764 I3=$abc$56607$n765 O=$abc$56607$n763 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$56607$n764 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$32112$n1817 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$32112$n689 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$56607$n765 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=I2C_TRANS I1=UART_WR I2=$abc$56607$n751 I3=int_tmr[19] O=$abc$56607$n766 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n768 I1=$abc$56607$n772 I2=$false I3=$false O=$abc$56607$n881 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=last_uart_active I1=$abc$56607$n741 I2=UART.tx_activity I3=$abc$56607$n769 O=$abc$56607$n768 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$32112$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$32112$n1817 +.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n759 I2=$abc$56607$n770 I3=$false O=$abc$56607$n769 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11101111 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.i2c_state_machine O=$abc$32112$n691 +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n771 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n757 O=$abc$56607$n770 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111101 -.gate SB_LUT4 I0=$abc$32112$n1051 I1=$abc$32112$n693_1 I2=$false I3=$false O=$abc$32112$n692 +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n771 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$32112$n1817 I3=$false O=$abc$32112$n693_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n693_1 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n525 +.gate SB_LUT4 I0=$abc$56607$n773 I1=$abc$56607$n842 I2=$abc$56607$n988 I3=$abc$56607$n754 O=$abc$56607$n772 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=uart_double_ff I1=$abc$56607$n774 I2=$abc$56607$n762 I3=$false O=$abc$56607$n773 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n696 I2=$false I3=$false O=$abc$32112$n532 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$56607$n774 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n1051 I2=$false I3=$false O=$abc$32112$n696 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n774 I2=$abc$56607$n776 I3=$abc$56607$n772 O=$abc$56607$n888 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$56607$n769 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n776 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n685 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n539 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n779 I1=$abc$56607$n778 I2=$abc$56607$n1501_1 I3=$false O=$abc$56607$n901 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n692 I2=$false I3=$false O=$abc$32112$n546 +.gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n753 I2=$false I3=$false O=$abc$56607$n778 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n686 I1=$abc$32112$n1053 I2=$false I3=$false O=$abc$32112$n699 +.gate SB_LUT4 I0=UART_WR I1=int_tmr[19] I2=$abc$56607$n761 I3=$false O=$abc$56607$n779 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$56607$n782 I1=$abc$56607$n781 I2=$abc$56607$n1501_1 I3=$false O=$abc$56607$n938 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n761 I1=UART_WR I2=$abc$56607$n769 I3=$abc$56607$n753 O=$abc$56607$n781 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=int_tmr[19] I1=int_tmr[0] I2=UART_WR I3=$abc$56607$n761 O=$abc$56607$n782 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$56607$n1501_1 I1=$abc$56607$n781 I2=$false I3=$false O=$abc$56607$n985 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n693_1 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n555 +.gate SB_LUT4 I0=$abc$56607$n22 I1=$3\report_wr_en[0:0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n992 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n696 I2=$false I3=$false O=$abc$32112$n562 +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n670 I2=$false I3=$false O=$3\report_wr_en[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n787 I2=$false I3=$false O=$abc$56607$n999 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n699 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n577 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$32112$n1817 I3=$false O=$abc$32112$n580 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n705 I2=$false I3=$false O=$abc$32112$n592 +.gate SB_LUT4 I0=$abc$56607$n788 I1=$abc$56607$n21 I2=$abc$56607$n754 I3=$abc$56607$n742 O=$abc$56607$n787 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010001111 +.gate SB_LUT4 I0=$abc$56607$n751 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n788 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$32112$n705 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n757 I1=$abc$56607$n791 I2=$abc$56607$n770 I3=$false O=$abc$56607$n1014 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$32112$n707 I1=$abc$32112$n710 I2=$abc$32112$n705 I3=I2C.FLT_SCL.RESET O=$abc$32112$n595 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$56607$n791 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$32112$n708 I1=UART.tx_activity I2=$false I3=$false O=$abc$32112$n707 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n770 I1=$abc$56607$n793 I2=$false I3=$false O=$abc$56607$n1018 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$32112$n709 I3=$false O=$abc$32112$n708 +.gate SB_LUT4 I0=$abc$56607$n757 I1=$abc$56607$n791 I2=$abc$56607$n736 I3=$abc$56607$n733 O=$abc$56607$n793 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n795 I2=$abc$56607$n1465 I3=$false O=$abc$56607$n1039 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$32112$n1404 I1=$abc$32112$n1407 I2=$abc$32112$n1408 I3=$false O=$abc$32112$n709 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$56607$n754 I1=$abc$56607$n788 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n795 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$32112$n711 I2=$false I3=$false O=$abc$32112$n710 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$32112$n711 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$32112$n29 I1=$abc$32112$n839 I2=$false I3=$false O=$abc$32112$n601 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n10 I2=$false I3=$false O=$abc$32112$n839 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$56607$n1180 I1=$abc$56607$n795 I2=$false I3=$false O=$abc$56607$n1050 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n717 I1=$abc$32112$n630 I2=$false I3=$false O=$abc$32112$n605 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1252_1 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n630 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$32112$n718 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n717 +.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n769 I2=$false I3=$false O=$abc$56607$n1180 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n2171 I1=$abc$32112$n2172 I2=$false I3=$false O=$abc$32112$n718 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n718 I1=$abc$32112$n1252_1 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n720_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$32112$n724 I1=$abc$32112$n727 I2=$abc$32112$n729 I3=$abc$32112$n730 O=$abc$32112$n723 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111100000000000 -.gate SB_LUT4 I0=$abc$32112$n563 I1=$abc$32112$n582 I2=$abc$32112$n725 I3=$abc$32112$n726 O=$abc$32112$n724 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011111110 -.gate SB_LUT4 I0=$abc$32112$n1284 I1=$abc$32112$n575 I2=KEYBOARD.COLS_SHADOW[3] I3=KEYBOARD.is_pressed O=$abc$32112$n725 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111011 -.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$32112$n582 O=$abc$32112$n726 +.gate SB_LUT4 I0=$abc$56607$n808 I1=$abc$56607$n805 I2=$abc$56607$n806 I3=$abc$56607$n807 O=$abc$56607$n804 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=$abc$32112$n728 I1=$abc$32112$n591 I2=$false I3=$false O=$abc$32112$n727 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$32112$n563 O=$abc$32112$n728 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=$abc$32112$n1290 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n729 +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$abc$56607$n806 I2=$abc$56607$n674 I3=I2C.byte_counter[3] O=$abc$56607$n805 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011001000000000 -.gate SB_LUT4 I0=$abc$32112$n718 I1=$abc$32112$n839 I2=$false I3=$false O=$abc$32112$n730 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$56607$n806 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n66 I1=KEYBOARD.report[20] I2=$false I3=$false O=$abc$32112$n732_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$32112$n604 I1=$abc$32112$n605_1 I2=$abc$32112$n606 I3=$abc$32112$n607 O=$abc$32112$n738 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n717 I2=$abc$32112$n671 I3=$false O=$abc$32112$n739 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$56607$n674 I3=$false O=$abc$56607$n807 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n724 I1=$abc$32112$n727 I2=$abc$32112$n729 I3=$false O=$abc$32112$n671 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$32112$n742 I1=$abc$32112$n743_1 I2=$false I3=$false O=$abc$32112$n741 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[21] I2=KEYBOARD.report[22] I3=KEYBOARD.report[23] O=$abc$32112$n742 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[17] I2=KEYBOARD.report[18] I3=KEYBOARD.report[19] O=$abc$32112$n743_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[24] I2=$abc$32112$n747 I3=$abc$32112$n749_1 O=$abc$32112$n746 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n748 I2=$abc$32112$n67 I3=KEYBOARD.report[29] O=$abc$32112$n747 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000001 -.gate SB_LUT4 I0=$abc$32112$n70 I1=KEYBOARD.report[31] I2=$false I3=$false O=$abc$32112$n748 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=KEYBOARD.report[30] I1=$abc$32112$n69 I2=KEYBOARD.report[27] I3=$abc$32112$n738 O=$abc$32112$n749_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101110111011 -.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n671 I2=$abc$32112$n755 I3=I2C.FLT_SCL.RESET O=$abc$32112$n754 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$32112$n756 I1=$abc$32112$n757 I2=$false I3=$false O=$abc$32112$n755 +.gate SB_LUT4 I0=$abc$56607$n809 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$56607$n808 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[29] I2=KEYBOARD.report[30] I3=KEYBOARD.report[31] O=$abc$32112$n756 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[25] I2=KEYBOARD.report[26] I3=KEYBOARD.report[27] O=$abc$32112$n757 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n67 I1=KEYBOARD.report[37] I2=$abc$32112$n70 I3=KEYBOARD.report[39] O=$abc$32112$n762 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$32112$n769 I1=$abc$32112$n671 I2=$abc$32112$n717 I3=$false O=$abc$32112$n768 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$56607$n809 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n755 I2=$false I3=$false O=$abc$32112$n769 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n771 I1=$abc$32112$n769 I2=$abc$32112$n717 I3=$abc$32112$n671 O=$abc$32112$n770_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n772 I1=$abc$32112$n773 I2=$false I3=$false O=$abc$32112$n771 +.gate SB_LUT4 I0=$abc$56607$n811 I1=$abc$56607$n755 I2=$false I3=$false O=$abc$56607$n810 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[36] I1=KEYBOARD.report[37] I2=KEYBOARD.report[38] I3=KEYBOARD.report[39] O=$abc$32112$n772 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[32] I1=KEYBOARD.report[33] I2=KEYBOARD.report[34] I3=KEYBOARD.report[35] O=$abc$32112$n773 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n738 I1=KEYBOARD.report[43] I2=$abc$32112$n69 I3=KEYBOARD.report[46] O=$abc$32112$n777 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000111 -.gate SB_LUT4 I0=$abc$32112$n605_1 I1=$abc$32112$n614_1 I2=$abc$32112$n779_1 I3=$false O=$abc$32112$n778 +.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n812 I2=$abc$56607$n673 I3=$false O=$abc$56607$n811 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$56607$n807 I3=$false O=$abc$56607$n812 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n1175 I1=$abc$32112$n1174 I2=$abc$32112$n600 I3=$abc$32112$n613 O=$abc$32112$n779_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$32112$n61 I1=KEYBOARD.report[41] I2=$abc$32112$n66 I3=KEYBOARD.report[44] O=$abc$32112$n786 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$56607$n815_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$32112$n789 I1=$abc$32112$n790_1 I2=$false I3=$false O=$abc$32112$n788 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[44] I1=KEYBOARD.report[45] I2=KEYBOARD.report[46] I3=KEYBOARD.report[47] O=$abc$32112$n789 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$56607$n673 I1=$abc$56607$n807 I2=I2C.is_read I3=$abc$56607$n754 O=$abc$56607$n816_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[40] I1=KEYBOARD.report[41] I2=KEYBOARD.report[42] I3=KEYBOARD.report[43] O=$abc$32112$n790_1 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n741 I3=$false O=$abc$56607$n819 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$56607$n822 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n794 I1=$abc$32112$n1259 I2=$false I3=$false O=$abc$32112$n693 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n801 I2=$abc$32112$n795_1 I3=$abc$32112$n720_1 O=$abc$32112$n794 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n826 I1=$abc$56607$n822 I2=$abc$56607$n829 I3=$abc$56607$n809 O=$abc$56607$n825 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$32112$n799 I1=$abc$32112$n800_1 I2=$abc$32112$n796 I3=$abc$32112$n1254 O=$abc$32112$n795_1 +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=$abc$56607$n827 I3=I2C.received_byte[0] O=$abc$56607$n826 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$32112$n797 I1=$abc$32112$n69 I2=KEYBOARD.report[54] I3=$abc$32112$n798 O=$abc$32112$n796 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=$abc$56607$n828 O=$abc$56607$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$32112$n61 I1=KEYBOARD.report[49] I2=$false I3=$false O=$abc$32112$n797 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$false I3=$false O=$abc$56607$n828 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$32112$n67 I1=KEYBOARD.report[53] I2=$abc$32112$n70 I3=KEYBOARD.report[55] O=$abc$32112$n798 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$32112$n63 I1=KEYBOARD.report[50] I2=$abc$32112$n64 I3=KEYBOARD.report[51] O=$abc$32112$n799 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$56607$n830 I1=I2C.received_byte[2] I2=$abc$56607$n827 I3=$false O=$abc$56607$n829 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=i2c_input_data_type[0] I3=$false O=$abc$56607$n830 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=i2c_input_data_type[0] I3=$abc$56607$n809 O=$abc$56607$n832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[48] I2=$abc$32112$n66 I3=KEYBOARD.report[52] O=$abc$32112$n800_1 +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n673 I2=$abc$56607$n834 I3=I2C.is_read O=$abc$56607$n833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$32112$n802 I1=$abc$32112$n803 I2=$false I3=$false O=$abc$32112$n801 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[52] I1=KEYBOARD.report[53] I2=KEYBOARD.report[54] I3=KEYBOARD.report[55] O=$abc$32112$n802 +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$56607$n828 I3=$abc$56607$n835 O=$abc$56607$n834 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[48] I1=KEYBOARD.report[49] I2=KEYBOARD.report[50] I3=KEYBOARD.report[51] O=$abc$32112$n803 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$56607$n835 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n1259 I1=$abc$32112$n806_1 I2=$false I3=$false O=$abc$32112$n720 +.gate SB_LUT4 I0=$abc$56607$n988 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n836 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n816_1 I2=$abc$32112$n1310 I3=$abc$32112$n720_1 O=$abc$32112$n806_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$32112$n809 I1=$abc$32112$n69 I2=KEYBOARD.report[62] I3=$abc$32112$n810 O=$abc$32112$n808 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[56] I2=$false I3=$false O=$abc$32112$n809 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$32112$n63 I1=KEYBOARD.report[58] I2=$abc$32112$n70 I3=KEYBOARD.report[63] O=$abc$32112$n810 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$56607$n827 I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$56607$n841 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$32112$n801 I1=$abc$32112$n817 I2=$abc$32112$n818 I3=$false O=$abc$32112$n816_1 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n753 I2=$abc$56607$n756 I3=$false O=$abc$56607$n1191 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[60] I1=KEYBOARD.report[61] I2=KEYBOARD.report[62] I3=KEYBOARD.report[63] O=$abc$32112$n817 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[56] I1=KEYBOARD.report[57] I2=KEYBOARD.report[58] I3=KEYBOARD.report[59] O=$abc$32112$n818 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=I2C.is_read I2=$abc$56607$n849 I3=$abc$56607$n988 O=$abc$56607$n848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n596 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n732 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n10 I1=$abc$32112$n563 I2=$false I3=$false O=$abc$32112$n823 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n1513 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$56607$n849 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n596 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n749 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n596 I3=I2C.FLT_SCL.RESET O=$abc$32112$n765 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n566 I2=$abc$32112$n564 I3=KEYBOARD.row_time[2] O=$abc$32112$n826 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n569 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n770 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n569 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n785 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n569 I3=I2C.FLT_SCL.RESET O=$abc$32112$n790 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$56607$n854 I2=I2C_INPUT_LEN[1] I3=$false O=$abc$56607$n853 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$56607$n855 I3=$false O=$abc$56607$n854 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$56607$n855 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n795 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$abc$56607$n854 I2=I2C_INPUT_LEN[0] I3=$abc$56607$n815_1 O=$abc$56607$n856 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n585 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n806 +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$56607$n858 I2=$abc$56607$n855 I3=$false O=$abc$56607$n857 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$56607$n858 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$56607$n854 I3=$abc$56607$n822 O=$abc$56607$n860 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n585 I3=I2C.FLT_SCL.RESET O=$abc$32112$n811 +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$56607$n861 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n816 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$56607$n863 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n611 I1=$abc$32112$n10 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n827 +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n776 I3=$false O=$abc$56607$n1218 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n598 I3=I2C.FLT_SCL.RESET O=$abc$32112$n834 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000011111111 -.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_time[0] I2=$abc$32112$n839 I3=$false O=$abc$32112$n838 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$56607$n754 I1=$abc$56607$n819 I2=$abc$56607$n769 I3=$false O=$abc$56607$n1221 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$32112$n1518 I1=$abc$32112$n842 I2=$abc$32112$n1517 I3=$abc$32112$n1327 O=$abc$32112$n848 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n778 I1=$abc$56607$n867 I2=$false I3=$false O=$abc$56607$n1227 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n741 I1=UART.tx_activity I2=last_uart_active I3=$abc$56607$n988 O=$abc$56607$n867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[2] I1=I2C.FLT_SDA.counter[0] I2=I2C.FLT_SDA.counter[1] I3=$abc$32112$n1330 O=$abc$32112$n1518 +.param LUT_INIT 0000000001111101 +.gate SB_LUT4 I0=$abc$56607$n746 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1232 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n769 I3=$abc$56607$n870 O=$abc$56607$n1236 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$false I3=$false O=$abc$32112$n842 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$32112$n1517 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$32112$n842 O=$abc$32112$n849 +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n774 I2=$abc$56607$n754 I3=$abc$56607$n988 O=$abc$56607$n870 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111011111111 -.gate SB_LUT4 I0=$abc$32112$n1519 I1=$abc$32112$n848_1 I2=$false I3=$false O=$abc$32112$n858 +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$abc$56607$n358 I1=$abc$56607$n880 I2=$abc$56607$n872 I3=$false O=$abc$56607$n1248 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n360 I1=$abc$56607$n873_1 I2=$false I3=$false O=$abc$56607$n872 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n847 I1=$abc$32112$n1331 I2=$false I3=$false O=$abc$32112$n1519 +.gate SB_LUT4 I0=$abc$56607$n874 I1=$abc$56607$n878 I2=$abc$56607$n879 I3=$false O=$abc$56607$n873_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n874 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$32112$n847 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$56607$n2043 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$56607$n875 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$56607$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$56607$n2043 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$32112$n1520 I1=$abc$32112$n1334 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$32112$n848_1 +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$56607$n14 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$56607$n877 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$32112$n1520 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$32112$n847 I3=$false O=$abc$32112$n859 +.param LUT_INIT 0111111100010000 +.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$56607$n878 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01101111 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$32112$n992 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n361 I1=$abc$56607$n372 I2=$abc$56607$n2529 I3=$false O=$abc$56607$n879 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$56607$n2043 I3=$false O=$abc$56607$n880 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10111111 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n1383 +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$56607$n872 I1=$abc$56607$n882 I2=$false I3=$false O=$abc$56607$n1258 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n1384 +.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$false I3=$false O=$abc$56607$n882 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n1386 +.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$abc$56607$n872 I3=$false O=$abc$56607$n1268 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$56607$n872 I1=$abc$56607$n885 I2=$false I3=$false O=$abc$56607$n1278 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$32112$n1387 +.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$false I3=$false O=$abc$56607$n885 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$32112$n1389 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n358 I1=$abc$56607$n880 I2=$abc$56607$n887 I3=$false O=$abc$56607$n1283 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n873_1 I1=$abc$56607$n360 I2=$false I3=$false O=$abc$56607$n887 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$32112$n1390 +.gate SB_LUT4 I0=$abc$56607$n887 I1=$abc$56607$n882 I2=$false I3=$false O=$abc$56607$n1290 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$32112$n1392 +.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$abc$56607$n887 I3=$false O=$abc$56607$n1297 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$56607$n887 I1=$abc$56607$n885 I2=$false I3=$false O=$abc$56607$n1302 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$32112$n1393 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$56607$n2043 I3=$false O=$abc$56607$n1305 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$56607$n893 I2=$false I3=$false O=$abc$56607$n1315 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n941_1 I1=$abc$32112$n934 I2=$abc$32112$n932 I3=$abc$32112$n861_1 O=$abc$32112$n1496 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=$abc$32112$n900 I1=$abc$32112$n931_1 I2=$abc$32112$n864_1 I3=$abc$32112$n19 O=$abc$32112$n861_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=$abc$32112$n899 I1=$abc$32112$n891 I2=$abc$32112$n897 I3=$abc$32112$n865 O=$abc$32112$n864_1 +.param LUT_INIT 0111 +.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$56607$n893 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$56607$n895 I1=$abc$56607$n898 I2=$abc$56607$n893 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1320 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n866 I1=$abc$32112$n886_1 I2=$false I3=$false O=$abc$32112$n865 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$56607$n896 I1=UART.tx_activity I2=$false I3=$false O=$abc$56607$n895 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n880 I1=$abc$32112$n885_1 I2=$abc$32112$n867_1 I3=$abc$32112$n875 O=$abc$32112$n866 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$56607$n897 I3=$false O=$abc$56607$n896 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$56607$n1541 I1=$abc$56607$n1544 I2=$abc$56607$n1545 I3=$false O=$abc$56607$n897 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$56607$n899 I2=$false I3=$false O=$abc$56607$n898 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$56607$n899 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n872 I2=$false I3=$false O=$abc$32112$n867_1 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$56607$n1475 I1=$abc$56607$n1495 I2=$abc$56607$n901_1 I3=$abc$56607$n1477 O=$abc$56607$n1328 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n928 I2=$abc$56607$n926 I3=$false O=$abc$56607$n901_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$56607$n912 I1=$abc$56607$n905 I2=$false I3=$false O=$abc$56607$n904 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n868_1 +.gate SB_LUT4 I0=$abc$56607$n906 I1=$abc$56607$n909 I2=$false I3=$false O=$abc$56607$n905 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n870 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$32112$n869 +.gate SB_LUT4 I0=$abc$56607$n907 I1=$abc$56607$n908 I2=$false I3=$false O=$abc$56607$n906 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.byte_counter[6] I1=I2C.byte_counter[7] I2=I2C.byte_counter[5] I3=$false O=$abc$32112$n870 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$32112$n871 +.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$56607$n907 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n874 I2=$false I3=$false O=$abc$32112$n872 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$32112$n873 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n874 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n869 I2=$abc$32112$n878_1 I3=$abc$32112$n879 O=$abc$32112$n875 +.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$56607$n908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n877 I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n876 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n877 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$56607$n910 I1=$abc$56607$n911 I2=$false I3=$false O=$abc$56607$n909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[6] I2=I2C.byte_counter[7] I3=I2C.byte_counter[4] O=$abc$32112$n878_1 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$56607$n910 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[2] O=$abc$32112$n879 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$56607$n911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n883 I2=$false I3=$false O=$abc$32112$n880 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[0] I2=$abc$32112$n882 I3=$false O=$abc$32112$n881 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n882 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n884 I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n883 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n884 +.gate SB_LUT4 I0=$abc$56607$n913 I1=$abc$56607$n914 I2=$false I3=$false O=$abc$56607$n912 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$abc$32112$n870 I2=$false I3=$false O=$abc$32112$n885_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n888 I1=$abc$32112$n869 I2=$abc$32112$n889 I3=$abc$32112$n887 O=$abc$32112$n886_1 +.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$56607$n913 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n888 I2=$abc$32112$n871 I3=$false O=$abc$32112$n887 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$56607$n914 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$56607$n916 I1=$abc$56607$n920 I2=$abc$56607$n923 I3=$false O=$abc$56607$n915 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00011111 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n873 I3=$false O=$abc$32112$n888 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$56607$n917 I1=$abc$56607$n2571 I2=$abc$56607$n2572 I3=$false O=$abc$56607$n916 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n878_1 I1=$abc$32112$n890 I2=$abc$32112$n884 I3=$false O=$abc$32112$n889 +.gate SB_LUT4 I0=$abc$56607$n918 I1=$abc$56607$n919 I2=KEYBOARD.kbd_code_hid[3] I3=$false O=$abc$56607$n917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n890 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n892_1 I1=$abc$32112$n894 I2=$false I3=$false O=$abc$32112$n891 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n893 I2=$abc$32112$n876 I3=$abc$32112$n885_1 O=$abc$32112$n892_1 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n918 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.kbd_code_hid[7] O=$abc$56607$n919 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101110111011 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n890 I3=$false O=$abc$32112$n893 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=$abc$56607$n922 I2=$abc$56607$n921 I3=$false O=$abc$56607$n920 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n895 I2=$abc$32112$n885_1 I3=$abc$32112$n896 O=$abc$32112$n894 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n921 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.kbd_code_hid[5] O=$abc$56607$n922 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101110111011 -.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n877 I2=$false I3=$false O=$abc$32112$n895 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[2] O=$abc$32112$n896 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$32112$n893 I1=$abc$32112$n876 I2=$abc$32112$n878_1 I3=$abc$32112$n898_1 O=$abc$32112$n897 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000011111 -.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n877 I2=$abc$32112$n890 I3=$false O=$abc$32112$n898_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$32112$n890 I1=$abc$32112$n874 I2=$abc$32112$n883 I3=$abc$32112$n869 O=$abc$32112$n899 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111100000000000 -.gate SB_LUT4 I0=$abc$32112$n911 I1=$abc$32112$n905 I2=$abc$32112$n901 I3=$false O=$abc$32112$n900 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n903 I1=$abc$32112$n885_1 I2=$abc$32112$n902 I3=$false O=$abc$32112$n901 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$32112$n896 I1=$abc$32112$n903 I2=$abc$32112$n878_1 I3=$abc$32112$n904_1 O=$abc$32112$n902 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$abc$56607$n924 I3=$abc$56607$n925 O=$abc$56607$n923 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=$abc$32112$n877 I1=$abc$32112$n890 I2=$abc$32112$n888 I3=$false O=$abc$32112$n903 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[5] I1=KEYBOARD.init_ram_cnt[6] I2=KEYBOARD.init_ram_cnt[7] I3=$false O=$abc$56607$n924 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$32112$n895 I1=$abc$32112$n885_1 I2=$abc$32112$n869 I3=$abc$32112$n879 O=$abc$32112$n904_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$32112$n909 I1=$abc$32112$n910 I2=$abc$32112$n885_1 I3=$abc$32112$n906 O=$abc$32112$n905 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n907 I2=$abc$32112$n908_1 I3=$abc$32112$n878_1 O=$abc$32112$n906 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n884 I2=$false I3=$false O=$abc$32112$n907 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[0] O=$abc$32112$n908_1 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=KEYBOARD.init_ram_cnt[3] I2=KEYBOARD.init_ram_cnt[4] I3=KEYBOARD.init_ram_cnt[8] O=$abc$56607$n925 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n874 I3=$false O=$abc$32112$n909 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n905 I2=$abc$56607$n927 I3=$false O=$abc$56607$n926 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$32112$n910 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110011111111111 -.gate SB_LUT4 I0=$abc$32112$n885_1 I1=$abc$32112$n890 I2=I2C.byte_counter[0] I3=$false O=$abc$32112$n911 +.gate SB_LUT4 I0=$abc$56607$n915 I1=I2C.FLT_SCL.RESET I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n927 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$32112$n913 I1=$abc$32112$n918 I2=$false I3=$false O=$abc$32112$n912 +.gate SB_LUT4 I0=$abc$56607$n929 I1=$abc$56607$n930 I2=$false I3=$false O=$abc$56607$n928 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n896 I2=$abc$32112$n917 I3=$abc$32112$n914_1 O=$abc$32112$n913 +.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$56607$n929 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$32112$n879 I1=$abc$32112$n893 I2=$abc$32112$n885_1 I3=$abc$32112$n915 O=$abc$32112$n914_1 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$56607$n930 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n869 I2=$abc$32112$n916 I3=$false O=$abc$32112$n915 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$32112$n882 I2=$abc$32112$n878_1 I3=$false O=$abc$32112$n916 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n877 I2=$abc$32112$n890 I3=$false O=$abc$32112$n917 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n909 I2=$abc$32112$n919 I3=$false O=$abc$32112$n918 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$56607$n937 I1=$abc$56607$n938_1 I2=$abc$56607$n933 I3=$false O=$abc$56607$n932 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n874 I2=$abc$32112$n871 I3=I2C.byte_counter[2] O=$abc$32112$n919 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n923 I1=$abc$32112$n924 I2=$false I3=$false O=$abc$32112$n922 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$56607$n928 I1=$abc$56607$n934 I2=$false I3=$false O=$abc$56607$n933 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n923 +.gate SB_LUT4 I0=$abc$56607$n935 I1=$abc$56607$n936 I2=$false I3=$false O=$abc$56607$n934 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n874 I2=$abc$32112$n890 I3=$false O=$abc$32112$n924 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$32112$n878_1 I1=$abc$32112$n910 I2=$abc$32112$n926 I3=$abc$32112$n927_1 O=$abc$32112$n925_1 +.gate SB_LUT4 I0=kbd_report[5][4] I1=kbd_report[5][5] I2=kbd_report[5][6] I3=kbd_report[5][7] O=$abc$56607$n935 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n896 I2=$false I3=$false O=$abc$32112$n926 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n884 I3=$abc$32112$n870 O=$abc$32112$n927_1 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=kbd_report[5][0] I1=kbd_report[5][1] I2=kbd_report[5][2] I3=kbd_report[5][3] O=$abc$56607$n936 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$32112$n883 I1=$abc$32112$n878_1 I2=$abc$32112$n872 I3=$abc$32112$n885_1 O=$abc$32112$n928_1 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$56607$n937 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$32112$n926 I1=$abc$32112$n922 I2=$abc$32112$n913 I3=$false O=$abc$32112$n931_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n933 I1=$abc$32112$n19 I2=$false I3=$false O=$abc$32112$n932 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$56607$n938_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$56607$n943 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n1490 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n923 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$abc$56607$n943 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n934 I1=$abc$32112$n936 I2=$abc$32112$n938 I3=$abc$32112$n940 O=$abc$32112$n933 +.gate SB_LUT4 I0=$abc$56607$n945 I1=$abc$56607$n946 I2=$abc$56607$n947 I3=$abc$56607$n948 O=$abc$56607$n944 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n878_1 I2=$abc$32112$n935 I3=$false O=$abc$32112$n934 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$32112$n895 I1=$abc$32112$n871 I2=$abc$32112$n879 I3=$abc$32112$n878_1 O=$abc$32112$n935 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[6][4] I2=KEYBOARD.report[6][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n945 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$32112$n883 I1=$abc$32112$n878_1 I2=$abc$32112$n937_1 I3=$abc$32112$n917 O=$abc$32112$n936 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[6][6] I2=KEYBOARD.report[6][5] I3=KEYBOARD.kbd_code_hid[5] O=$abc$56607$n946 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n896 I2=$abc$32112$n878_1 I3=$false O=$abc$32112$n937_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$32112$n939 I1=$abc$32112$n923 I2=$abc$32112$n887 I3=$false O=$abc$32112$n938 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n893 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n939 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[6][2] I2=KEYBOARD.report[6][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n947 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[6][7] I2=KEYBOARD.report[6][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n948 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$56607$n950 I1=$abc$56607$n951 I2=$abc$56607$n901_1 I3=$abc$56607$n958 O=$abc$56607$n1407 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n933 I2=$false I3=$false O=$abc$56607$n950 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n877 I1=$abc$32112$n878_1 I2=$abc$32112$n873 I3=$abc$32112$n919 O=$abc$32112$n940 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010111111 -.gate SB_LUT4 I0=$abc$32112$n923 I1=$abc$32112$n942 I2=$abc$32112$n940 I3=$false O=$abc$32112$n941_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n878_1 I2=$false I3=$false O=$abc$32112$n942 +.gate SB_LUT4 I0=$abc$56607$n953 I1=$abc$56607$n952 I2=$false I3=$false O=$abc$56607$n951 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n927 I2=$false I3=$false O=$abc$56607$n952 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n867_1 I1=$abc$32112$n945 I2=$abc$32112$n19 I3=$abc$32112$n944 O=$abc$32112$n1499 +.gate SB_LUT4 I0=$abc$56607$n954 I1=$abc$56607$n955 I2=$abc$56607$n956 I3=$abc$56607$n957 O=$abc$56607$n953 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111100001011 -.gate SB_LUT4 I0=$abc$32112$n937_1 I1=$abc$32112$n938 I2=$abc$32112$n935 I3=$abc$32112$n932 O=$abc$32112$n944 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=kbd_report[5][7] I2=kbd_report[5][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n954 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$32112$n911 I1=$abc$32112$n924 I2=$abc$32112$n928_1 I3=$abc$32112$n918 O=$abc$32112$n945 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=kbd_report[5][6] I2=kbd_report[5][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n955 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$32112$n865 I1=$abc$32112$n912 I2=$abc$32112$n902 I3=$abc$32112$n948 O=$abc$32112$n947 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=kbd_report[5][4] I2=KEYBOARD.kbd_code_hid[5] I3=kbd_report[5][5] O=$abc$56607$n956 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$32112$n899 I1=$abc$32112$n924 I2=$false I3=$false O=$abc$32112$n948 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n878_1 I2=$abc$32112$n936 I3=$abc$32112$n950 O=$abc$32112$n949 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=kbd_report[5][2] I2=kbd_report[5][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n957 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$32112$n951 I1=$abc$32112$n923 I2=$false I3=$false O=$abc$32112$n950 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$56607$n1495 I1=$abc$56607$n959 I2=$false I3=$false O=$abc$56607$n958 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n951 +.gate SB_LUT4 I0=$abc$56607$n915 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n959 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n928 I2=$abc$56607$n958 I3=$abc$56607$n961 O=$abc$56607$n1422 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$56607$n952 I1=$abc$56607$n962 I2=$abc$56607$n926 I3=$false O=$abc$56607$n961 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$56607$n963 I1=$abc$56607$n964 I2=$abc$56607$n965 I3=$abc$56607$n966 O=$abc$56607$n962 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[4][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[4][5] O=$abc$56607$n963 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[4][6] I2=KEYBOARD.report[4][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n964 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[4][7] I2=KEYBOARD.report[4][2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$56607$n965 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=KEYBOARD.report[4][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n966 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$56607$n968 I1=$abc$56607$n1494 I2=$abc$56607$n926 I3=$abc$56607$n959 O=$abc$56607$n1431 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$56607$n969 I1=$abc$56607$n952 I2=$false I3=$false O=$abc$56607$n968 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n925_1 I1=$abc$32112$n891 I2=$abc$32112$n19 I3=$abc$32112$n953_1 O=$abc$32112$n1505 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n970 I1=$abc$56607$n971 I2=$abc$56607$n972 I3=$abc$56607$n973 O=$abc$56607$n969 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[3][5] O=$abc$56607$n970 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011111111111 -.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n939 I2=$abc$32112$n950 I3=$abc$32112$n932 O=$abc$32112$n953_1 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[3][7] I2=KEYBOARD.report[3][4] I3=KEYBOARD.kbd_code_hid[4] O=$abc$56607$n971 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000011111111 -.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n950 I2=$abc$32112$n932 I3=$abc$32112$n955_1 O=$abc$32112$n1508 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[3][2] I2=KEYBOARD.report[3][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n972 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110110000 -.gate SB_LUT4 I0=$abc$32112$n913 I1=$abc$32112$n894 I2=$abc$32112$n901 I3=$abc$32112$n19 O=$abc$32112$n955_1 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[3][6] I2=KEYBOARD.report[3][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n973 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=$abc$32112$n901 I1=$abc$32112$n897 I2=$abc$32112$n922 I3=$abc$32112$n1312 O=$abc$32112$n960 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$56607$n952 I1=$abc$56607$n976 I2=$abc$56607$n959 I3=$abc$56607$n975 O=$abc$56607$n1435 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$abc$56607$n906 I1=$abc$56607$n909 I2=$abc$56607$n27 I3=$abc$56607$n927 O=$abc$56607$n975 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$56607$n977 I1=$abc$56607$n978 I2=$abc$56607$n979_1 I3=$abc$56607$n980 O=$abc$56607$n976 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[2] I1=I2C.FLT_SDA.counter[0] I2=I2C.FLT_SDA.counter[1] I3=$abc$32112$n1327 O=$abc$32112$n1516 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[2][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n977 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=$abc$32112$n847 I1=$abc$32112$n1334 I2=$false I3=$false O=$abc$32112$n1521 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$32112$n914_1 I1=$abc$32112$n948 I2=$abc$32112$n1312 I3=$false O=$abc$32112$n1665 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01111111 -.gate SB_LUT4 I0=$abc$32112$n978 I1=$abc$32112$n1271_1 I2=$abc$32112$n966 I3=$abc$32112$n542 O=$2\I2C_OUT_DESC_MASK[7:0][7] +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.report[2][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n978 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$32112$n967 I2=$abc$32112$n971_1 I3=$abc$32112$n969_1 O=$abc$32112$n966 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[2][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$56607$n979_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=$abc$32112$n1270 I1=$abc$32112$n970 I2=$abc$32112$n969_1 I3=$false O=$abc$32112$n967 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[2][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n980 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$56607$n1516 I1=$abc$56607$n989 I2=$abc$56607$n959 I3=$false O=$abc$56607$n1450 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n666 I3=I2C_INPUT_LEN[1] O=$abc$32112$n969_1 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[1][2] I2=$abc$56607$n986 I3=$abc$56607$n987 O=$abc$56607$n985_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[3][0] I2=$abc$32112$n659 I3=I2C_INPUT_DATA[2][4] O=$abc$32112$n970 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n986 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$32112$n972_1 I1=I2C_OUTPUT_TYPE[1] I2=$abc$32112$n676 I3=$abc$32112$n974_1 O=$abc$32112$n971_1 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[1][5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[1][4] O=$abc$56607$n987 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110000011101110 -.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$abc$32112$n973_1 I3=$false O=$abc$32112$n972_1 +.param LUT_INIT 1011000000001011 +.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n909 I2=$abc$56607$n927 I3=$false O=$abc$56607$n989 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n649 I1=$abc$32112$n652 I2=I2C_INPUT_DATA[0][1] I3=$false O=$abc$32112$n973_1 +.gate SB_LUT4 I0=$abc$56607$n920 I1=$abc$56607$n916 I2=$abc$56607$n943 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1457 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000011111111 +.gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n1490 I2=$abc$56607$n1484 I3=$false O=$abc$56607$n1462 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$abc$32112$n648 I3=I2C_INPUT_DATA[0][0] O=$abc$32112$n974_1 +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$56607$n923 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n1484 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n994 I2=$abc$56607$n1490 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1470 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$32112$n972_1 I1=I2C_INPUT_DATA[0][0] I2=$abc$32112$n974_1 I3=$abc$32112$n969_1 O=$abc$32112$n977_1 +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n682 I2=$abc$56607$n683 I3=$abc$56607$n690 O=$abc$56607$n994 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$32112$n967 I1=$abc$32112$n979 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$32112$n978 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n1490 I2=$false I3=$false O=$abc$56607$n1471 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n976 I1=$abc$56607$n1516 I2=$abc$56607$n998 I3=$abc$56607$n997 O=$abc$56607$n1483 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=$abc$56607$n920 I1=$abc$56607$n916 I2=$abc$56607$n943 I3=I2C.FLT_SCL.RESET O=$abc$56607$n997 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$56607$n944 I1=$abc$56607$n953 I2=$abc$56607$n962 I3=$abc$56607$n969 O=$abc$56607$n998 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$56607$n33 I1=KEYBOARD.row_time[0] I2=$abc$56607$n1490 I3=$false O=$abc$56607$n1489 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$32112$n972_1 I1=$abc$32112$n974_1 I2=$abc$32112$n969_1 I3=$false O=$abc$32112$n979 +.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1492 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$56607$n2481 I1=$abc$56607$n1632 I2=$abc$56607$n1004 I3=$false O=$abc$56607$n1501 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n1271_1 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] +.gate SB_LUT4 I0=$abc$56607$n1003 I1=$abc$56607$n1635 I2=$false I3=$false O=$abc$56607$n2481 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$32112$n966 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$56607$n1003 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$56607$n2480 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$56607$n1004 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$56607$n2480 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$32112$n978 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$56607$n1003 I3=$false O=$abc$56607$n1502 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$56607$n2484 I1=$abc$56607$n1010 I2=$false I3=$false O=$abc$56607$n1511 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n1009 I1=$abc$56607$n1639 I2=$false I3=$false O=$abc$56607$n2484 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$56607$n1009 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$56607$n2483 I1=$abc$56607$n1636 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$56607$n1010 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$56607$n2483 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$56607$n1009 I3=$false O=$abc$56607$n1512 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$56607$n1520 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n632 I1=$abc$32112$n628 I2=$false I3=$false O=$2\UART_WR[0:0] +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$56607$n1521 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$32112$n985 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$abc$32112$n1695 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$56607$n1523 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$56607$n1524 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$56607$n1526 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$56607$n1527 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$56607$n1529 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$56607$n1530 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][0] I2=$false I3=$false O=$10\int_tmr[19:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[19:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][2] I2=$false I3=$false O=$10\int_tmr[19:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][3] I2=$false I3=$false O=$10\int_tmr[19:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][4] I2=$false I3=$false O=$10\int_tmr[19:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][5] I2=$false I3=$false O=$10\int_tmr[19:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][6] I2=$false I3=$false O=$10\int_tmr[19:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][7] I2=$false I3=$false O=$10\int_tmr[19:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][8] I2=$false I3=$false O=$10\int_tmr[19:0][8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][9] I2=$false I3=$false O=$10\int_tmr[19:0][9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][10] I2=$false I3=$false O=$10\int_tmr[19:0][10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][11] I2=$false I3=$false O=$10\int_tmr[19:0][11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][12] I2=$false I3=$false O=$10\int_tmr[19:0][12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][13] I2=$false I3=$false O=$10\int_tmr[19:0][13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][14] I2=$false I3=$false O=$10\int_tmr[19:0][14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][15] I2=$false I3=$false O=$10\int_tmr[19:0][15] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][16] I2=$false I3=$false O=$10\int_tmr[19:0][16] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][17] I2=$false I3=$false O=$10\int_tmr[19:0][17] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][18] I2=$false I3=$false O=$10\int_tmr[19:0][18] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=int_tmr[19] I1=$abc$56607$n1733 I2=$abc$56607$n667 I3=$false O=$10\int_tmr[19:0][19] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$56607$n1042 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=I2C_TX_REPORT[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$32112$n985 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=REPORT_DATA.r_data[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$56607$n1042 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n987 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$abc$32112$n1696 +.gate SB_LUT4 I0=$abc$56607$n1044 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=I2C_TX_REPORT[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$32112$n987 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=REPORT_DATA.r_data[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$56607$n1044 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n989 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$abc$32112$n1697 +.gate SB_LUT4 I0=$abc$56607$n1046 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=I2C_TX_REPORT[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$32112$n989 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=REPORT_DATA.r_data[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$56607$n1046 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n991_1 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$abc$32112$n1699 +.gate SB_LUT4 I0=$abc$56607$n1048 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=I2C_TX_REPORT[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$32112$n991_1 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=REPORT_DATA.r_data[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$56607$n1048 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n993 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$abc$32112$n1700 +.gate SB_LUT4 I0=$abc$56607$n1050_1 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=I2C_TX_REPORT[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$32112$n993 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=REPORT_DATA.r_data[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$56607$n1050_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n995 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$abc$32112$n1702 +.gate SB_LUT4 I0=$abc$56607$n1052 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=I2C_TX_REPORT[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$32112$n995 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=REPORT_DATA.r_data[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$56607$n1052 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n997 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$abc$32112$n1704 +.gate SB_LUT4 I0=$abc$56607$n1054 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=I2C_TX_REPORT[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$32112$n997 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=REPORT_DATA.r_data[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$56607$n1054 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n999 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$abc$32112$n1705 +.gate SB_LUT4 I0=$abc$56607$n1056 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=I2C_TX_REPORT[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$32112$n999 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=REPORT_DATA.r_data[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$56607$n1056 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1706 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][0] +.gate SB_LUT4 I0=$abc$56607$n774 I1=$abc$56607$n747 I2=$false I3=$false O=$2\UART_WR[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n630_1 I3=$false O=$2\I2C_INPUT_LEN[7:0][1] +.param LUT_INIT 1011 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$56607$n1811 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1710 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1712 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1714 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1716 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1718 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][6] +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$56607$n763 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110100 +.gate SB_LUT4 I0=ring_rd[2] I1=$abc$56607$n1817 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=ring_rd[3] I1=$abc$56607$n1820 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=report_data_radr[1] I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$abc$56607$n1824 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$56607$n1827 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$56607$n21 O=$0\report_data_radr[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011110010101010 +.gate SB_LUT4 I0=$abc$56607$n1830 I1=$abc$56607$n2545 I2=$abc$56607$n2543 I3=$abc$56607$n21 O=$0\report_data_radr[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011110010101010 +.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$56607$n2545 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1720 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][7] +.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$56607$n2543 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[8][0] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1723 +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$56607$n1832 I1=$abc$56607$n1833 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=I2C_INPUT_DATA[4][1] I1=I2C_INPUT_DATA[8][1] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1726 +.gate SB_LUT4 I0=$abc$56607$n1835 I1=$abc$56607$n1836 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=I2C_INPUT_DATA[4][2] I1=I2C_INPUT_DATA[8][2] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1729 +.gate SB_LUT4 I0=$abc$56607$n1838 I1=$abc$56607$n1839 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1730 I2=$false I3=$false O=$0\rststate[3:0][0] +.gate SB_LUT4 I0=$abc$56607$n849 I1=$abc$56607$n1075 I2=$abc$56607$n1072 I3=$abc$56607$n745 O=$0\i2c_input_data_type[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$56607$n1073 I1=I2C.received_byte[0] I2=I2C.received_byte[4] I3=$abc$56607$n1074 O=$abc$56607$n1072 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000001110111 +.gate SB_LUT4 I0=$abc$56607$n826 I1=$abc$56607$n2580 I2=$abc$56607$n2570 I3=$abc$56607$n21 O=$abc$56607$n1073 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=$abc$56607$n812 I1=$abc$56607$n828 I2=$abc$56607$n835 I3=$false O=$abc$56607$n1074 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$56607$n745 I2=$false I3=$false O=$abc$56607$n1075 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n675 I1=$abc$32112$n647 I2=$abc$32112$n665 I3=$abc$32112$n1013 O=$abc$32112$n1012 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$56607$n1075 I1=$abc$56607$n1077 I2=$abc$56607$n1078 I3=$false O=$0\i2c_input_data_type[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=$abc$56607$n1513 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$56607$n1077 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$56607$n1073 I2=$abc$56607$n1079 I3=$abc$56607$n745 O=$abc$56607$n1078 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$32112$n670 I1=$abc$32112$n1014_1 I2=$abc$32112$n660 I3=$false O=$abc$32112$n1013 +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$56607$n1074 I3=$false O=$abc$56607$n1079 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$56607$n1075 I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=$abc$56607$n1081 O=$0\i2c_input_data_type[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111110000000 +.gate SB_LUT4 I0=$abc$56607$n1079 I1=$abc$56607$n1082 I2=$abc$56607$n745 I3=$false O=$abc$56607$n1081 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$56607$n1073 I1=I2C.received_byte[2] I2=$abc$56607$n834 I3=$abc$56607$n812 O=$abc$56607$n1082 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1075 I1=i2c_input_data_type[3] I2=$abc$56607$n1513 I3=$abc$56607$n1084 O=$0\i2c_input_data_type[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111110000000 +.gate SB_LUT4 I0=$abc$56607$n1073 I1=$abc$56607$n745 I2=I2C.received_byte[3] I3=$false O=$abc$56607$n1084 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C_INPUT_DATA[3][1] I1=$abc$32112$n1015_1 I2=$abc$32112$n663 I3=$false O=$abc$32112$n1014_1 +.gate SB_LUT4 I0=$abc$56607$n764 I1=$abc$56607$n1086 I2=ring_wr[0] I3=$abc$56607$n1902 O=$2\ring_wr[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111011101000000 +.gate SB_LUT4 I0=$abc$56607$n49 I1=ring_rd[3] I2=$abc$56607$n1911 I3=$abc$56607$n1087 O=$abc$56607$n1086 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$56607$n1902 I2=ring_rd[2] I3=$abc$56607$n1908 O=$abc$56607$n1087 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$56607$n1089 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_DATA[3][0] I3=$false O=$abc$32112$n1015_1 +.param LUT_INIT 10110100 +.gate SB_LUT4 I0=$abc$56607$n764 I1=ring_wr[0] I2=$abc$56607$n1086 I3=$false O=$abc$56607$n1089 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1732 I2=$false I3=$false O=$0\rststate[3:0][1] +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=ring_wr[2] I1=$abc$56607$n1908 I2=$abc$56607$n1089 I3=$false O=$2\ring_wr[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=ring_wr[3] I1=$abc$56607$n1911 I2=$abc$56607$n1089 I3=$false O=$2\ring_wr[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$56607$n1479 I1=$abc$56607$n1093 I2=$abc$56607$n1097 I3=$abc$56607$n1099_1 O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=$abc$56607$n1478 I2=$abc$56607$n1096 I3=$abc$56607$n1095 O=$abc$56607$n1093 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011110001 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=i2c_input_data_type[0] O=$abc$56607$n1095 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=i2c_input_data_type[1] O=$abc$56607$n1096 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$56607$n1478 I2=$abc$56607$n1095 I3=$abc$56607$n1096 O=$abc$56607$n1097 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011110001 +.gate SB_LUT4 I0=$abc$56607$n670 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n1099_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1734 I2=$false I3=$false O=$0\rststate[3:0][2] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$56607$n1093 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1736 I2=$false I3=$false O=$0\rststate[3:0][3] +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$56607$n1097 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1023 I2=$false I3=$false O=$abc$32112$n1807 +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$56607$n1479 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n951 I1=$abc$32112$n1272_1 I2=$false I3=$false O=$abc$32112$n1020 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n907 I3=$false O=$abc$32112$n1022_1 +.gate SB_LUT4 I0=$abc$56607$n791 I1=$abc$56607$n1894 I2=$abc$56607$n670 I3=$false O=$2\wr_cnt[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=$abc$56607$n1897 I3=$false O=$2\wr_cnt[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=$abc$56607$n1899 I3=$false O=$2\wr_cnt[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n1028 I1=$abc$32112$n1027 I2=$abc$32112$n1024 I3=$abc$32112$n1628 O=$abc$32112$n1023 +.gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][0] I2=$abc$56607$n1114 I3=KEYBOARD.report[2][0] O=$abc$56607$n1111 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1113_1 I1=$abc$56607$n312 I2=$false I3=$false O=$abc$56607$n1112 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$56607$n305 I3=$false O=$abc$56607$n1113_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$56607$n305 I1=$abc$56607$n312 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$56607$n1114 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111111101110 -.gate SB_LUT4 I0=$abc$32112$n1025 I1=$abc$32112$n1026 I2=$false I3=$false O=$abc$32112$n1024 +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=$abc$56607$n312 I1=$abc$56607$n1113_1 I2=$false I3=$false O=$abc$56607$n1115 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[8] I1=KEYBOARD.report[40] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1025 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$56607$n1116 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[56] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1026 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][0] I2=KEYBOARD.report[4][0] I3=$abc$56607$n1119 O=$abc$56607$n1117 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=KEYBOARD.report[0] I1=KEYBOARD.report[32] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1027 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n312 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$56607$n305 O=$abc$56607$n1118 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[48] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1028 +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=$abc$56607$n305 I1=wr_cnt[1] I2=wr_cnt[0] I3=$abc$56607$n312 O=$abc$56607$n1119 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$32112$n1030 I1=$abc$32112$n1272_1 I2=$abc$32112$n951 I3=$abc$32112$n2168 O=$abc$32112$n1808 +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$56607$n305 I3=$abc$56607$n312 O=$abc$56607$n1120 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010001000100 -.gate SB_LUT4 I0=$abc$32112$n1031 I1=$abc$32112$n1034 I2=$abc$32112$n1036 I3=$false O=$abc$32112$n1030 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$32112$n1032 I1=$abc$32112$n1033 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1031 +.param LUT_INIT 0110000000001001 +.gate SB_LUT4 I0=$abc$56607$n305 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$56607$n312 O=$abc$56607$n1121 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010100000011 -.gate SB_LUT4 I0=KEYBOARD.report[9] I1=KEYBOARD.report[1] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1032 +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1124 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.report[41] I1=KEYBOARD.report[33] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1033 +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1126 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.report[25] I1=KEYBOARD.report[17] I2=$abc$32112$n1628 I3=$abc$32112$n1035 O=$abc$32112$n1034 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=wr_cnt[1] I1=$abc$56607$n321 I2=wr_cnt[2] I3=$false O=$abc$56607$n1127 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1128 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n1130 I2=$false I3=$false O=$abc$56607$n1129 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$56607$n1130 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$56607$n1132 I1=$abc$56607$n670 I2=$false I3=$false O=$3\report_data_wr[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$56607$n1133 I1=$abc$56607$n1139 I2=$abc$56607$n1130 I3=$abc$56607$n1116 O=$abc$56607$n1132 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n1035 +.param LUT_INIT 0000110000000101 +.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][1] I2=$abc$56607$n1134 I3=$false O=$abc$56607$n1133 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][1] I2=$abc$56607$n1135 I3=$abc$56607$n1138 O=$abc$56607$n1134 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][1] I2=$abc$56607$n1136 I3=$false O=$abc$56607$n1135 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][1] I2=$abc$56607$n1137 I3=$false O=$abc$56607$n1136 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$56607$n1121 I1=KEYBOARD.report[6][1] I2=$false I3=$false O=$abc$56607$n1137 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[57] I1=KEYBOARD.report[49] I2=$abc$32112$n1628 I3=$abc$32112$n882 O=$abc$32112$n1036 +.gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][1] I2=$abc$56607$n1118 I3=KEYBOARD.report[3][1] O=$abc$56607$n1138 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$32112$n1038 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1809 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1140 I1=$abc$56607$n1145 I2=KEYBOARD.report[0][1] I3=$abc$56607$n1124 O=$abc$56607$n1139 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001110111 +.gate SB_LUT4 I0=$abc$56607$n1141 I1=$abc$56607$n1144 I2=$false I3=$false O=$abc$56607$n1140 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1042 I1=$abc$32112$n1043 I2=$abc$32112$n1039 I3=$abc$32112$n1628 O=$abc$32112$n1038 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n1142 I1=KEYBOARD.report[6][1] I2=$abc$56607$n1143 I3=KEYBOARD.report[4][1] O=$abc$56607$n1141 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1142 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$56607$n321 I1=wr_cnt[2] I2=wr_cnt[1] I3=$false O=$abc$56607$n1143 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[2][1] I3=$abc$56607$n1127 O=$abc$56607$n1144 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1128 I1=kbd_report[5][1] I2=$abc$56607$n1146 I3=KEYBOARD.report[3][1] O=$abc$56607$n1145 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1146 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n1148 I1=$abc$56607$n1482 I2=$abc$56607$n1116 I3=$abc$56607$n1129 O=$3\report_data_wr[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$32112$n1040 I1=$abc$32112$n1041 I2=$false I3=$false O=$abc$32112$n1039 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=$abc$56607$n1149 I1=$abc$56607$n1152 I2=KEYBOARD.report[0][2] I3=$abc$56607$n1120 O=$abc$56607$n1148 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001110111 +.gate SB_LUT4 I0=$abc$56607$n1150 I1=$abc$56607$n1151 I2=$false I3=$false O=$abc$56607$n1149 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[10] I1=KEYBOARD.report[42] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1040 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][2] I2=$abc$56607$n1118 I3=KEYBOARD.report[3][2] O=$abc$56607$n1150 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[26] I1=KEYBOARD.report[58] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1041 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][2] I2=KEYBOARD.report[2][2] I3=$abc$56607$n1114 O=$abc$56607$n1151 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=KEYBOARD.report[2] I1=KEYBOARD.report[34] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1042 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][2] I2=KEYBOARD.report[6][2] I3=$abc$56607$n1121 O=$abc$56607$n1152 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[18] I1=KEYBOARD.report[50] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1043 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1524_1 I1=$abc$56607$n1130 I2=$abc$56607$n1483_1 I3=$abc$56607$n670 O=$3\report_data_wr[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$32112$n1045 I1=$abc$32112$n1272_1 I2=$abc$32112$n951 I3=$abc$32112$n2168 O=$abc$32112$n1810 +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][3] I2=$abc$56607$n1164 I3=$false O=$abc$56607$n1163 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][3] I2=$abc$56607$n1114 I3=KEYBOARD.report[2][3] O=$abc$56607$n1164 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010001000100 -.gate SB_LUT4 I0=$abc$32112$n1046 I1=$abc$32112$n1049 I2=$abc$32112$n1050 I3=$false O=$abc$32112$n1045 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1484_1 I1=$abc$56607$n1177 I2=$abc$56607$n1129 I3=$false O=$3\report_data_wr[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$32112$n1047 I1=$abc$32112$n1048 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1046 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$56607$n1120 I2=$abc$56607$n1178 I3=$abc$56607$n1116 O=$abc$56607$n1177 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010100000011 -.gate SB_LUT4 I0=KEYBOARD.report[11] I1=KEYBOARD.report[3] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1047 +.param LUT_INIT 0000000010001111 +.gate SB_LUT4 I0=$abc$56607$n1179 I1=$abc$56607$n1180_1 I2=$abc$56607$n1181 I3=$false O=$abc$56607$n1178 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.report[43] I1=KEYBOARD.report[35] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1048 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=kbd_report[5][4] I1=KEYBOARD.report[1][4] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1179 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][4] I2=KEYBOARD.report[6][4] I3=$abc$56607$n1121 O=$abc$56607$n1180_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][4] I2=KEYBOARD.report[4][4] I3=$abc$56607$n1119 O=$abc$56607$n1181 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1485 I1=$abc$56607$n1188 I2=$abc$56607$n1129 I3=$false O=$3\report_data_wr[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.report[27] I1=KEYBOARD.report[19] I2=$abc$32112$n1628 I3=$abc$32112$n1035 O=$abc$32112$n1049 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$56607$n1120 I2=$abc$56607$n1189 I3=$abc$56607$n1116 O=$abc$56607$n1188 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=KEYBOARD.report[59] I1=KEYBOARD.report[51] I2=$abc$32112$n1628 I3=$abc$32112$n882 O=$abc$32112$n1050 +.param LUT_INIT 0000000010001111 +.gate SB_LUT4 I0=$abc$56607$n1190 I1=$abc$56607$n1191_1 I2=$abc$56607$n1192 I3=$false O=$abc$56607$n1189 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=kbd_report[5][5] I1=KEYBOARD.report[1][5] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1190 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1052 I2=$false I3=$false O=$abc$32112$n1811 +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[6][5] I3=$abc$56607$n1121 O=$abc$56607$n1191_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[4][5] I3=$abc$56607$n1119 O=$abc$56607$n1192 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][6] I2=$abc$56607$n1124 I3=$abc$56607$n1202 O=$abc$56607$n1201 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$56607$n1143 I1=KEYBOARD.report[4][6] I2=$abc$56607$n1203 I3=$abc$56607$n1204 O=$abc$56607$n1202 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$56607$n1128 I1=kbd_report[5][6] I2=KEYBOARD.report[6][6] I3=$abc$56607$n1142 O=$abc$56607$n1203 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1127 I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[3][6] I3=$abc$56607$n1146 O=$abc$56607$n1204 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][7] I2=$abc$56607$n1213 I3=$abc$56607$n1210 O=$abc$56607$n1209 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][7] I2=$abc$56607$n1211_1 I3=$abc$56607$n1212 O=$abc$56607$n1210 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][7] I2=$false I3=$false O=$abc$56607$n1211_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=kbd_report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1212 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$56607$n1121 I1=KEYBOARD.report[6][7] I2=$false I3=$false O=$abc$56607$n1213 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1057 I1=$abc$32112$n1056 I2=$abc$32112$n1053_1 I3=$abc$32112$n1628 O=$abc$32112$n1052 +.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1221_1 I2=$abc$56607$n771 I3=$6\report_data_wadr[7:0][0] O=$0\report_data_wadr[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111111101110 -.gate SB_LUT4 I0=$abc$32112$n1054_1 I1=$abc$32112$n1055 I2=$false I3=$false O=$abc$32112$n1053_1 +.param LUT_INIT 1111010001000100 +.gate SB_LUT4 I0=init_ram_cnt[5] I1=init_ram_cnt[7] I2=$abc$56607$n734 I3=$abc$56607$n2575 O=$abc$56607$n1220 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$56607$n22 I1=$abc$56607$n1953 I2=$false I3=$false O=$abc$56607$n1221_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[12] I1=KEYBOARD.report[44] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1054_1 +.gate SB_LUT4 I0=$abc$56607$n22 I1=init_ram_cnt[1] I2=$abc$56607$n1220 I3=$abc$56607$n1223 O=$0\report_data_wadr[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[60] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1055 +.param LUT_INIT 0000000111111111 +.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=$abc$56607$n1224 I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1223 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=KEYBOARD.report[4] I1=KEYBOARD.report[36] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1056 +.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$56607$n1224 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$56607$n1226 I1=$abc$56607$n1228 I2=$false I3=$false O=$0\report_data_wadr[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$abc$56607$n1227_1 I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1226 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[52] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1057 +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$56607$n1227_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$32112$n1059 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1812 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1063 I1=$abc$32112$n1064 I2=$abc$32112$n1060 I3=$abc$32112$n1628 O=$abc$32112$n1059 +.param LUT_INIT 1000011101111000 +.gate SB_LUT4 I0=$abc$56607$n1220 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$56607$n22 O=$abc$56607$n1228 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$32112$n1061 I1=$abc$32112$n1062 I2=$false I3=$false O=$abc$32112$n1060 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[13] I1=KEYBOARD.report[45] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1061 +.param LUT_INIT 0000000010111110 +.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1959 I2=$abc$56607$n1230 I3=$abc$56607$n22 O=$0\report_data_wadr[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[29] I1=KEYBOARD.report[61] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1062 +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$56607$n1231 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$56607$n670 O=$abc$56607$n1230 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100001101010101 +.gate SB_LUT4 I0=$abc$56607$n1232_1 I1=$abc$56607$n1234 I2=ring_wr[0] I3=$false O=$abc$56607$n1231 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01101001 +.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=$abc$56607$n1233 I3=$false O=$abc$56607$n1232_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$56607$n1233 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=KEYBOARD.report[5] I1=KEYBOARD.report[37] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1063 +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$56607$n1234 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1961 I2=$abc$56607$n1236_1 I3=$abc$56607$n22 O=$0\report_data_wadr[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[21] I1=KEYBOARD.report[53] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1064 +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$56607$n1239 I1=$abc$56607$n2549 I2=$abc$56607$n2551 I3=$abc$56607$n670 O=$abc$56607$n1236_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1066 I2=$false I3=$false O=$abc$32112$n1813 +.param LUT_INIT 1100001110101010 +.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$56607$n2551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1071 I1=$abc$32112$n1070 I2=$abc$32112$n1067 I3=$abc$32112$n1628 O=$abc$32112$n1066 +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$56607$n2549 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$56607$n2548 I1=$abc$56607$n2546 I2=$false I3=$false O=$abc$56607$n1239 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$56607$n1233 I1=$abc$56607$n1232_1 I2=ring_wr[0] I3=$abc$56607$n1234 O=$abc$56607$n2548 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111111101110 -.gate SB_LUT4 I0=$abc$32112$n1068 I1=$abc$32112$n1069 I2=$false I3=$false O=$abc$32112$n1067 +.param LUT_INIT 1110101000110000 +.gate SB_LUT4 I0=$abc$56607$n1242 I1=$abc$56607$n1243 I2=ring_wr[1] I3=ring_wr[3] O=$abc$56607$n2546 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110101100010100 +.gate SB_LUT4 I0=$abc$56607$n1234 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$56607$n1242 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$56607$n1243 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[14] I1=KEYBOARD.report[46] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1068 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1963 I2=$abc$56607$n22 I3=$abc$56607$n1245 O=$0\report_data_wadr[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[30] I1=KEYBOARD.report[62] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1069 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$56607$n1943 I1=$6\report_data_wadr[7:0][5] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1245 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=KEYBOARD.report[6] I1=KEYBOARD.report[38] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1070 +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1965 I2=$abc$56607$n22 I3=$abc$56607$n1247 O=$0\report_data_wadr[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[22] I1=KEYBOARD.report[54] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1071 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$56607$n1946 I1=$6\report_data_wadr[7:0][6] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1247 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$32112$n1073 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1814 +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1967 I2=$abc$56607$n22 I3=$abc$56607$n1249_1 O=$0\report_data_wadr[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$56607$n1949 I1=$6\report_data_wadr[7:0][7] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1249_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1977 I2=$false I3=$false O=$0\rststate[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1077 I1=$abc$32112$n1078 I2=$abc$32112$n1074 I3=$abc$32112$n1628 O=$abc$32112$n1073 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$32112$n1075 I1=$abc$32112$n1076 I2=$false I3=$false O=$abc$32112$n1074 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$56607$n848 I2=i2c_input_data_type[2] I3=$false O=$abc$56607$n1251 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1979 I2=$false I3=$false O=$0\rststate[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[15] I1=KEYBOARD.report[47] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1075 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[31] I1=KEYBOARD.report[63] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1076 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=KEYBOARD.report[7] I1=KEYBOARD.report[39] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1077 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=KEYBOARD.report[23] I1=KEYBOARD.report[55] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1078 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$32112$n1819 +.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1981 I2=$false I3=$false O=$0\rststate[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1983 I2=$false I3=$false O=$0\rststate[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$56607$n2045 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$32112$n1821 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$56607$n2047 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$32112$n1823 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$56607$n2049 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$32112$n1817 I2=$false I3=$false O=$abc$32112$n2139 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$56607$n2043 I2=$false I3=$false O=$abc$56607$n2617 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1317_1 I1=$abc$32112$n1105 I2=$abc$32112$n1097 I3=$false O=$abc$32112$n1833 +.gate SB_LUT4 I0=$abc$56607$n1260 I1=$abc$56607$n1273 I2=$abc$56607$n1281 I3=$false O=$abc$56607$n2059 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$32112$n985 I1=$abc$32112$n993 I2=$abc$32112$n1053 I3=$abc$32112$n692 O=$abc$32112$n1086 +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=$abc$56607$n1272 I1=$abc$56607$n1270 I2=$abc$56607$n1261 I3=$abc$56607$n1269 O=$abc$56607$n1260 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=$abc$56607$n1262 I1=$abc$56607$n1263 I2=$abc$56607$n1264 I3=$abc$56607$n1267 O=$abc$56607$n1261 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n1044 I1=$abc$56607$n1052 I2=$abc$56607$n360 I3=$abc$56607$n882 O=$abc$56607$n1262 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=$abc$32112$n989 I1=$abc$32112$n997 I2=$abc$32112$n1053 I3=$abc$32112$n696 O=$abc$32112$n1087 +.gate SB_LUT4 I0=$abc$56607$n1048 I1=$abc$56607$n1056 I2=$abc$56607$n360 I3=$abc$56607$n885 O=$abc$56607$n1263 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=$abc$32112$n987 I1=$abc$32112$n991_1 I2=$abc$32112$n693_1 I3=$abc$32112$n1051 O=$abc$32112$n1091 +.gate SB_LUT4 I0=$abc$56607$n1265 I1=$abc$56607$n1266 I2=$abc$56607$n360 I3=$false O=$abc$56607$n1264 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$56607$n1042 I1=$abc$56607$n1046 I2=$abc$56607$n358 I3=$abc$56607$n880 O=$abc$56607$n1265 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$32112$n8 I2=$false I3=$false O=$abc$32112$n1093_1 +.param LUT_INIT 1111101011111100 +.gate SB_LUT4 I0=$abc$56607$n1050_1 I1=$abc$56607$n1054 I2=$abc$56607$n358 I3=$abc$56607$n880 O=$abc$56607$n1266 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111101011111100 +.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n1268_1 I2=$false I3=$false O=$abc$56607$n1267 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$56607$n16 I2=$false I3=$false O=$abc$56607$n1268_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1093_1 I2=$false I3=$false O=$abc$32112$n1094 +.gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n1269 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.is_ack I2=$false I3=$false O=$abc$32112$n1095 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n1271 I2=$abc$56607$n1268_1 I3=$false O=$abc$56607$n1270 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.is_ack I2=$false I3=$false O=$abc$56607$n1271 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.SDA_DIR O=$abc$32112$n1096 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$56607$n14 I3=I2C.SDA_DIR O=$abc$56607$n1272 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=$abc$32112$n1096 I1=I2C.i2c_state_machine I2=$abc$32112$n1098 I3=$false O=$abc$32112$n1097 +.gate SB_LUT4 I0=$abc$56607$n1274 I1=$abc$56607$n874 I2=$abc$56607$n1280 I3=$false O=$abc$56607$n1273 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$32112$n1104 I1=$abc$32112$n1096 I2=$abc$32112$n1099_1 I3=$abc$32112$n688 O=$abc$32112$n1098 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$32112$n1273 I1=$abc$32112$n1103 I2=$false I3=$false O=$abc$32112$n1099_1 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n1278_1 I1=$abc$56607$n1487 I2=$false I3=$false O=$abc$56607$n1274 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1102 I1=I2C.received_byte[3] I2=I2C.received_byte[5] I3=I2C.received_byte[6] O=$abc$32112$n1101 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=$abc$56607$n1277 O=$abc$56607$n1276 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[4] I3=I2C.received_byte[7] O=$abc$32112$n1102 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$56607$n1277 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1104 I2=$false I3=$false O=$abc$32112$n1103 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1093_1 I1=$abc$32112$n506 I2=$false I3=$false O=$abc$32112$n1104 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[3] I2=$abc$56607$n1279 I3=I2C.received_byte[6] O=$abc$56607$n1278_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=I2C.received_byte[5] O=$abc$56607$n1279 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n1268_1 I2=$abc$56607$n1249 I3=$false O=$abc$56607$n1280 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n1282 I1=$abc$56607$n874 I2=I2C.i2c_state_machine I3=$abc$56607$n1272 O=$abc$56607$n1281 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000011111111 +.gate SB_LUT4 I0=$abc$56607$n1268_1 I1=$abc$56607$n1249 I2=$false I3=$false O=$abc$56607$n1282 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n689 I2=$false I3=$false O=$abc$32112$n1105 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1103 I1=$abc$32112$n1273 I2=$abc$32112$n688 I3=$abc$32112$n1107 O=$abc$32112$n1835 +.gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1274 I2=$abc$56607$n874 I3=$abc$56607$n1284 O=$abc$56607$n2061 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=$abc$32112$n1109 I1=$abc$32112$n506 I2=$abc$32112$n1108 I3=$abc$32112$n1105 O=$abc$32112$n1107 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$32112$n1095 I1=I2C.FLT_SCL.out I2=$abc$32112$n8 I3=$false O=$abc$32112$n1108 +.gate SB_LUT4 I0=$abc$56607$n1285 I1=$abc$56607$n1286 I2=$abc$56607$n1269 I3=$false O=$abc$56607$n1284 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$32112$n16 I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$32112$n1109 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$56607$n1271 I1=I2C.FLT_SCL.out I2=$abc$56607$n16 I3=$abc$56607$n1249 O=$abc$56607$n1285 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$56607$n14 I1=I2C.FLT_SCL.out I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$56607$n1286 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$32112$n1274_1 I1=I2C.received_byte[0] I2=$abc$32112$n1103 I3=$abc$32112$n689 O=$abc$32112$n1837 +.gate SB_LUT4 I0=$abc$56607$n1288 I1=$abc$56607$n875 I2=$false I3=$false O=$abc$56607$n2063 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1487 I2=$abc$56607$n877 I3=I2C.received_byte[0] O=$abc$56607$n1288 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1819 I2=$abc$32112$n1116 I3=$abc$32112$n1051 O=$abc$32112$n1839 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2045 I2=$abc$56607$n1293 I3=$abc$56607$n358 O=$abc$56607$n2065 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111100010001000 -.gate SB_LUT4 I0=$abc$32112$n1115 I1=$abc$32112$n1114 I2=$false I3=$false O=$abc$32112$n1113 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1104 I2=$abc$32112$n688 I3=$abc$32112$n687 O=$abc$32112$n1114 +.param LUT_INIT 0100111101000100 +.gate SB_LUT4 I0=$abc$56607$n1267 I1=$abc$56607$n1285 I2=$abc$56607$n875 I3=$abc$56607$n1291 O=$abc$56607$n1290_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=$abc$32112$n1108 I1=$abc$32112$n1093_1 I2=$abc$32112$n506 I3=$abc$32112$n1105 O=$abc$32112$n1115 +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$56607$n1292 I1=$abc$56607$n878 I2=$abc$56607$n875 I3=$abc$56607$n877 O=$abc$56607$n1291 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$32112$n1117 I1=$abc$32112$n506 I2=$false I3=$false O=$abc$32112$n1116 +.param LUT_INIT 0000000111111111 +.gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n1282 I2=$false I3=$false O=$abc$56607$n1292 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n689 I2=I2C.FLT_SCL.out I3=$abc$32112$n8 O=$abc$32112$n1117 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111111111011 -.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1821 I2=$abc$32112$n693_1 I3=$abc$32112$n1116 O=$abc$32112$n1841 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n1267 I1=$abc$56607$n878 I2=$abc$56607$n875 I3=$abc$56607$n877 O=$abc$56607$n1293 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1823 I2=$abc$32112$n1116 I3=$abc$32112$n1053 O=$abc$32112$n1843 +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2047 I2=$abc$56607$n1293 I3=$abc$56607$n880 O=$abc$56607$n2067 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111100010001000 -.gate SB_LUT4 I0=$abc$32112$n1984 I1=$abc$32112$n1128 I2=$abc$32112$n1383 I3=$abc$32112$n1126 O=$abc$32112$n1847 +.param LUT_INIT 0100010001001111 +.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2049 I2=$abc$56607$n1293 I3=$abc$56607$n360 O=$abc$56607$n2069 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000011101110 -.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n1127 I2=$false I3=$false O=$abc$32112$n1126 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$32112$n1094 I1=$abc$32112$n1108 I2=$abc$32112$n689 I3=$abc$32112$n506 O=$abc$32112$n1127 +.param LUT_INIT 0100111101000100 +.gate SB_LUT4 I0=$abc$56607$n2210 I1=$abc$56607$n1520 I2=$abc$56607$n1301 I3=$abc$56607$n1304 O=$abc$56607$n2073 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$32112$n1129 I1=$abc$32112$n1392 I2=$false I3=$false O=$abc$32112$n1128 +.param LUT_INIT 1111101011111100 +.gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1285 I2=$abc$56607$n875 I3=$false O=$abc$56607$n1300 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$56607$n1302_1 I1=$abc$56607$n1529 I2=$false I3=$false O=$abc$56607$n1301 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n1384 I1=$abc$32112$n890 I2=$abc$32112$n1130 I3=I2C.byte_counter[0] O=$abc$32112$n1129 +.gate SB_LUT4 I0=$abc$56607$n1523 I1=$abc$56607$n1303 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$56607$n1302_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[7] I3=$false O=$abc$32112$n1130 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$32112$n1384 I1=$abc$32112$n1275_1 I2=$abc$32112$n1126 I3=$false O=$abc$32112$n1849 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$32112$n1986 I1=$abc$32112$n1386 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1851 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n1135 I2=$false I3=$false O=$abc$32112$n1134 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$56607$n1303 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$56607$n1300 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n1304 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1128 I1=$abc$32112$n1127 I2=$false I3=$false O=$abc$32112$n1135 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$56607$n1306 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$56607$n2043 O=$abc$56607$n2075 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111100000000000 +.gate SB_LUT4 I0=$abc$56607$n1301 I1=$abc$56607$n1304 I2=$false I3=$false O=$abc$56607$n1306 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n1137 I1=I2C.byte_counter[3] I2=$abc$32112$n691 I3=$false O=$abc$32112$n1853 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$32112$n1987 I1=$abc$32112$n1387 I2=$abc$32112$n1135 I3=$false O=$abc$32112$n1137 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n1139 I1=I2C.byte_counter[4] I2=$abc$32112$n691 I3=$false O=$abc$32112$n1855 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$32112$n1988 I1=$abc$32112$n1128 I2=$abc$32112$n1389 I3=$abc$32112$n1127 O=$abc$32112$n1139 +.gate SB_LUT4 I0=$abc$56607$n2212 I1=$abc$56607$n1301 I2=$abc$56607$n1523 I3=$abc$56607$n1304 O=$abc$56607$n2077 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$32112$n1989 I1=$abc$32112$n1390 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1857 +.gate SB_LUT4 I0=$abc$56607$n2213 I1=$abc$56607$n1524 I2=$abc$56607$n1306 I3=$false O=$abc$56607$n2079 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n1127 I1=$abc$32112$n1392 I2=$abc$32112$n1142 I3=$false O=$abc$32112$n1859 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$32112$n1990 I1=$abc$32112$n1135 I2=I2C.byte_counter[6] I3=$abc$32112$n691 O=$abc$32112$n1142 +.gate SB_LUT4 I0=$abc$56607$n2214 I1=$abc$56607$n1301 I2=$abc$56607$n1526 I3=$abc$56607$n1304 O=$abc$56607$n2081 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$32112$n1991 I1=$abc$32112$n1393 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1861 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n1145 I1=$abc$32112$n1146 I2=I2C.is_ack I3=$abc$32112$n691 O=$abc$32112$n1863 +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$56607$n2215 I1=$abc$56607$n1527 I2=$abc$56607$n1301 I3=$abc$56607$n1304 O=$abc$56607$n2083 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010111011 -.gate SB_LUT4 I0=$abc$32112$n506 I1=$abc$32112$n1095 I2=$abc$32112$n1099_1 I3=$abc$32112$n689 O=$abc$32112$n1145 +.param LUT_INIT 1111101011111100 +.gate SB_LUT4 I0=$abc$56607$n2216 I1=$abc$56607$n1301 I2=$abc$56607$n1529 I3=$abc$56607$n1304 O=$abc$56607$n2085 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011110100 -.gate SB_LUT4 I0=$abc$32112$n506 I1=$abc$32112$n689 I2=$abc$32112$n1095 I3=$abc$32112$n1093_1 O=$abc$32112$n1146 +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$56607$n2217 I1=$abc$56607$n1301 I2=$abc$56607$n1530 I3=$abc$56607$n1304 O=$abc$56607$n2087 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111011100001111 -.gate SB_LUT4 I0=$abc$32112$n1108 I1=$abc$32112$n506 I2=$abc$32112$n689 I3=$abc$32112$n1150 O=$abc$32112$n1149 +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$56607$n1269 I1=$abc$56607$n1282 I2=$abc$56607$n1314 I3=$abc$56607$n1273 O=$abc$56607$n2089 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n689 I2=$abc$32112$n1093_1 I3=$abc$32112$n506 O=$abc$32112$n1150 +.param LUT_INIT 1111111111111000 +.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n875 I2=$abc$56607$n1315_1 I3=$abc$56607$n1271 O=$abc$56607$n1314 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=$abc$56607$n1268_1 I1=I2C.i2c_state_machine I2=$false I3=$false O=$abc$56607$n1315_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$56607$n1321 I2=$abc$56607$n1317 I3=$false O=$abc$56607$n2091 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$56607$n1318 I1=$abc$56607$n1322 I2=$abc$56607$n877 I3=$false O=$abc$56607$n1317 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n1319 I2=$abc$56607$n1320_1 I3=$abc$56607$n1321 O=$abc$56607$n1318 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$56607$n1249 I1=I2C.FLT_SCL.out I2=$abc$56607$n16 I3=$abc$56607$n1271 O=$abc$56607$n1319 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110110011000000 -.gate SB_LUT4 I0=$abc$32112$n1109 I1=$abc$32112$n2170 I2=$abc$32112$n689 I3=$abc$32112$n1108 O=$abc$32112$n1151 +.param LUT_INIT 0010101010101000 +.gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n875 I2=$abc$56607$n1268_1 I3=$abc$56607$n1249 O=$abc$56607$n1320_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.wr O=$abc$32112$n1153 +.param LUT_INIT 1010110011000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$56607$n14 I3=I2C.wr O=$abc$56607$n1321 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=$abc$32112$n1404 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1993 +.gate SB_LUT4 I0=$abc$56607$n1286 I1=$abc$56607$n2583 I2=$abc$56607$n875 I3=$abc$56607$n1285 O=$abc$56607$n1322 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$56607$n1541 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2219 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$32112$n1995 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$56607$n2221 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$32112$n1407 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1997 +.gate SB_LUT4 I0=$abc$56607$n1544 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2223 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$32112$n1408 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1999 +.gate SB_LUT4 I0=$abc$56607$n1545 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2225 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$32112$n708 I1=$abc$32112$n710 I2=$abc$32112$n705 I3=$false O=$abc$32112$n2000 +.gate SB_LUT4 I0=$abc$56607$n896 I1=$abc$56607$n898 I2=$abc$56607$n893 I3=$false O=$abc$56607$n2226 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[0] I2=$abc$32112$n621 I3=$false O=$abc$32112$n2065 +.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.init_ram_cnt[0] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2232 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[1] I2=$abc$32112$n1161 I3=$false O=$abc$32112$n2068 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$56607$n2235 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011110010101010 +.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.init_ram_cnt[2] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2238 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n61 I1=$abc$32112$n63 I2=$abc$32112$n60 I3=$false O=$abc$32112$n1161 +.gate SB_LUT4 I0=$abc$56607$n28 I1=KEYBOARD.init_ram_cnt[3] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2240 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[2] I2=$abc$32112$n1163 I3=$false O=$abc$32112$n2071 +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$56607$n923 I1=$abc$56607$n994 I2=$false I3=$false O=$abc$56607$n2242 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2244 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n63 I2=$abc$32112$n61 I3=$false O=$abc$32112$n1163 +.gate SB_LUT4 I0=$abc$56607$n33 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$56607$n2246 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[3] I2=$abc$32112$n1165 I3=$false O=$abc$32112$n2074 +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[2] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2248 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n63 I1=$abc$32112$n61 I2=$abc$32112$n60 I3=$false O=$abc$32112$n1165 +.gate SB_LUT4 I0=$abc$56607$n28 I1=KEYBOARD.row_counter[3] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2250 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n1341 I2=$abc$56607$n1490_1 I3=$abc$56607$n1535_1 O=$abc$56607$n2269 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000011111 +.gate SB_LUT4 I0=$abc$56607$n691 I1=$abc$56607$n708 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$56607$n706 O=$abc$56607$n1341 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100001110101010 +.gate SB_LUT4 I0=$abc$56607$n1344 I1=$abc$56607$n697 I2=$abc$56607$n706 I3=$abc$56607$n1492_1 O=$abc$56607$n1343 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.COLS_SHADOW[5] I2=$false I3=$false O=$abc$56607$n1344 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$56607$n709 I3=$false O=$abc$56607$n1347 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n1349 I2=$abc$56607$n1490_1 I3=$abc$56607$n1535_1 O=$abc$56607$n2273 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000011111 +.gate SB_LUT4 I0=$abc$56607$n1350 I1=$abc$56607$n709 I2=$abc$56607$n1347 I3=$false O=$abc$56607$n1349 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$56607$n711 I3=$false O=$abc$56607$n1350 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2244 I2=$false I3=$false O=$abc$56607$n2276 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$56607$n1343 I1=$abc$56607$n678 I2=$abc$56607$n691 I3=$abc$56607$n2273 O=$abc$56607$n2287 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2246 I2=$false I3=$false O=$abc$56607$n2279 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2248 I2=$false I3=$false O=$abc$56607$n2282 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2250 I2=$false I3=$false O=$abc$56607$n2285 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$56607$n726 I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$56607$n727 I3=$false O=$abc$56607$n2291 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$56607$n709 I3=$false O=$abc$56607$n2293 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$56607$n723 I3=$false O=$abc$56607$n2295 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$56607$n711 I3=$false O=$abc$56607$n2297 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$56607$n708 I2=$abc$56607$n706 I3=$false O=$abc$56607$n2299 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.COLS_SHADOW[5] I2=$abc$56607$n697 I3=$false O=$abc$56607$n2301 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$56607$n692 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$56607$n693 I3=$false O=$abc$56607$n2303 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$56607$n685 I2=$abc$56607$n679 I3=$false O=$abc$56607$n2305 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n904 I2=$abc$56607$n932 I3=$abc$56607$n920 O=$abc$56607$n2433 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][0] I2=$abc$56607$n921 I3=$false O=$abc$56607$n2436 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][1] I2=$abc$56607$n1367 I3=$false O=$abc$56607$n2439 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1367 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][2] I2=$abc$56607$n1369 I3=$false O=$abc$56607$n2442 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$56607$n1369 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][3] I2=$abc$56607$n1371 I3=$false O=$abc$56607$n2445 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1371 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[4] I2=$abc$32112$n1167 I3=$false O=$abc$32112$n2077 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][4] I2=$abc$56607$n1373 I3=$false O=$abc$56607$n2448 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n61 I2=$abc$32112$n63 I3=$false O=$abc$32112$n1167 +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$56607$n1373 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[5] I2=$abc$32112$n601_1 I3=$false O=$abc$32112$n2080 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][5] I2=$abc$56607$n1375 I3=$false O=$abc$56607$n2451 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[6] I2=$abc$32112$n593 I3=$false O=$abc$32112$n2083 +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1375 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[7] I2=$abc$32112$n568 I3=$false O=$abc$32112$n2086 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1377 I3=$false O=$abc$56607$n2454 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n60 I2=$false I3=$false O=$abc$32112$n2087 +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$56607$n1377 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][7] I2=$abc$56607$n918 I3=$false O=$abc$56607$n2457 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$56607$n2458 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n61 I2=$false I3=$false O=$abc$32112$n2088 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$56607$n2459 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n63 I2=$false I3=$false O=$abc$32112$n2089 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$56607$n2460 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n64 I2=$false I3=$false O=$abc$32112$n2090 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$56607$n2461 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n66 I2=$false I3=$false O=$abc$32112$n2091 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$56607$n2462 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n67 I2=$false I3=$false O=$abc$32112$n2092 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$56607$n2463 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n671 I2=$false I3=$false O=$abc$32112$n2093 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$56607$n2464 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$56607$n2465 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n2471 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$56607$n2472 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$56607$n2474 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001011 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$56607$n2476 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111110110000 +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$56607$n2477 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$56607$n2478 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=$abc$56607$n1003 I1=$abc$56607$n1632 I2=$false I3=$false O=$abc$56607$n2479 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$56607$n1009 I1=$abc$56607$n1636 I2=$false I3=$false O=$abc$56607$n2482 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$false I3=$false O=$abc$56607$n2534 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$56607$n2671 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n70 I2=$false I3=$false O=$abc$32112$n2094 +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$56607$n2678 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001011111000000 +.gate SB_LUT4 I0=ring_wr[3] I1=$abc$56607$n1242 I2=$false I3=$false O=$abc$56607$n2680 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$56607$n2681 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110100000000000 +.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$56607$n2691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n882 I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I3=$abc$32112$n1180 O=$abc$32112$n2227 +.gate SB_LUT4 I0=$abc$56607$n2231 I1=$abc$56607$n2237 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$56607$n2701 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000001 +.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2231 O=$abc$56607$n2702 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$56607$n2231 I1=$abc$56607$n2237 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$56607$n2703 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2231 O=$abc$56607$n2704 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n939 I1=I2C.is_read I2=$abc$32112$n637 I3=$abc$32112$n2178 O=$abc$32112$n1180 +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2237 O=$abc$56607$n2705 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$32112$n1182 I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] O=$abc$32112$n2231 +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$56607$n2231 I3=$abc$56607$n2237 O=$abc$56607$n2706 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I1=$abc$32112$n1180 I2=$false I3=$false O=$abc$32112$n1182 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$abc$32112$n1182 O=$abc$32112$n2235 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2237 O=$abc$56607$n2707 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n1180 I1=$abc$32112$n1185 I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I3=$false O=$abc$32112$n2237 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n1185 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n1185 I2=$abc$32112$n1180 I3=$false O=$abc$32112$n2241 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[1] I2=$abc$32112$n1182 I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] O=$abc$32112$n2244 +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$56607$n2231 I3=$abc$56607$n2237 O=$abc$56607$n2708 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n882 I2=$abc$32112$n1182 I3=$false O=$abc$32112$n2246 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$32112$n1 +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$56607$n5 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$32112$n7 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$56607$n7 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n10 I1=$false I2=$false I3=$false O=COM_DSR +.gate SB_LUT4 I0=$abc$56607$n8 I1=$false I2=$false I3=$false O=COM_DSR .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n12 I1=$false I2=$false I3=$false O=COM_TX +.gate SB_LUT4 I0=$abc$56607$n10 I1=$false I2=$false I3=$false O=COM_TX .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$32112$n21 +.gate SB_LUT4 I0=$abc$56607$n22 I1=$false I2=$false I3=$false O=$abc$56607$n23 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n23 I1=$false I2=$false I3=$false O=$abc$32112$n22 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$56607$n25 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$0\KBD_FREEZE[0:0] +.gate SB_LUT4 I0=$abc$56607$n27 I1=$false I2=$false I3=$false O=$abc$56607$n26 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$abc$32112$n994 +.gate SB_LUT4 I0=$abc$56607$n28 I1=$false I2=$false I3=$false O=$abc$56607$n29 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$abc$32112$n1009 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$56607$n31 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$abc$32112$n1011 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$56607$n35 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$abc$32112$n1014 +.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$56607$n236 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$abc$32112$n1015 +.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$56607$n237 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$abc$32112$n1017 +.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$56607$n239 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$abc$32112$n1018 +.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$56607$n240 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$56607$n243 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n2139 I1=$false I2=$false I3=$false O=$abc$32112$n1825 +.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$56607$n246 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$false I2=$false I3=$false O=$abc$32112$n2003 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$56607$n266 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1384 I1=$false I2=$false I3=$false O=$abc$32112$n2095 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$56607$n269 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1821 I1=$false I2=$false I3=$false O=$abc$32112$n2096 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$56607$n270 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$32112$n2100 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$56607$n273 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n2106 I1=$false I2=$false I3=$false O=$abc$32112$n2105 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$56607$n274 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$32112$n2130 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$56607$n276 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$32112$n2131 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$56607$n277 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$32112$n2132 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$56607$n279 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$32112$n2133 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$56607$n280 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$32112$n2134 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$56607$n282 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$32112$n2135 +.gate SB_LUT4 I0=$abc$56607$n1490 I1=$false I2=$false I3=$false O=$abc$56607$n1459 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$32112$n2136 +.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$56607$n1955 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1819 I1=$false I2=$false I3=$false O=$abc$32112$n2137 +.gate SB_LUT4 I0=$abc$56607$n2617 I1=$false I2=$false I3=$false O=$abc$56607$n2051 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1823 I1=$false I2=$false I3=$false O=$abc$32112$n2138 +.gate SB_LUT4 I0=$abc$56607$n2518 I1=$false I2=$false I3=$false O=$abc$56607$n2517 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n2107 I1=$false I2=$false I3=$false O=$abc$32112$n2140 +.gate SB_LUT4 I0=$abc$56607$n1521 I1=$false I2=$false I3=$false O=$abc$56607$n2528 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n2141 I1=$false I2=$false I3=$false O=$abc$32112$n2142 +.gate SB_LUT4 I0=$abc$56607$n2047 I1=$false I2=$false I3=$false O=$abc$56607$n2530 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n2143 I1=$false I2=$false I3=$false O=$abc$32112$n2144 +.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$56607$n2536 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1386 I1=$false I2=$false I3=$false O=$abc$32112$n2145 +.gate SB_LUT4 I0=$abc$56607$n2603 I1=$false I2=$false I3=$false O=$abc$56607$n2604 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1387 I1=$false I2=$false I3=$false O=$abc$32112$n2146 +.gate SB_LUT4 I0=$abc$56607$n2605 I1=$false I2=$false I3=$false O=$abc$56607$n2606 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1389 I1=$false I2=$false I3=$false O=$abc$32112$n2147 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$56607$n2607 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1390 I1=$false I2=$false I3=$false O=$abc$32112$n2148 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$56607$n2608 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1392 I1=$false I2=$false I3=$false O=$abc$32112$n2149 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$56607$n2609 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n1393 I1=$false I2=$false I3=$false O=$abc$32112$n2150 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$56607$n2610 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$32112$n584 I1=$abc$32112$n609 I2=$abc$32112$n569 I3=$abc$32112$n617 O=$abc$32112$n69 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110000011111111 -.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$false I3=$false O=$abc$32112$n74 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$32112$n682 I1=$abc$32112$n1293 I2=$abc$32112$n627 I3=$false O=$abc$32112$n501 +.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$56607$n2611 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$56607$n2612 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$56607$n2613 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n2045 I1=$false I2=$false I3=$false O=$abc$56607$n2615 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n2049 I1=$false I2=$false I3=$false O=$abc$56607$n2616 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$56607$n2618 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$56607$n2620 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n2519 I1=$false I2=$false I3=$false O=$abc$56607$n2621 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n1524 I1=$false I2=$false I3=$false O=$abc$56607$n2623 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n1527 I1=$false I2=$false I3=$false O=$abc$56607$n2624 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n1529 I1=$false I2=$false I3=$false O=$abc$56607$n2625 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n1530 I1=$false I2=$false I3=$false O=$abc$56607$n2626 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n1523 I1=$false I2=$false I3=$false O=$abc$56607$n2627 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n1526 I1=$false I2=$false I3=$false O=$abc$56607$n2628 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$56607$n770 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1007 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$abc$32112$n10 I3=$false O=$abc$32112$n1252_1 +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$56607$n21 I1=report_data_radr[0] I2=$abc$56607$n742 I3=$false O=$abc$56607$n1465 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$32112$n1328 I1=$abc$32112$n739 I2=$abc$32112$n720_1 I3=$false O=$abc$32112$n614 +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$56607$n932 I1=$abc$56607$n934 I2=$abc$56607$n1494 I3=$false O=$abc$56607$n1475 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$abc$32112$n723 I3=$false O=$abc$32112$n1254 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n944 I2=$abc$56607$n927 I3=$false O=$abc$56607$n1477 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$32112$n768 I1=$abc$32112$n770_1 I2=$abc$32112$n720_1 I3=$false O=$abc$32112$n1255 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[3] I2=$abc$56607$n1077 I3=$false O=$abc$56607$n1478 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n788 I1=$abc$32112$n770_1 I2=$false I3=$false O=$abc$32112$n1257_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$32112$n770_1 I1=$abc$32112$n788 I2=$abc$32112$n1278_1 I3=$false O=$abc$32112$n1259 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n596 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n743 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n569 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n779 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n585 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n800 +.gate SB_LUT4 I0=$abc$56607$n1478 I1=$abc$56607$n1095 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$56607$n1479 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n598 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n822 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$32112$n949 I1=$abc$32112$n947 I2=$abc$32112$n19 I3=$false O=$abc$32112$n1502 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n960 I2=$abc$32112$n19 I3=$false O=$abc$32112$n1514 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=KEYBOARD.report[1][2] I2=$abc$56607$n1124 I3=$false O=$abc$56607$n1482 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$32112$n646 I1=$abc$32112$n674 I2=I2C_INPUT_DATA[3][1] I3=$false O=$abc$32112$n1270 +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=KEYBOARD.report[1][3] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1483_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=KEYBOARD.report[1][4] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1484_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1485 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$56607$n1276 I2=$false I3=$false O=$abc$56607$n1487 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2617 I2=$abc$56607$n361 I3=$abc$56607$n1293 O=$abc$56607$n2071 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011101111110000 +.gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$56607$n723 I3=$false O=$abc$56607$n1490_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$32112$n967 I1=$abc$32112$n972_1 I2=I2C_OUTPUT_TYPE[0] I3=$abc$32112$n977_1 O=$abc$32112$n1271_1 +.param LUT_INIT 10011111 +.gate SB_LUT4 I0=$abc$56607$n709 I1=$abc$56607$n1343 I2=$abc$56607$n1347 I3=$abc$56607$n1535_1 O=$abc$56607$n2271 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100111100001010 -.gate SB_LUT4 I0=$abc$32112$n1022_1 I1=$abc$32112$n2175 I2=$abc$32112$n2168 I3=$false O=$abc$32112$n1272_1 +.param LUT_INIT 0000000011110001 +.gate SB_LUT4 I0=$abc$56607$n708 I1=KEYBOARD.COLS_SHADOW[4] I2=$abc$56607$n706 I3=$false O=$abc$56607$n1492_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$32112$n1101 I1=$abc$32112$n879 I2=$abc$32112$n871 I3=$false O=$abc$32112$n1273 +.param LUT_INIT 10011111 +.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n912 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1494 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n879 I2=$abc$32112$n871 I3=$false O=$abc$32112$n1274_1 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$56607$n27 I1=I2C.FLT_SCL.RESET I2=$abc$56607$n912 I3=$false O=$abc$56607$n1495 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$32112$n1128 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$false O=$abc$32112$n1275_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000001 -.gate SB_LUT4 I0=$abc$32112$n1277_1 I1=$abc$32112$n1153 I2=$abc$32112$n691 I3=$false O=$abc$32112$n1865 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$32112$n1149 I1=$abc$32112$n1153 I2=$abc$32112$n1151 I3=$abc$32112$n506 O=$abc$32112$n1277_1 +.gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][0] I2=$false I3=$false O=$abc$56607$n1497 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$abc$56607$n742 I3=$abc$56607$n747 O=$abc$56607$n1498 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=$abc$32112$n739 I1=$abc$32112$n771 I2=$abc$32112$n768 I3=$false O=$abc$32112$n1278_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=KEYBOARD.last_data[9] I1=KEYBOARD.last_data[1] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1279 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.last_data[13] I1=KEYBOARD.last_data[5] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1280_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n1280_1 I1=$abc$32112$n1279 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1281_1 +.param LUT_INIT 1001111111111111 +.gate SB_LUT4 I0=$abc$56607$n751 I1=$abc$56607$n2569 I2=$abc$56607$n747 I3=$false O=$abc$56607$n1499 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.last_data[11] I1=KEYBOARD.last_data[3] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1282 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.last_data[15] I1=KEYBOARD.last_data[7] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1283 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n1283 I1=$abc$32112$n1282 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1284 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.last_data[10] I1=KEYBOARD.last_data[2] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1285_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.last_data[14] I1=KEYBOARD.last_data[6] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1286_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n1286_1 I1=$abc$32112$n1285_1 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1287 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.last_data[8] I1=KEYBOARD.last_data[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1288_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.last_data[12] I1=KEYBOARD.last_data[4] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1289_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$32112$n1289_1 I1=$abc$32112$n1288_1 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1290 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=$abc$32112$n645 I1=$abc$32112$n669 I2=I2C_INPUT_LEN[2] I3=I2C_INPUT_LEN[3] O=$abc$32112$n1291_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101011000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n1291_1 I3=$abc$32112$n667 O=$abc$32112$n1292_1 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$56607$n19 I1=$abc$56607$n1499 I2=I2C.is_read I3=$abc$56607$n742 O=$abc$56607$n1500 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111111111111 -.gate SB_LUT4 I0=$abc$32112$n675 I1=$abc$32112$n1292_1 I2=$abc$32112$n631_1 I3=$false O=$abc$32112$n1293 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=$abc$56607$n1500 I1=$abc$56607$n1498 I2=$abc$56607$n667 I3=$false O=$abc$56607$n1501_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=KEYBOARD.report[19] I1=KEYBOARD.report[22] I2=$abc$32112$n69 I3=$abc$32112$n738 O=$abc$32112$n1294_1 +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$56607$n815_1 I1=$abc$56607$n806 I2=$abc$56607$n807 I3=$abc$56607$n22 O=$abc$56607$n1502_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000110000010 -.gate SB_LUT4 I0=KEYBOARD.report[27] I1=$abc$32112$n64 I2=KEYBOARD.report[26] I3=$abc$32112$n63 O=$abc$32112$n1297 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$56607$n804 I1=$abc$56607$n754 I2=$abc$56607$n1502_1 I3=I2C.is_read O=$abc$56607$n1503 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=$abc$32112$n69 I1=KEYBOARD.report[30] I2=KEYBOARD.report[28] I3=$abc$32112$n66 O=$abc$32112$n1298 +.param LUT_INIT 0011001100001011 +.gate SB_LUT4 I0=$abc$56607$n816_1 I1=$abc$56607$n805 I2=$abc$56607$n810 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1504 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=KEYBOARD.report[25] I1=$abc$32112$n61 I2=$abc$32112$n1298 I3=$abc$32112$n1297 O=$abc$32112$n1299_1 +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$56607$n1503 I1=$abc$56607$n1504 I2=$abc$56607$n769 I3=$false O=$abc$56607$n1113 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$56607$n1513 I2=$abc$56607$n863 I3=$abc$56607$n988 O=$abc$56607$n1509 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$32112$n746 I1=$abc$32112$n1299_1 I2=$abc$32112$n754 I3=$abc$32112$n720_1 O=$abc$32112$n631 +.param LUT_INIT 0100000011111111 +.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n848 I2=$abc$56607$n778 I3=$abc$56607$n1509 O=$abc$56607$n1211 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=KEYBOARD.report[34] I1=$abc$32112$n63 I2=KEYBOARD.report[35] I3=$abc$32112$n64 O=$abc$32112$n1301 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n855 I1=$abc$56607$n861 I2=$abc$56607$n853 I3=i2c_input_data_type[0] O=$abc$56607$n1511_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.report[45] I1=KEYBOARD.report[47] I2=$abc$32112$n70 I3=$abc$32112$n778 O=$abc$32112$n1305_1 +.param LUT_INIT 0111011100001111 +.gate SB_LUT4 I0=$abc$56607$n815_1 I1=$abc$56607$n857 I2=$abc$56607$n2577 I3=$abc$56607$n860 O=$abc$56607$n1512_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000110000010 -.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n720_1 I2=$abc$32112$n1335 I3=$abc$32112$n1278_1 O=$abc$32112$n678 +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$56607$n809 I1=$abc$56607$n1511_1 I2=$abc$56607$n856 I3=$abc$56607$n1512_1 O=$abc$56607$n1513 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[57] I1=$abc$32112$n61 I2=KEYBOARD.report[59] I3=$abc$32112$n64 O=$abc$32112$n1308_1 +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[1][7] I2=KEYBOARD.report[1][6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$56607$n1514 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.report[60] I1=$abc$32112$n66 I2=KEYBOARD.report[61] I3=$abc$32112$n778 O=$abc$32112$n1309_1 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[1][3] O=$abc$56607$n1515_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100110010000 -.gate SB_LUT4 I0=$abc$32112$n1309_1 I1=$abc$32112$n808 I2=$abc$32112$n1308_1 I3=$abc$32112$n1254 O=$abc$32112$n1310 +.param LUT_INIT 1011000000001011 +.gate SB_LUT4 I0=$abc$56607$n1515_1 I1=$abc$56607$n1514 I2=$abc$56607$n985_1 I3=$abc$56607$n952 O=$abc$56607$n1516 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n884 I2=$abc$32112$n879 I3=I2C.byte_counter[6] O=$abc$32112$n1311 +.gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][0] I2=KEYBOARD.report[6][0] I3=$abc$56607$n1121 O=$abc$56607$n1517 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[7] I3=$abc$32112$n1311 O=$abc$32112$n1312 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1517 I1=$abc$56607$n1111 I2=$abc$56607$n1117 I3=$false O=$abc$56607$n1518 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$56607$n1120 I2=$abc$56607$n1518 I3=$abc$56607$n1129 O=$abc$56607$n1519 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111011111111 -.gate SB_LUT4 I0=$abc$32112$n999 I1=$abc$32112$n995 I2=$abc$32112$n693_1 I3=$abc$32112$n1051 O=$abc$32112$n1313_1 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$56607$n1124 I1=KEYBOARD.report[0][0] I2=$abc$56607$n1497 I3=$abc$56607$n1129 O=$abc$56607$n1520_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=$abc$32112$n1091 I1=$abc$32112$n1313_1 I2=$abc$32112$n1087 I3=$abc$32112$n1053 O=$abc$32112$n1314_1 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$56607$n1520_1 I1=$abc$56607$n1519 I2=$abc$56607$n1116 I3=$false O=$3\report_data_wr[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=kbd_report[5][3] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1522 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001100001010 -.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1096 I2=$false I3=$false O=$abc$32112$n1315 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$32112$n1086 I1=$abc$32112$n1314_1 I2=$abc$32112$n1315 I3=$abc$32112$n506 O=$abc$32112$n1316_1 +.param LUT_INIT 0011010111111111 +.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][3] I2=KEYBOARD.report[6][3] I3=$abc$56607$n1121 O=$abc$56607$n1523_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010111011 -.gate SB_LUT4 I0=$abc$32112$n1096 I1=$abc$32112$n1316_1 I2=$abc$32112$n1093_1 I3=$false O=$abc$32112$n1317_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$32112$n2139 I1=$abc$32112$n1054 I2=$abc$32112$n1117 I3=$false O=$abc$32112$n1318 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=$abc$32112$n1093_1 I1=I2C.is_ack I2=$abc$32112$n1108 I3=$abc$32112$n689 O=$abc$32112$n1319_1 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1116 I1=$abc$56607$n1523_1 I2=$abc$56607$n1522 I3=$abc$56607$n1163 O=$abc$56607$n1524_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=$abc$32112$n1117 I1=$abc$32112$n1319_1 I2=$abc$32112$n691 I3=$abc$32112$n2139 O=$abc$32112$n1320_1 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$56607$n1124 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1201 I3=$abc$56607$n1129 O=$abc$56607$n1525 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1531 I3=$abc$56607$n1129 O=$abc$56607$n1526_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110010101111 -.gate SB_LUT4 I0=$abc$32112$n1318 I1=$abc$32112$n1320_1 I2=$abc$32112$n506 I3=$false O=$abc$32112$n1845 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$56607$n1526_1 I1=$abc$56607$n1525 I2=$abc$56607$n1116 I3=$false O=$3\report_data_wr[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$32112$n907 I1=$abc$32112$n873 I2=$abc$32112$n899 I3=$abc$32112$n924 O=$abc$32112$n1322_1 +.gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=kbd_report[5][6] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1528 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$32112$n1322_1 I1=$abc$32112$n875 I2=$abc$32112$n892_1 I3=$abc$32112$n914_1 O=$abc$32112$n1323_1 +.param LUT_INIT 0011010111111111 +.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][6] I2=KEYBOARD.report[6][6] I3=$abc$56607$n1121 O=$abc$56607$n1529_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[3][6] I3=$abc$56607$n1118 O=$abc$56607$n1530_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111111111111111 -.gate SB_LUT4 I0=$abc$32112$n19 I1=$abc$32112$n1323_1 I2=$abc$32112$n923 I3=$false O=$abc$32112$n1511 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$56607$n1528 I1=$abc$56607$n1529_1 I2=$abc$56607$n1530_1 I3=$false O=$abc$56607$n1531 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=KEYBOARD.report[17] I1=$abc$32112$n61 I2=KEYBOARD.report[18] I3=$abc$32112$n63 O=$abc$32112$n1325 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][7] I2=$abc$56607$n1209 I3=$abc$56607$n1129 O=$abc$56607$n1533 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.report[21] I1=$abc$32112$n67 I2=KEYBOARD.report[23] I3=$abc$32112$n70 O=$abc$32112$n1326 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[0] I1=$abc$56607$n726 I2=$abc$56607$n727 I3=$false O=$abc$56607$n1535_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$56607$n22 I1=I2C.byte_counter[1] I2=$abc$56607$n810 I3=$false O=$abc$56607$n1536_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$56607$n841 I1=$abc$56607$n809 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n815_1 O=$abc$56607$n1537 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$32112$n732_1 I1=$abc$32112$n1326 I2=$abc$32112$n1325 I3=$abc$32112$n1294_1 O=$abc$32112$n1327_1 +.param LUT_INIT 1111000010111011 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$56607$n832 I2=$abc$56607$n807 I3=$abc$56607$n736 O=$abc$56607$n1540 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$32112$n1327_1 I1=KEYBOARD.report[16] I2=$abc$32112$n60 I3=$abc$32112$n1254 O=$abc$32112$n1328 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$56607$n1540 I1=$abc$56607$n825 I2=$abc$56607$n822 I3=I2C.byte_counter[0] O=$abc$56607$n1541_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=KEYBOARD.report[32] I1=$abc$32112$n60 I2=KEYBOARD.report[33] I3=$abc$32112$n61 O=$abc$32112$n1329 +.param LUT_INIT 1000100000001111 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$56607$n1541_1 I2=$abc$56607$n833 I3=$abc$56607$n754 O=$abc$56607$n1542 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.report[36] I1=$abc$32112$n66 I2=KEYBOARD.report[38] I3=$abc$32112$n69 O=$abc$32112$n1330_1 +.param LUT_INIT 1011000011111111 +.gate SB_LUT4 I0=$abc$56607$n834 I1=$abc$56607$n809 I2=$abc$56607$n1537 I3=I2C.byte_counter[0] O=$abc$56607$n1543 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$32112$n1329 I1=$abc$32112$n1330_1 I2=$abc$32112$n762 I3=$abc$32112$n1301 O=$abc$32112$n1331_1 +.param LUT_INIT 1011101111110000 +.gate SB_LUT4 I0=$abc$56607$n1536_1 I1=$abc$56607$n1543 I2=$abc$56607$n816_1 I3=$abc$56607$n1542 O=$abc$56607$n1544_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111111111111111 -.gate SB_LUT4 I0=$abc$32112$n1254 I1=$abc$32112$n1331_1 I2=$abc$32112$n1255 I3=$false O=$abc$32112$n651 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=KEYBOARD.report[43] I1=$abc$32112$n738 I2=KEYBOARD.report[42] I3=$abc$32112$n63 O=$abc$32112$n1333 +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$56607$n836 I1=$abc$56607$n776 I2=$abc$56607$n819 I3=$abc$56607$n1544_1 O=$abc$56607$n1155 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110000000001110 -.gate SB_LUT4 I0=$abc$32112$n1333 I1=$abc$32112$n777 I2=$abc$32112$n786 I3=$abc$32112$n1305_1 O=$abc$32112$n1334_1 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.report[1][7] I1=$abc$56607$n1126 I2=KEYBOARD.report[0][7] I3=$abc$56607$n1124 O=$abc$56607$n1546 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$32112$n1254 I1=$abc$32112$n60 I2=KEYBOARD.report[40] I3=$abc$32112$n1334_1 O=$abc$32112$n1335 +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$56607$n1129 I1=$abc$56607$n1546 I2=$abc$56607$n1533 I3=$abc$56607$n1116 O=$3\report_data_wr[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101011101010101 -.gate SB_CARRY CI=$abc$32112$n2140 CO=$auto$alumacc.cc:470:replace_alu$4536.C[2] I0=$false I1=$abc$32112$n2106 +.param LUT_INIT 1000100011110000 +.gate SB_CARRY CI=$abc$56607$n2621 CO=$auto$alumacc.cc:470:replace_alu$12026.C[2] I0=$false I1=$abc$56607$n2518 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12026.C[2] CO=$auto$alumacc.cc:470:replace_alu$12026.C[3] I0=$false I1=$abc$56607$n2604 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12026.C[3] CO=$abc$56607$n2229 I0=$false I1=$abc$56607$n2606 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$56607$n2607 CO=$auto$alumacc.cc:470:replace_alu$12031.C[3] I0=$false I1=$abc$56607$n2608 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[3] CO=$auto$alumacc.cc:470:replace_alu$12031.C[4] I0=$false I1=$abc$56607$n2609 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[4] CO=$auto$alumacc.cc:470:replace_alu$12031.C[5] I0=$false I1=$abc$56607$n2610 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[5] CO=$auto$alumacc.cc:470:replace_alu$12031.C[6] I0=$false I1=$abc$56607$n2611 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[6] CO=$auto$alumacc.cc:470:replace_alu$12031.C[7] I0=$false I1=$abc$56607$n2612 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[7] CO=$abc$56607$n2569 I0=$false I1=$abc$56607$n2613 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$56607$n282 CO=$auto$alumacc.cc:470:replace_alu$12036.C[3] I0=$true I1=$abc$56607$n280 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[3] CO=$auto$alumacc.cc:470:replace_alu$12036.C[4] I0=$false I1=$abc$56607$n279 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[4] CO=$auto$alumacc.cc:470:replace_alu$12036.C[5] I0=$false I1=$abc$56607$n277 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[5] CO=$auto$alumacc.cc:470:replace_alu$12036.C[6] I0=$false I1=$abc$56607$n276 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[6] CO=$auto$alumacc.cc:470:replace_alu$12036.C[7] I0=$false I1=$abc$56607$n274 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[7] CO=$abc$56607$n2570 I0=$false I1=$abc$56607$n273 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4536.C[2] CO=$auto$alumacc.cc:470:replace_alu$4536.C[3] I0=$false I1=$abc$32112$n2142 +.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:470:replace_alu$12041.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[5] CO=$auto$alumacc.cc:470:replace_alu$12041.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[6] CO=$auto$alumacc.cc:470:replace_alu$12041.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[7] CO=$abc$56607$n2571 I0=KEYBOARD.kbd_code_hid[7] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:470:replace_alu$12053.C[2] I0=KEYBOARD.kbd_code_hid[1] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[2] CO=$auto$alumacc.cc:470:replace_alu$12053.C[3] I0=KEYBOARD.kbd_code_hid[2] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[3] CO=$auto$alumacc.cc:470:replace_alu$12053.C[4] I0=KEYBOARD.kbd_code_hid[3] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[4] CO=$auto$alumacc.cc:470:replace_alu$12053.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[5] CO=$auto$alumacc.cc:470:replace_alu$12053.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[6] CO=$auto$alumacc.cc:470:replace_alu$12053.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[7] CO=$abc$56607$n2572 I0=KEYBOARD.kbd_code_hid[7] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$56607$n2615 CO=$auto$alumacc.cc:470:replace_alu$12064.C[2] I0=$false I1=$abc$56607$n2530 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12064.C[2] CO=$auto$alumacc.cc:470:replace_alu$12064.C[3] I0=$false I1=$abc$56607$n2616 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12064.C[3] CO=$abc$56607$n1249 I0=$false I1=$abc$56607$n2617 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$56607$n246 CO=$auto$alumacc.cc:470:replace_alu$12069.C[2] I0=$true I1=$abc$56607$n1955 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[2] CO=$auto$alumacc.cc:470:replace_alu$12069.C[3] I0=$false I1=$abc$56607$n243 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[3] CO=$auto$alumacc.cc:470:replace_alu$12069.C[4] I0=$true I1=$abc$56607$n2618 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[4] CO=$auto$alumacc.cc:470:replace_alu$12069.C[5] I0=$false I1=$abc$56607$n240 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[5] CO=$auto$alumacc.cc:470:replace_alu$12069.C[6] I0=$false I1=$abc$56607$n239 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[6] CO=$auto$alumacc.cc:470:replace_alu$12069.C[7] I0=$false I1=$abc$56607$n237 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[7] CO=$abc$56607$n2575 I0=$false I1=$abc$56607$n236 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:470:replace_alu$12080.C[3] I0=init_ram_cnt[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[3] CO=$auto$alumacc.cc:470:replace_alu$12080.C[4] I0=init_ram_cnt[3] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[4] CO=$auto$alumacc.cc:470:replace_alu$12080.C[5] I0=init_ram_cnt[4] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[5] CO=$auto$alumacc.cc:470:replace_alu$12080.C[6] I0=init_ram_cnt[5] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[6] CO=$auto$alumacc.cc:470:replace_alu$12080.C[7] I0=init_ram_cnt[6] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[7] CO=$abc$56607$n22 I0=init_ram_cnt[7] I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$56607$n270 CO=$auto$alumacc.cc:470:replace_alu$12087.C[2] I0=$false I1=$abc$56607$n269 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12087.C[2] CO=$auto$alumacc.cc:470:replace_alu$12087.C[3] I0=$true I1=$abc$56607$n2620 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12087.C[3] CO=$abc$56607$n2577 I0=$false I1=$abc$56607$n266 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$56607$n282 CO=$auto$alumacc.cc:470:replace_alu$12098.C[3] I0=$false I1=$abc$56607$n280 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[3] CO=$auto$alumacc.cc:470:replace_alu$12098.C[4] I0=$false I1=$abc$56607$n279 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[4] CO=$auto$alumacc.cc:470:replace_alu$12098.C[5] I0=$false I1=$abc$56607$n277 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[5] CO=$auto$alumacc.cc:470:replace_alu$12098.C[6] I0=$false I1=$abc$56607$n276 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[6] CO=$auto$alumacc.cc:470:replace_alu$12098.C[7] I0=$false I1=$abc$56607$n274 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[7] CO=$abc$56607$n2580 I0=$false I1=$abc$56607$n273 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n2621 I3=$true O=$abc$56607$n2509 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$56607$n2621 CO=$auto$alumacc.cc:470:replace_alu$12111.C[2] I0=$false I1=$abc$56607$n2518 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n2604 I3=$auto$alumacc.cc:470:replace_alu$12111.C[2] O=$abc$56607$n2495 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$56607$n1894 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12114.C[2] I0=$false I1=wr_cnt[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12114.C[2] O=$abc$56607$n1897 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12114.C[2] CO=$auto$alumacc.cc:470:replace_alu$12114.C[3] I0=$false I1=wr_cnt[2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12114.C[3] O=$abc$56607$n1899 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$56607$n1811 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:470:replace_alu$12117.C[2] I0=$false I1=ring_rd[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:470:replace_alu$12117.C[2] O=$abc$56607$n1817 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12117.C[2] CO=$auto$alumacc.cc:470:replace_alu$12117.C[3] I0=$false I1=ring_rd[2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:470:replace_alu$12117.C[3] O=$abc$56607$n1820 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:180$513_Y[0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:470:replace_alu$12120.C[2] I0=$false I1=report_data_radr[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:470:replace_alu$12120.C[2] O=$abc$56607$n1824 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[2] CO=$auto$alumacc.cc:470:replace_alu$12120.C[3] I0=$false I1=report_data_radr[2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:470:replace_alu$12120.C[3] O=$abc$56607$n1827 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[3] CO=$auto$alumacc.cc:470:replace_alu$12120.C[4] I0=$false I1=report_data_radr[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4536.C[3] CO=$abc$32112$n2002 I0=$false I1=$abc$32112$n2144 +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:470:replace_alu$12120.C[4] O=$abc$56607$n1830 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[4] CO=$auto$alumacc.cc:470:replace_alu$12120.C[5] I0=$false I1=report_data_radr[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$32112$n64 CO=$auto$alumacc.cc:470:replace_alu$4541.C[5] I0=$abc$32112$n66 I1=$true +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:470:replace_alu$12120.C[5] O=$abc$56607$n1833 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[5] CO=$auto$alumacc.cc:470:replace_alu$12120.C[6] I0=$false I1=report_data_radr[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[5] CO=$auto$alumacc.cc:470:replace_alu$4541.C[6] I0=$abc$32112$n67 I1=$false +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:470:replace_alu$12120.C[6] O=$abc$56607$n1836 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[6] CO=$auto$alumacc.cc:470:replace_alu$12120.C[7] I0=$false I1=report_data_radr[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[6] CO=$auto$alumacc.cc:470:replace_alu$4541.C[7] I0=$abc$32112$n69 I1=$false +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:470:replace_alu$12120.C[7] O=$abc$56607$n1839 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[19:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:470:replace_alu$12123.C[10] O=$13\int_tmr[19:0][10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[10] CO=$auto$alumacc.cc:470:replace_alu$12123.C[11] I0=$false I1=int_tmr[10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[7] CO=$abc$32112$n2171 I0=$abc$32112$n70 I1=$false +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:470:replace_alu$12123.C[11] O=$13\int_tmr[19:0][11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[11] CO=$auto$alumacc.cc:470:replace_alu$12123.C[12] I0=$false I1=int_tmr[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$32112$n60 CO=$auto$alumacc.cc:470:replace_alu$4546.C[2] I0=$abc$32112$n61 I1=$false +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:470:replace_alu$12123.C[12] O=$13\int_tmr[19:0][12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[12] CO=$auto$alumacc.cc:470:replace_alu$12123.C[13] I0=$false I1=int_tmr[12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[2] CO=$auto$alumacc.cc:470:replace_alu$4546.C[3] I0=$abc$32112$n63 I1=$false +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:470:replace_alu$12123.C[13] O=$13\int_tmr[19:0][13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[13] CO=$auto$alumacc.cc:470:replace_alu$12123.C[14] I0=$false I1=int_tmr[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[3] CO=$auto$alumacc.cc:470:replace_alu$4546.C[4] I0=$abc$32112$n64 I1=$false +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:470:replace_alu$12123.C[14] O=$13\int_tmr[19:0][14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[14] CO=$auto$alumacc.cc:470:replace_alu$12123.C[15] I0=$false I1=int_tmr[14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[4] CO=$auto$alumacc.cc:470:replace_alu$4546.C[5] I0=$abc$32112$n66 I1=$false +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[15] I3=$auto$alumacc.cc:470:replace_alu$12123.C[15] O=$13\int_tmr[19:0][15] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[15] CO=$auto$alumacc.cc:470:replace_alu$12123.C[16] I0=$false I1=int_tmr[15] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[5] CO=$auto$alumacc.cc:470:replace_alu$4546.C[6] I0=$abc$32112$n67 I1=$true +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[16] I3=$auto$alumacc.cc:470:replace_alu$12123.C[16] O=$13\int_tmr[19:0][16] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[16] CO=$auto$alumacc.cc:470:replace_alu$12123.C[17] I0=$false I1=int_tmr[16] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[6] CO=$auto$alumacc.cc:470:replace_alu$4546.C[7] I0=$abc$32112$n69 I1=$false +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[17] I3=$auto$alumacc.cc:470:replace_alu$12123.C[17] O=$13\int_tmr[19:0][17] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[17] CO=$auto$alumacc.cc:470:replace_alu$12123.C[18] I0=$false I1=int_tmr[17] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[7] CO=$abc$32112$n2172 I0=$abc$32112$n70 I1=$false +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[18] I3=$auto$alumacc.cc:470:replace_alu$12123.C[18] O=$13\int_tmr[19:0][18] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[18] CO=$auto$alumacc.cc:470:replace_alu$12123.C[19] I0=$false I1=int_tmr[18] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$32112$n2137 CO=$auto$alumacc.cc:470:replace_alu$4557.C[2] I0=$false I1=$abc$32112$n2096 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[19] I3=$auto$alumacc.cc:470:replace_alu$12123.C[19] O=$abc$56607$n1733 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:470:replace_alu$12123.C[2] I0=$false I1=int_tmr[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4557.C[2] CO=$auto$alumacc.cc:470:replace_alu$4557.C[3] I0=$false I1=$abc$32112$n2138 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:470:replace_alu$12123.C[2] O=$13\int_tmr[19:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[2] CO=$auto$alumacc.cc:470:replace_alu$12123.C[3] I0=$false I1=int_tmr[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4557.C[3] CO=$abc$32112$n506 I0=$false I1=$abc$32112$n2139 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:470:replace_alu$12123.C[3] O=$13\int_tmr[19:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[3] CO=$auto$alumacc.cc:470:replace_alu$12123.C[4] I0=$false I1=int_tmr[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$32112$n1011 CO=$auto$alumacc.cc:470:replace_alu$4562.C[2] I0=$true I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:470:replace_alu$12123.C[4] O=$13\int_tmr[19:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[4] CO=$auto$alumacc.cc:470:replace_alu$12123.C[5] I0=$false I1=int_tmr[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[2] CO=$auto$alumacc.cc:470:replace_alu$4562.C[3] I0=$false I1=$abc$32112$n994 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:470:replace_alu$12123.C[5] O=$13\int_tmr[19:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[5] CO=$auto$alumacc.cc:470:replace_alu$12123.C[6] I0=$false I1=int_tmr[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[3] CO=$auto$alumacc.cc:470:replace_alu$4562.C[4] I0=$false I1=$abc$32112$n1009 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:470:replace_alu$12123.C[6] O=$13\int_tmr[19:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[6] CO=$auto$alumacc.cc:470:replace_alu$12123.C[7] I0=$false I1=int_tmr[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[4] CO=$auto$alumacc.cc:470:replace_alu$4562.C[5] I0=$false I1=$abc$32112$n1018 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:470:replace_alu$12123.C[7] O=$13\int_tmr[19:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[7] CO=$auto$alumacc.cc:470:replace_alu$12123.C[8] I0=$false I1=int_tmr[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[5] CO=$auto$alumacc.cc:470:replace_alu$4562.C[6] I0=$false I1=$abc$32112$n1017 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:470:replace_alu$12123.C[8] O=$13\int_tmr[19:0][8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[8] CO=$auto$alumacc.cc:470:replace_alu$12123.C[9] I0=$false I1=int_tmr[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[6] CO=$auto$alumacc.cc:470:replace_alu$4562.C[7] I0=$false I1=$abc$32112$n1015 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:470:replace_alu$12123.C[9] O=$13\int_tmr[19:0][9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[9] CO=$auto$alumacc.cc:470:replace_alu$12123.C[10] I0=$false I1=int_tmr[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[7] CO=$abc$32112$n2175 I0=$false I1=$abc$32112$n1014 +.gate SB_LUT4 I0=$false I1=$abc$56607$n35 I2=rststate[0] I3=$false O=$abc$56607$n1977 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$12126.C[1] I0=$abc$56607$n35 I1=rststate[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$32112$n1011 CO=$auto$alumacc.cc:470:replace_alu$4573.C[2] I0=$false I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$12126.C[1] O=$abc$56607$n1979 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12126.C[1] CO=$auto$alumacc.cc:470:replace_alu$12126.C[2] I0=$false I1=rststate[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[2] CO=$auto$alumacc.cc:470:replace_alu$4573.C[3] I0=$true I1=$abc$32112$n994 +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$12126.C[2] O=$abc$56607$n1981 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12126.C[2] CO=$auto$alumacc.cc:470:replace_alu$12126.C[3] I0=$false I1=rststate[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[3] CO=$auto$alumacc.cc:470:replace_alu$4573.C[4] I0=$true I1=$abc$32112$n1009 +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$12126.C[3] O=$abc$56607$n1983 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12129.C[2] I0=$false I1=init_ram_cnt[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[4] CO=$auto$alumacc.cc:470:replace_alu$4573.C[5] I0=$false I1=$abc$32112$n1018 +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12129.C[2] O=$2\init_ram_cnt[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[2] CO=$auto$alumacc.cc:470:replace_alu$12129.C[3] I0=$false I1=init_ram_cnt[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[5] CO=$auto$alumacc.cc:470:replace_alu$4573.C[6] I0=$false I1=$abc$32112$n1017 +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12129.C[3] O=$2\init_ram_cnt[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[3] CO=$auto$alumacc.cc:470:replace_alu$12129.C[4] I0=$false I1=init_ram_cnt[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[6] CO=$auto$alumacc.cc:470:replace_alu$4573.C[7] I0=$false I1=$abc$32112$n1015 +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:470:replace_alu$12129.C[4] O=$2\init_ram_cnt[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[4] CO=$auto$alumacc.cc:470:replace_alu$12129.C[5] I0=$false I1=init_ram_cnt[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[7] CO=$abc$32112$n2178 I0=$false I1=$abc$32112$n1014 +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:470:replace_alu$12129.C[5] O=$2\init_ram_cnt[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[5] CO=$auto$alumacc.cc:470:replace_alu$12129.C[6] I0=$false I1=init_ram_cnt[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$32112$n994 CO=$auto$alumacc.cc:470:replace_alu$4584.C[4] I0=$true I1=$abc$32112$n1009 +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:470:replace_alu$12129.C[6] O=$2\init_ram_cnt[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[6] CO=$auto$alumacc.cc:470:replace_alu$12129.C[7] I0=$false I1=init_ram_cnt[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[4] CO=$auto$alumacc.cc:470:replace_alu$4584.C[5] I0=$false I1=$abc$32112$n1018 +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:470:replace_alu$12129.C[7] O=$2\init_ram_cnt[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$56607$n1902 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:470:replace_alu$12132.C[2] I0=$false I1=ring_wr[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[5] CO=$auto$alumacc.cc:470:replace_alu$4584.C[6] I0=$false I1=$abc$32112$n1017 +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:470:replace_alu$12132.C[2] O=$abc$56607$n1908 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12132.C[2] CO=$auto$alumacc.cc:470:replace_alu$12132.C[3] I0=$false I1=ring_wr[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[6] CO=$auto$alumacc.cc:470:replace_alu$4584.C[7] I0=$false I1=$abc$32112$n1015 +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:470:replace_alu$12132.C[3] O=$abc$56607$n1911 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12132.C[3] CO=$auto$alumacc.cc:470:replace_alu$12132.C[4] I0=$false I1=ring_wr[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[7] CO=$abc$32112$n2168 I0=$false I1=$abc$32112$n1014 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:470:replace_alu$12132.C[4] O=$abc$56607$n49 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$56607$n321 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$56607$n305 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12138.C[2] I0=wr_cnt[1] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$32112$n2130 CO=$auto$alumacc.cc:470:replace_alu$4591.C[3] I0=$false I1=$abc$32112$n2131 +.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12138.C[2] O=$abc$56607$n312 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12141.C[2] I0=I2C.byte_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[3] CO=$auto$alumacc.cc:470:replace_alu$4591.C[4] I0=$false I1=$abc$32112$n2132 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[2] O=$2\I2C_INPUT_LEN[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[2] CO=$auto$alumacc.cc:470:replace_alu$12141.C[3] I0=I2C.byte_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[4] CO=$auto$alumacc.cc:470:replace_alu$4591.C[5] I0=$false I1=$abc$32112$n2133 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[3] O=$2\I2C_INPUT_LEN[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[3] CO=$auto$alumacc.cc:470:replace_alu$12141.C[4] I0=I2C.byte_counter[3] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[5] CO=$auto$alumacc.cc:470:replace_alu$4591.C[6] I0=$false I1=$abc$32112$n2134 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[4] O=$2\I2C_INPUT_LEN[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[4] CO=$auto$alumacc.cc:470:replace_alu$12141.C[5] I0=I2C.byte_counter[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[6] CO=$auto$alumacc.cc:470:replace_alu$4591.C[7] I0=$false I1=$abc$32112$n2135 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[5] O=$2\I2C_INPUT_LEN[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[5] CO=$auto$alumacc.cc:470:replace_alu$12141.C[6] I0=I2C.byte_counter[5] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[7] CO=$abc$32112$n2169 I0=$false I1=$abc$32112$n2136 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[6] O=$2\I2C_INPUT_LEN[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[6] CO=$auto$alumacc.cc:470:replace_alu$12141.C[7] I0=I2C.byte_counter[6] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$0\KBD_FREEZE[0:0] I2=rststate[0] I3=$false O=$abc$32112$n1730 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[7] O=$2\I2C_INPUT_LEN[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$56607$n1953 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$4596.C[1] I0=$0\KBD_FREEZE[0:0] I1=rststate[0] +.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:470:replace_alu$12144.C[3] I0=init_ram_cnt[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$4596.C[1] O=$abc$32112$n1732 +.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:470:replace_alu$12144.C[3] O=$abc$56607$n1959 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4596.C[1] CO=$auto$alumacc.cc:470:replace_alu$4596.C[2] I0=$false I1=rststate[1] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[3] CO=$auto$alumacc.cc:470:replace_alu$12144.C[4] I0=init_ram_cnt[3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$4596.C[2] O=$abc$32112$n1734 +.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[4] O=$abc$56607$n1961 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4596.C[2] CO=$auto$alumacc.cc:470:replace_alu$4596.C[3] I0=$false I1=rststate[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[4] CO=$auto$alumacc.cc:470:replace_alu$12144.C[5] I0=init_ram_cnt[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$4596.C[3] O=$abc$32112$n1736 +.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[5] O=$abc$56607$n1963 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$abc$32112$n1706 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[5] CO=$auto$alumacc.cc:470:replace_alu$12144.C[6] I0=init_ram_cnt[5] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[6] O=$abc$56607$n1965 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4599.C[2] I0=I2C.byte_counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[6] CO=$auto$alumacc.cc:470:replace_alu$12144.C[7] I0=init_ram_cnt[6] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[2] O=$abc$32112$n1710 +.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[7] O=$abc$56607$n1967 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[2] CO=$auto$alumacc.cc:470:replace_alu$4599.C[3] I0=I2C.byte_counter[2] I1=$true +.gate SB_CARRY CI=$abc$56607$n2528 CO=$auto$alumacc.cc:470:replace_alu$12147.C[3] I0=$false I1=$abc$56607$n2627 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[3] CO=$auto$alumacc.cc:470:replace_alu$12147.C[4] I0=$false I1=$abc$56607$n2623 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[4] CO=$auto$alumacc.cc:470:replace_alu$12147.C[5] I0=$false I1=$abc$56607$n2628 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[3] O=$abc$32112$n1712 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[5] CO=$auto$alumacc.cc:470:replace_alu$12147.C[6] I0=$false I1=$abc$56607$n2624 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[6] CO=$auto$alumacc.cc:470:replace_alu$12147.C[7] I0=$false I1=$abc$56607$n2625 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[7] CO=$abc$56607$n2583 I0=$false I1=$abc$56607$n2626 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=$abc$56607$n1520 I3=$false O=$abc$56607$n2210 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[3] CO=$auto$alumacc.cc:470:replace_alu$4599.C[4] I0=I2C.byte_counter[3] I1=$true +.gate SB_CARRY CI=$abc$56607$n1520 CO=$auto$alumacc.cc:470:replace_alu$12152.C[2] I0=$false I1=$abc$56607$n1521 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[4] O=$abc$32112$n1714 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1523 I3=$auto$alumacc.cc:470:replace_alu$12152.C[2] O=$abc$56607$n2212 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[4] CO=$auto$alumacc.cc:470:replace_alu$4599.C[5] I0=I2C.byte_counter[4] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[2] CO=$auto$alumacc.cc:470:replace_alu$12152.C[3] I0=$false I1=$abc$56607$n1523 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[5] O=$abc$32112$n1716 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1524 I3=$auto$alumacc.cc:470:replace_alu$12152.C[3] O=$abc$56607$n2213 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[5] CO=$auto$alumacc.cc:470:replace_alu$4599.C[6] I0=I2C.byte_counter[5] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[3] CO=$auto$alumacc.cc:470:replace_alu$12152.C[4] I0=$false I1=$abc$56607$n1524 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[6] O=$abc$32112$n1718 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1526 I3=$auto$alumacc.cc:470:replace_alu$12152.C[4] O=$abc$56607$n2214 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[6] CO=$auto$alumacc.cc:470:replace_alu$4599.C[7] I0=I2C.byte_counter[6] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[4] CO=$auto$alumacc.cc:470:replace_alu$12152.C[5] I0=$false I1=$abc$56607$n1526 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[7] O=$abc$32112$n1720 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1527 I3=$auto$alumacc.cc:470:replace_alu$12152.C[5] O=$abc$56607$n2215 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[5] CO=$auto$alumacc.cc:470:replace_alu$12152.C[6] I0=$false I1=$abc$56607$n1527 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1529 I3=$auto$alumacc.cc:470:replace_alu$12152.C[6] O=$abc$56607$n2216 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[1] CO=$auto$alumacc.cc:470:replace_alu$4602.C[3] I0=I2C.byte_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[6] CO=$auto$alumacc.cc:470:replace_alu$12152.C[7] I0=$false I1=$abc$56607$n1529 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4602.C[3] O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1530 I3=$auto$alumacc.cc:470:replace_alu$12152.C[7] O=$abc$56607$n2217 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$abc$32112$n1628 +.gate SB_LUT4 I0=$false I1=$abc$56607$n2045 I2=$false I3=$true O=$abc$56607$n358 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$32112$n2095 CO=$auto$alumacc.cc:470:replace_alu$4608.C[3] I0=$false I1=$abc$32112$n2145 +.gate SB_CARRY CI=$abc$56607$n2045 CO=$auto$alumacc.cc:470:replace_alu$12155.C[2] I0=$abc$56607$n2047 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[3] CO=$auto$alumacc.cc:470:replace_alu$4608.C[4] I0=$false I1=$abc$32112$n2146 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[4] CO=$auto$alumacc.cc:470:replace_alu$4608.C[5] I0=$false I1=$abc$32112$n2147 +.gate SB_LUT4 I0=$false I1=$abc$56607$n2049 I2=$true I3=$auto$alumacc.cc:470:replace_alu$12155.C[2] O=$abc$56607$n360 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12155.C[2] CO=$auto$alumacc.cc:470:replace_alu$12155.C[3] I0=$abc$56607$n2049 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[5] CO=$auto$alumacc.cc:470:replace_alu$4608.C[6] I0=$false I1=$abc$32112$n2148 +.gate SB_LUT4 I0=$false I1=$abc$56607$n2051 I2=$true I3=$auto$alumacc.cc:470:replace_alu$12155.C[3] O=$abc$56607$n361 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12155.C[3] CO=$abc$56607$n2529 I0=$abc$56607$n2051 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[6] CO=$auto$alumacc.cc:470:replace_alu$4608.C[7] I0=$false I1=$abc$32112$n2149 +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$56607$n2529 O=$abc$56607$n372 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$56607$n1636 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$12158.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[7] CO=$abc$32112$n2170 I0=$false I1=$abc$32112$n2150 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12158.C[2] O=$abc$56607$n1639 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$56607$n1632 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$12161.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=$abc$32112$n1383 I3=$false O=$abc$32112$n1984 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12161.C[2] O=$abc$56607$n1635 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$56607$n2471 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$32112$n1383 CO=$auto$alumacc.cc:470:replace_alu$4613.C[2] I0=$false I1=$abc$32112$n1384 +.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:470:replace_alu$12164.C[7] I0=$false I1=I2C.byte_counter[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1386 I3=$auto$alumacc.cc:470:replace_alu$4613.C[2] O=$abc$32112$n1986 +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:470:replace_alu$12164.C[7] O=$abc$56607$n2477 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$12008[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[2] CO=$auto$alumacc.cc:470:replace_alu$4613.C[3] I0=$false I1=$abc$32112$n1386 +.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12188.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1387 I3=$auto$alumacc.cc:470:replace_alu$4613.C[3] O=$abc$32112$n1987 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12188.C[2] O=$auto$wreduce.cc:347:run$12008[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[3] CO=$auto$alumacc.cc:470:replace_alu$4613.C[4] I0=$false I1=$abc$32112$n1387 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[2] CO=$auto$alumacc.cc:470:replace_alu$12188.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1389 I3=$auto$alumacc.cc:470:replace_alu$4613.C[4] O=$abc$32112$n1988 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12188.C[3] O=$auto$wreduce.cc:347:run$12008[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[4] CO=$auto$alumacc.cc:470:replace_alu$4613.C[5] I0=$false I1=$abc$32112$n1389 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[3] CO=$auto$alumacc.cc:470:replace_alu$12188.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1390 I3=$auto$alumacc.cc:470:replace_alu$4613.C[5] O=$abc$32112$n1989 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:470:replace_alu$12188.C[4] O=$auto$wreduce.cc:347:run$12008[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[5] CO=$auto$alumacc.cc:470:replace_alu$4613.C[6] I0=$false I1=$abc$32112$n1390 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[4] CO=$auto$alumacc.cc:470:replace_alu$12188.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1392 I3=$auto$alumacc.cc:470:replace_alu$4613.C[6] O=$abc$32112$n1990 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:470:replace_alu$12188.C[5] O=$auto$wreduce.cc:347:run$12008[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[6] CO=$auto$alumacc.cc:470:replace_alu$4613.C[7] I0=$false I1=$abc$32112$n1392 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[5] CO=$auto$alumacc.cc:470:replace_alu$12188.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1393 I3=$auto$alumacc.cc:470:replace_alu$4613.C[7] O=$abc$32112$n1991 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:470:replace_alu$12188.C[6] O=$auto$wreduce.cc:347:run$12008[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$32112$n1819 I2=$false I3=$true O=$abc$32112$n1051 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[6] CO=$auto$alumacc.cc:470:replace_alu$12188.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:470:replace_alu$12188.C[7] O=$auto$wreduce.cc:347:run$12008[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$32112$n1819 CO=$auto$alumacc.cc:470:replace_alu$4616.C[2] I0=$abc$32112$n1821 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[7] CO=$auto$alumacc.cc:470:replace_alu$12188.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$32112$n1823 I2=$true I3=$auto$alumacc.cc:470:replace_alu$4616.C[2] O=$abc$32112$n1053 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:470:replace_alu$12188.C[8] O=$auto$wreduce.cc:347:run$12008[8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$56607$n2231 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4616.C[2] CO=$auto$alumacc.cc:470:replace_alu$4616.C[3] I0=$abc$32112$n1823 I1=$true +.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12191.C[2] I0=$false I1=KEYBOARD.row_counter[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$32112$n1825 I2=$true I3=$auto$alumacc.cc:470:replace_alu$4616.C[3] O=$abc$32112$n1054 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:470:replace_alu$12191.C[2] O=$abc$56607$n2237 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4616.C[3] CO=$abc$32112$n2097 I0=$abc$32112$n1825 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12191.C[2] CO=$auto$alumacc.cc:470:replace_alu$12191.C[3] I0=$false I1=KEYBOARD.row_counter[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$32112$n2097 O=$abc$32112$n1065 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:470:replace_alu$12191.C[3] O=$abc$56607$n28 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$12194.C[10] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$32112$n1331 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[10] CO=$auto$alumacc.cc:470:replace_alu$12194.C[11] I0=$false I1=KEYBOARD.row_time[10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$12194.C[11] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$4619.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[11] CO=$auto$alumacc.cc:470:replace_alu$12194.C[12] I0=$false I1=KEYBOARD.row_time[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4619.C[2] O=$abc$32112$n1334 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$12194.C[12] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$32112$n1327 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[12] CO=$auto$alumacc.cc:470:replace_alu$12194.C[13] I0=$false I1=KEYBOARD.row_time[12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$12194.C[13] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$4622.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[13] CO=$auto$alumacc.cc:470:replace_alu$12194.C[14] I0=$false I1=KEYBOARD.row_time[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4622.C[2] O=$abc$32112$n1330 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$12194.C[14] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$auto$wreduce.cc:347:run$4460[0] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[14] CO=$auto$alumacc.cc:470:replace_alu$12194.C[15] I0=$false I1=KEYBOARD.row_time[14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$12194.C[15] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[15] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$4628.C[10] O=$auto$wreduce.cc:347:run$4460[10] +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$12194.C[2] I0=$false I1=KEYBOARD.row_time[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$12194.C[2] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[10] CO=$auto$alumacc.cc:470:replace_alu$4628.C[11] I0=$false I1=KEYBOARD.row_time[10] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[2] CO=$auto$alumacc.cc:470:replace_alu$12194.C[3] I0=$false I1=KEYBOARD.row_time[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$4628.C[11] O=$auto$wreduce.cc:347:run$4460[11] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$12194.C[3] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[11] CO=$auto$alumacc.cc:470:replace_alu$4628.C[12] I0=$false I1=KEYBOARD.row_time[11] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[3] CO=$auto$alumacc.cc:470:replace_alu$12194.C[4] I0=$false I1=KEYBOARD.row_time[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$4628.C[12] O=$auto$wreduce.cc:347:run$4460[12] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$12194.C[4] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[12] CO=$auto$alumacc.cc:470:replace_alu$4628.C[13] I0=$false I1=KEYBOARD.row_time[12] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[4] CO=$auto$alumacc.cc:470:replace_alu$12194.C[5] I0=$false I1=KEYBOARD.row_time[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$4628.C[13] O=$auto$wreduce.cc:347:run$4460[13] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$12194.C[5] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[13] CO=$auto$alumacc.cc:470:replace_alu$4628.C[14] I0=$false I1=KEYBOARD.row_time[13] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[5] CO=$auto$alumacc.cc:470:replace_alu$12194.C[6] I0=$false I1=KEYBOARD.row_time[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$4628.C[14] O=$auto$wreduce.cc:347:run$4460[14] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$12194.C[6] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[14] CO=$auto$alumacc.cc:470:replace_alu$4628.C[15] I0=$false I1=KEYBOARD.row_time[14] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[6] CO=$auto$alumacc.cc:470:replace_alu$12194.C[7] I0=$false I1=KEYBOARD.row_time[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$4628.C[15] O=$auto$wreduce.cc:347:run$4460[15] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$12194.C[7] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$4628.C[2] I0=$false I1=KEYBOARD.row_time[1] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[7] CO=$auto$alumacc.cc:470:replace_alu$12194.C[8] I0=$false I1=KEYBOARD.row_time[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$4628.C[2] O=$auto$wreduce.cc:347:run$4460[2] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$12194.C[8] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[2] CO=$auto$alumacc.cc:470:replace_alu$4628.C[3] I0=$false I1=KEYBOARD.row_time[2] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[8] CO=$auto$alumacc.cc:470:replace_alu$12194.C[9] I0=$false I1=KEYBOARD.row_time[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$4628.C[3] O=$auto$wreduce.cc:347:run$4460[3] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$12194.C[9] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[3] CO=$auto$alumacc.cc:470:replace_alu$4628.C[4] I0=$false I1=KEYBOARD.row_time[3] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[9] CO=$auto$alumacc.cc:470:replace_alu$12194.C[10] I0=$false I1=KEYBOARD.row_time[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$4628.C[4] O=$auto$wreduce.cc:347:run$4460[4] +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$56607$n1541 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[4] CO=$auto$alumacc.cc:470:replace_alu$4628.C[5] I0=$false I1=KEYBOARD.row_time[4] +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12197.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$4628.C[5] O=$auto$wreduce.cc:347:run$4460[5] +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12197.C[2] O=$abc$56607$n1544 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[5] CO=$auto$alumacc.cc:470:replace_alu$4628.C[6] I0=$false I1=KEYBOARD.row_time[5] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12197.C[2] CO=$auto$alumacc.cc:470:replace_alu$12197.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$4628.C[6] O=$auto$wreduce.cc:347:run$4460[6] +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12197.C[3] O=$abc$56607$n1545 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[6] CO=$auto$alumacc.cc:470:replace_alu$4628.C[7] I0=$false I1=KEYBOARD.row_time[6] +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$56607$n2519 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12200.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$4628.C[7] O=$auto$wreduce.cc:347:run$4460[7] +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12200.C[2] O=$abc$56607$n2603 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[7] CO=$auto$alumacc.cc:470:replace_alu$4628.C[8] I0=$false I1=KEYBOARD.row_time[7] +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12200.C[2] CO=$auto$alumacc.cc:470:replace_alu$12200.C[3] I0=UART.tx_bit_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$4628.C[8] O=$auto$wreduce.cc:347:run$4460[8] +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12200.C[3] O=$abc$56607$n2605 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[8] CO=$auto$alumacc.cc:470:replace_alu$4628.C[9] I0=$false I1=KEYBOARD.row_time[8] +.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$12778.C[5] I0=$abc$56607$n2543 I1=$abc$56607$n2545 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$4628.C[9] O=$auto$wreduce.cc:347:run$4460[9] +.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$56607$n2691 I3=$auto$maccmap.cc:240:synth$12778.C[5] O=$abc$56607$n1832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[9] CO=$auto$alumacc.cc:470:replace_alu$4628.C[10] I0=$false I1=KEYBOARD.row_time[9] +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$12778.C[5] CO=$auto$maccmap.cc:240:synth$12778.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$56607$n2691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$32112$n1404 +.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$12778.C[6] O=$abc$56607$n1835 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4640.C[2] I0=UART.tx_clk_counter[1] I1=$true +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$12778.C[6] CO=$auto$maccmap.cc:240:synth$12778.C[7] I0=$10\ring_rd[3:0][3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4640.C[2] O=$abc$32112$n1407 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$12778.C[7] O=$abc$56607$n1838 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4640.C[2] CO=$auto$alumacc.cc:470:replace_alu$4640.C[3] I0=UART.tx_clk_counter[2] I1=$true +.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$13003.C[5] I0=$abc$56607$n2546 I1=$abc$56607$n2548 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4640.C[3] O=$abc$32112$n1408 +.gate SB_LUT4 I0=$false I1=$abc$56607$n2678 I2=$abc$56607$n2680 I3=$auto$maccmap.cc:240:synth$13003.C[5] O=$6\report_data_wadr[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$32112$n2107 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13003.C[5] CO=$auto$maccmap.cc:240:synth$13003.C[6] I0=$abc$56607$n2678 I1=$abc$56607$n2680 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$56607$n2681 I3=$auto$maccmap.cc:240:synth$13003.C[6] O=$6\report_data_wadr[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4643.C[2] I0=UART.tx_bit_counter[1] I1=$true +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13003.C[6] CO=$auto$maccmap.cc:240:synth$13003.C[7] I0=ring_wr[3] I1=$abc$56607$n2681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4643.C[2] O=$abc$32112$n2141 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$13003.C[7] O=$6\report_data_wadr[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4643.C[2] CO=$auto$alumacc.cc:470:replace_alu$4643.C[3] I0=UART.tx_bit_counter[2] I1=$true +.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$13026.C[5] I0=$abc$56607$n2549 I1=$abc$56607$n2551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4643.C[3] O=$abc$32112$n2143 +.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$56607$n2671 I3=$auto$maccmap.cc:240:synth$13026.C[5] O=$abc$56607$n1943 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n2140 I3=$true O=$abc$32112$n1572 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13026.C[5] CO=$auto$maccmap.cc:240:synth$13026.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$56607$n2671 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$13026.C[6] O=$abc$56607$n1946 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$32112$n2140 CO=$auto$alumacc.cc:470:replace_alu$4646.C[2] I0=$false I1=$abc$32112$n2106 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13026.C[6] CO=$auto$maccmap.cc:240:synth$13026.C[7] I0=$2\ring_wr[3:0][3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n2142 I3=$auto$alumacc.cc:470:replace_alu$4646.C[2] O=$abc$32112$n1558 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$13026.C[7] O=$abc$56607$n1949 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n1496 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[0] -.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n1499 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[1] -.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n1502 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[2] -.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n1505 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[3] -.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n1508 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[4] -.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n1511 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[5] -.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1665 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[6] R=$abc$32112$n19 -.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n1514 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[7] -.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$0\KBD_FREEZE[0:0] -.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n5 E=$abc$32112$n396 Q=LED1 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1807 E=$abc$32112$n403 Q=I2C_TX_REPORT[0] R=$abc$32112$n992 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1808 E=$abc$32112$n403 Q=I2C_TX_REPORT[1] R=$abc$32112$n992 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1809 E=$abc$32112$n403 Q=I2C_TX_REPORT[2] R=$abc$32112$n992 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1810 E=$abc$32112$n403 Q=I2C_TX_REPORT[3] R=$abc$32112$n992 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1811 E=$abc$32112$n403 Q=I2C_TX_REPORT[4] R=$abc$32112$n992 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1812 E=$abc$32112$n403 Q=I2C_TX_REPORT[5] R=$abc$32112$n992 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1813 E=$abc$32112$n403 Q=I2C_TX_REPORT[6] R=$abc$32112$n992 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1814 E=$abc$32112$n403 Q=I2C_TX_REPORT[7] R=$abc$32112$n992 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$32112$n405 Q=UART_WR R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n1695 E=$abc$32112$n409 Q=UART_TX_DATA[0] S=$abc$32112$n25 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n1696 E=$abc$32112$n409 Q=UART_TX_DATA[1] S=$abc$32112$n25 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n1697 E=$abc$32112$n409 Q=UART_TX_DATA[2] S=$abc$32112$n25 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n1699 E=$abc$32112$n409 Q=UART_TX_DATA[3] S=$abc$32112$n25 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n1700 E=$abc$32112$n409 Q=UART_TX_DATA[4] S=$abc$32112$n25 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n1702 E=$abc$32112$n409 Q=UART_TX_DATA[5] S=$abc$32112$n25 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n1704 E=$abc$32112$n409 Q=UART_TX_DATA[6] S=$abc$32112$n25 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n1705 E=$abc$32112$n409 Q=UART_TX_DATA[7] S=$abc$32112$n25 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SCL.RESET E=$abc$32112$n419 Q=$abc$32112$n10 -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$32112$n424 Q=I2C_INPUT_LEN[0] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$32112$n424 Q=I2C_INPUT_LEN[1] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$32112$n424 Q=I2C_INPUT_LEN[2] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$32112$n424 Q=I2C_INPUT_LEN[3] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$32112$n424 Q=I2C_INPUT_LEN[4] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$32112$n424 Q=I2C_INPUT_LEN[5] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$32112$n424 Q=I2C_INPUT_LEN[6] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$32112$n424 Q=I2C_INPUT_LEN[7] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[0] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[1] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[2] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[0] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[1] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[2] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[3] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[4] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[5] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[6] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[7] R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1723 E=$abc$32112$n501 Q=LED2 R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1726 E=$abc$32112$n501 Q=LED3 R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1729 E=$abc$32112$n501 Q=LED4 R=$0\KBD_FREEZE[0:0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.wr E=I2C.FLT_SCL.RESET Q=last_wr -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C_TRANS E=I2C.FLT_SCL.RESET Q=last_trans -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART.tx_activity E=I2C.FLT_SCL.RESET Q=last_uart_active -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=KEYBOARD.isr E=I2C.FLT_SCL.RESET Q=last_isr -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$abc$32112$n505 Q=uart_double_ff -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n3 E=$abc$56607$n873 Q=LED1 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$56607$n842 Q=init_ram_cnt[0] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$56607$n842 Q=init_ram_cnt[1] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$56607$n842 Q=init_ram_cnt[2] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$56607$n842 Q=init_ram_cnt[3] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$56607$n842 Q=init_ram_cnt[4] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$56607$n842 Q=init_ram_cnt[5] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$56607$n842 Q=init_ram_cnt[6] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$56607$n842 Q=init_ram_cnt[7] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] -.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$56607$n881 Q=UART_WR R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$56607$n888 Q=UART_TX_DATA[0] S=$abc$56607$n19 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$56607$n888 Q=UART_TX_DATA[1] S=$abc$56607$n19 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$56607$n888 Q=UART_TX_DATA[2] S=$abc$56607$n19 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$56607$n888 Q=UART_TX_DATA[3] S=$abc$56607$n19 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$56607$n888 Q=UART_TX_DATA[4] S=$abc$56607$n19 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$56607$n888 Q=UART_TX_DATA[5] S=$abc$56607$n19 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$56607$n888 Q=UART_TX_DATA[6] S=$abc$56607$n19 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$56607$n888 Q=UART_TX_DATA[7] S=$abc$56607$n19 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][0] E=$abc$56607$n901 Q=int_tmr[0] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][1] E=$abc$56607$n938 Q=int_tmr[1] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][2] E=$abc$56607$n901 Q=int_tmr[2] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][3] E=$abc$56607$n901 Q=int_tmr[3] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][4] E=$abc$56607$n901 Q=int_tmr[4] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][5] E=$abc$56607$n901 Q=int_tmr[5] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][6] E=$abc$56607$n901 Q=int_tmr[6] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][7] E=$abc$56607$n901 Q=int_tmr[7] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][8] E=$abc$56607$n901 Q=int_tmr[8] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][9] E=$abc$56607$n901 Q=int_tmr[9] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][10] E=$abc$56607$n901 Q=int_tmr[10] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][11] E=$abc$56607$n901 Q=int_tmr[11] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][12] E=$abc$56607$n901 Q=int_tmr[12] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][13] E=$abc$56607$n901 Q=int_tmr[13] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][14] E=$abc$56607$n901 Q=int_tmr[14] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][15] E=$abc$56607$n901 Q=int_tmr[15] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][16] E=$abc$56607$n901 Q=int_tmr[16] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][17] E=$abc$56607$n901 Q=int_tmr[17] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][18] E=$abc$56607$n901 Q=int_tmr[18] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][19] E=$abc$56607$n985 Q=int_tmr[19] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$true E=$abc$56607$n988 Q=$abc$56607$n8 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$56607$n992 Q=ring_wr[0] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$56607$n992 Q=ring_wr[1] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$56607$n992 Q=ring_wr[2] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$56607$n992 Q=ring_wr[3] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$56607$n999 Q=ring_rd[0] S=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$56607$n999 Q=ring_rd[1] S=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$56607$n999 Q=ring_rd[2] S=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$56607$n999 Q=ring_rd[3] S=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$56607$n1007 Q=wr_cnt[0] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$56607$n1007 Q=wr_cnt[1] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$56607$n1007 Q=wr_cnt[2] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$56607$n1007 Q=wr_cnt[3] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$56607$n1014 Q=report_wr_en S=$abc$56607$n23 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$56607$n1018 Q=report_data_wadr[0] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$56607$n1018 Q=report_data_wadr[1] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$56607$n1018 Q=report_data_wadr[2] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$56607$n1018 Q=report_data_wadr[3] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$56607$n1018 Q=report_data_wadr[4] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$56607$n1018 Q=report_data_wadr[5] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$56607$n1018 Q=report_data_wadr[6] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$56607$n1018 Q=report_data_wadr[7] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$add$top.v:180$513_Y[0] E=$abc$56607$n1050 Q=report_data_radr[0] R=$abc$56607$n21 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$56607$n1039 Q=report_data_radr[1] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$56607$n1050 Q=report_data_radr[2] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$56607$n1050 Q=report_data_radr[3] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$56607$n1050 Q=report_data_radr[4] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$56607$n1050 Q=report_data_radr[5] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$56607$n1050 Q=report_data_radr[6] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$56607$n1050 Q=report_data_radr[7] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$56607$n1018 Q=report_data_wr[0] R=$abc$56607$n23 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$56607$n1018 Q=report_data_wr[1] R=$abc$56607$n23 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$56607$n1018 Q=report_data_wr[2] R=$abc$56607$n23 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$56607$n1018 Q=report_data_wr[3] R=$abc$56607$n23 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$56607$n1018 Q=report_data_wr[4] R=$abc$56607$n23 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$56607$n1018 Q=report_data_wr[5] R=$abc$56607$n23 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$56607$n1018 Q=report_data_wr[6] R=$abc$56607$n23 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$56607$n1018 Q=report_data_wr[7] R=$abc$56607$n23 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$56607$n1113 Q=temp_output_report[0] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$56607$n1113 Q=temp_output_report[1] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$56607$n1113 Q=temp_output_report[2] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$56607$n1155 Q=i2c_input_data_type[0] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$56607$n1155 Q=i2c_input_data_type[1] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$56607$n1155 Q=i2c_input_data_type[2] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$56607$n1155 Q=i2c_input_data_type[3] +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[0] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[1] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[2] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[3] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[4] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[5] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[6] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[7] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[0] S=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[1] S=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[2] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[0] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[1] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[2] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[3] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[4] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[5] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[6] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[7] R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$56607$n1211 Q=LED2 S=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$56607$n1211 Q=LED3 R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$56607$n1211 Q=LED4 S=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.wr E=$abc$56607$n1218 Q=last_wr +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$56607$n1221 Q=last_trans R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$56607$n1227 Q=last_uart_active R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$56607$n1232 Q=last_isr R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$56607$n1236 Q=uart_double_ff R=$abc$56607$n35 +.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF -.attr src "i2c_slave.v:47|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF -.attr src "i2c_slave.v:47|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFF C=CLK D=$abc$32112$n1865 Q=I2C.wr -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1863 Q=I2C.is_ack -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1847 Q=I2C.byte_counter[0] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1849 Q=I2C.byte_counter[1] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1851 Q=I2C.byte_counter[2] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1853 Q=I2C.byte_counter[3] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1855 Q=I2C.byte_counter[4] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1857 Q=I2C.byte_counter[5] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1859 Q=I2C.byte_counter[6] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1861 Q=I2C.byte_counter[7] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n518 Q=I2C.received_byte[0] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n525 Q=I2C.received_byte[1] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n532 Q=I2C.received_byte[2] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n539 Q=I2C.received_byte[3] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n546 Q=I2C.received_byte[4] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n555 Q=I2C.received_byte[5] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n562 Q=I2C.received_byte[6] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n577 Q=I2C.received_byte[7] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$32112$n1839 Q=I2C.i2c_bit_counter[0] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1841 Q=I2C.i2c_bit_counter[1] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1843 Q=I2C.i2c_bit_counter[2] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1845 Q=I2C.i2c_bit_counter[3] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1837 Q=I2C.is_read -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$32112$n1817 E=$abc$32112$n580 Q=I2C.i2c_start_latency -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$32112$n7 Q=$abc$32112$n16 -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1 Q=$abc$32112$n8 -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$32112$n1833 Q=I2C.SDA_DIR -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$32112$n2000 E=I2C.FLT_SCL.RESET Q=UART.tx_activity -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n3 E=$abc$32112$n595 Q=$abc$32112$n12 -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1993 E=$abc$32112$n592 Q=UART.tx_clk_counter[0] R=$0\KBD_FREEZE[0:0] -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1995 E=$abc$32112$n592 Q=UART.tx_clk_counter[1] R=$0\KBD_FREEZE[0:0] -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1997 E=$abc$32112$n592 Q=UART.tx_clk_counter[2] R=$0\KBD_FREEZE[0:0] -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n1999 E=$abc$32112$n592 Q=UART.tx_clk_counter[3] R=$0\KBD_FREEZE[0:0] -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n2107 E=$abc$32112$n595 Q=UART.tx_bit_counter[0] S=$abc$32112$n21 -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2105 E=$abc$32112$n595 Q=UART.tx_bit_counter[1] R=$abc$32112$n21 -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2141 E=$abc$32112$n595 Q=UART.tx_bit_counter[2] R=$abc$32112$n21 -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$32112$n2143 E=$abc$32112$n595 Q=UART.tx_bit_counter[3] S=$abc$32112$n21 -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFF C=CLK D=$abc$56607$n2091 Q=I2C.wr +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2089 Q=I2C.is_ack +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2073 Q=I2C.byte_counter[0] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2075 Q=I2C.byte_counter[1] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2077 Q=I2C.byte_counter[2] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2079 Q=I2C.byte_counter[3] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2081 Q=I2C.byte_counter[4] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2083 Q=I2C.byte_counter[5] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2085 Q=I2C.byte_counter[6] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2087 Q=I2C.byte_counter[7] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1248 Q=I2C.received_byte[0] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1258 Q=I2C.received_byte[1] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1268 Q=I2C.received_byte[2] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1278 Q=I2C.received_byte[3] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1283 Q=I2C.received_byte[4] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1290 Q=I2C.received_byte[5] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1297 Q=I2C.received_byte[6] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1302 Q=I2C.received_byte[7] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$56607$n2065 Q=I2C.i2c_bit_counter[0] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2067 Q=I2C.i2c_bit_counter[1] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2069 Q=I2C.i2c_bit_counter[2] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2071 Q=I2C.i2c_bit_counter[3] +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2063 Q=I2C.is_read +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$56607$n2043 E=$abc$56607$n1305 Q=I2C.i2c_start_latency +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$56607$n5 Q=$abc$56607$n14 +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n7 Q=$abc$56607$n16 +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$56607$n2059 Q=I2C.SDA_DIR +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$56607$n2226 E=I2C.FLT_SCL.RESET Q=UART.tx_activity +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n1 E=$abc$56607$n1320 Q=$abc$56607$n10 +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2219 E=$abc$56607$n1315 Q=UART.tx_clk_counter[0] R=$abc$56607$n35 +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2221 E=$abc$56607$n1315 Q=UART.tx_clk_counter[1] R=$abc$56607$n35 +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2223 E=$abc$56607$n1315 Q=UART.tx_clk_counter[2] R=$abc$56607$n35 +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2225 E=$abc$56607$n1315 Q=UART.tx_clk_counter[3] R=$abc$56607$n35 +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2519 E=$abc$56607$n1320 Q=UART.tx_bit_counter[0] S=$abc$56607$n25 +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2517 E=$abc$56607$n1320 Q=UART.tx_bit_counter[1] R=$abc$56607$n25 +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2603 E=$abc$56607$n1320 Q=UART.tx_bit_counter[2] R=$abc$56607$n25 +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2605 E=$abc$56607$n1320 Q=UART.tx_bit_counter[3] S=$abc$56607$n25 +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last -.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n671 E=$abc$32112$n839 Q=KEYBOARD.is_pressed -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$56607$n1328 Q=KEYBOARD.report[6][0] R=$abc$56607$n27 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$56607$n1328 Q=KEYBOARD.report[6][1] R=$abc$56607$n27 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$56607$n1328 Q=KEYBOARD.report[6][2] R=$abc$56607$n27 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$56607$n1328 Q=KEYBOARD.report[6][3] R=$abc$56607$n27 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$56607$n1328 Q=KEYBOARD.report[6][4] R=$abc$56607$n27 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$56607$n1328 Q=KEYBOARD.report[6][5] R=$abc$56607$n27 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$56607$n1328 Q=KEYBOARD.report[6][6] R=$abc$56607$n27 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$56607$n1328 Q=KEYBOARD.report[6][7] R=$abc$56607$n27 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] -.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] -.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] -.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] -.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[0] R=$abc$32112$n22 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2003 E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[1] R=$abc$32112$n22 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[2] R=$abc$32112$n23 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2003 E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[3] R=$abc$32112$n23 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n74 E=$abc$32112$n839 Q=KEYBOARD.isr -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2065 E=$abc$32112$n605 Q=KEYBOARD.report[0] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2068 E=$abc$32112$n605 Q=KEYBOARD.report[1] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2071 E=$abc$32112$n605 Q=KEYBOARD.report[2] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2074 E=$abc$32112$n605 Q=KEYBOARD.report[3] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2077 E=$abc$32112$n605 Q=KEYBOARD.report[4] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2080 E=$abc$32112$n605 Q=KEYBOARD.report[5] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2083 E=$abc$32112$n605 Q=KEYBOARD.report[6] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2086 E=$abc$32112$n605 Q=KEYBOARD.report[7] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[8] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[9] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[10] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[11] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[12] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[13] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[14] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[15] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n614 Q=KEYBOARD.report[16] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n614 Q=KEYBOARD.report[17] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n614 Q=KEYBOARD.report[18] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n614 Q=KEYBOARD.report[19] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n614 Q=KEYBOARD.report[20] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n614 Q=KEYBOARD.report[21] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n614 Q=KEYBOARD.report[22] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n614 Q=KEYBOARD.report[23] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n631 Q=KEYBOARD.report[24] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n631 Q=KEYBOARD.report[25] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n631 Q=KEYBOARD.report[26] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n631 Q=KEYBOARD.report[27] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n631 Q=KEYBOARD.report[28] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n631 Q=KEYBOARD.report[29] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n631 Q=KEYBOARD.report[30] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n631 Q=KEYBOARD.report[31] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n651 Q=KEYBOARD.report[32] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n651 Q=KEYBOARD.report[33] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n651 Q=KEYBOARD.report[34] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n651 Q=KEYBOARD.report[35] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n651 Q=KEYBOARD.report[36] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n651 Q=KEYBOARD.report[37] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n651 Q=KEYBOARD.report[38] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n651 Q=KEYBOARD.report[39] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n678 Q=KEYBOARD.report[40] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n678 Q=KEYBOARD.report[41] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n678 Q=KEYBOARD.report[42] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n678 Q=KEYBOARD.report[43] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n678 Q=KEYBOARD.report[44] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n678 Q=KEYBOARD.report[45] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n678 Q=KEYBOARD.report[46] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n678 Q=KEYBOARD.report[47] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n693 Q=KEYBOARD.report[48] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n693 Q=KEYBOARD.report[49] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n693 Q=KEYBOARD.report[50] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n693 Q=KEYBOARD.report[51] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n693 Q=KEYBOARD.report[52] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n693 Q=KEYBOARD.report[53] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n693 Q=KEYBOARD.report[54] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n693 Q=KEYBOARD.report[55] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n720 Q=KEYBOARD.report[56] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n720 Q=KEYBOARD.report[57] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n720 Q=KEYBOARD.report[58] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n720 Q=KEYBOARD.report[59] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n720 Q=KEYBOARD.report[60] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n720 Q=KEYBOARD.report[61] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n720 Q=KEYBOARD.report[62] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n720 Q=KEYBOARD.report[63] R=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n732 Q=KEYBOARD.last_data[0] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n743 Q=KEYBOARD.last_data[1] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n749 Q=KEYBOARD.last_data[2] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n765 Q=KEYBOARD.last_data[3] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n770 Q=KEYBOARD.last_data[4] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n779 Q=KEYBOARD.last_data[5] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n785 Q=KEYBOARD.last_data[6] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n790 Q=KEYBOARD.last_data[7] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n795 Q=KEYBOARD.last_data[8] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n800 Q=KEYBOARD.last_data[9] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n806 Q=KEYBOARD.last_data[10] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n811 Q=KEYBOARD.last_data[11] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n816 Q=KEYBOARD.last_data[12] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n822 Q=KEYBOARD.last_data[13] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n827 Q=KEYBOARD.last_data[14] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n834 Q=KEYBOARD.last_data[15] S=$0\KBD_FREEZE[0:0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n1174 E=$abc$32112$n839 Q=KEYBOARD.row_counter[0] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$32112$n1175 E=$abc$32112$n839 Q=KEYBOARD.row_counter[1] -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[0] E=$abc$32112$n839 Q=KEYBOARD.row_time[0] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$32112$n2100 E=$abc$32112$n838 Q=KEYBOARD.row_time[1] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[2] E=$abc$32112$n839 Q=KEYBOARD.row_time[2] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[3] E=$abc$32112$n839 Q=KEYBOARD.row_time[3] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[4] E=$abc$32112$n839 Q=KEYBOARD.row_time[4] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[5] E=$abc$32112$n839 Q=KEYBOARD.row_time[5] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[6] E=$abc$32112$n839 Q=KEYBOARD.row_time[6] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[7] E=$abc$32112$n839 Q=KEYBOARD.row_time[7] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[8] E=$abc$32112$n839 Q=KEYBOARD.row_time[8] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[9] E=$abc$32112$n839 Q=KEYBOARD.row_time[9] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[10] E=$abc$32112$n839 Q=KEYBOARD.row_time[10] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[11] E=$abc$32112$n839 Q=KEYBOARD.row_time[11] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[12] E=$abc$32112$n839 Q=KEYBOARD.row_time[12] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[13] E=$abc$32112$n839 Q=KEYBOARD.row_time[13] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[14] E=$abc$32112$n839 Q=KEYBOARD.row_time[14] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[15] E=$abc$32112$n839 Q=KEYBOARD.row_time[15] R=$abc$32112$n29 -.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$32112$n848 Q=I2C.FLT_SDA.out S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[4] Q=KEYBOARD.COLS_SHADOW[4] +.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[5] Q=KEYBOARD.COLS_SHADOW[5] +.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[6] Q=KEYBOARD.COLS_SHADOW[6] +.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[7] Q=KEYBOARD.COLS_SHADOW[7] +.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1407 Q=kbd_report[5][0] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1407 Q=kbd_report[5][1] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1407 Q=kbd_report[5][2] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1407 Q=kbd_report[5][3] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1407 Q=kbd_report[5][4] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1407 Q=kbd_report[5][5] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1407 Q=kbd_report[5][6] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1407 Q=kbd_report[5][7] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1422 Q=KEYBOARD.report[4][0] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1422 Q=KEYBOARD.report[4][1] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1422 Q=KEYBOARD.report[4][2] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1422 Q=KEYBOARD.report[4][3] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1422 Q=KEYBOARD.report[4][4] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1422 Q=KEYBOARD.report[4][5] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1422 Q=KEYBOARD.report[4][6] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1422 Q=KEYBOARD.report[4][7] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1431 Q=KEYBOARD.report[3][0] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1431 Q=KEYBOARD.report[3][1] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1431 Q=KEYBOARD.report[3][2] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1431 Q=KEYBOARD.report[3][3] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1431 Q=KEYBOARD.report[3][4] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1431 Q=KEYBOARD.report[3][5] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1431 Q=KEYBOARD.report[3][6] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1431 Q=KEYBOARD.report[3][7] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1435 Q=KEYBOARD.report[2][0] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1435 Q=KEYBOARD.report[2][1] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1435 Q=KEYBOARD.report[2][2] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1435 Q=KEYBOARD.report[2][3] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1435 Q=KEYBOARD.report[2][4] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1435 Q=KEYBOARD.report[2][5] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1435 Q=KEYBOARD.report[2][6] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1435 Q=KEYBOARD.report[2][7] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1450 Q=KEYBOARD.report[1][0] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1450 Q=KEYBOARD.report[1][1] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1450 Q=KEYBOARD.report[1][2] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1450 Q=KEYBOARD.report[1][3] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1450 Q=KEYBOARD.report[1][4] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1450 Q=KEYBOARD.report[1][5] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1450 Q=KEYBOARD.report[1][6] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1450 Q=KEYBOARD.report[1][7] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2436 E=$abc$56607$n1457 Q=KEYBOARD.report[0][0] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2439 E=$abc$56607$n1457 Q=KEYBOARD.report[0][1] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2442 E=$abc$56607$n1457 Q=KEYBOARD.report[0][2] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2445 E=$abc$56607$n1457 Q=KEYBOARD.report[0][3] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2448 E=$abc$56607$n1457 Q=KEYBOARD.report[0][4] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2451 E=$abc$56607$n1457 Q=KEYBOARD.report[0][5] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2454 E=$abc$56607$n1457 Q=KEYBOARD.report[0][6] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2457 E=$abc$56607$n1457 Q=KEYBOARD.report[0][7] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[0] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[0] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2534 E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[1] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[2] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[2] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[3] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[3] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[4] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[4] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[5] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[5] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[6] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[6] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[7] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[7] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[8] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[8] R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2232 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[0] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2235 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[1] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2238 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[2] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2240 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[3] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2242 E=$abc$56607$n1470 Q=KEYBOARD.ram_wr S=$abc$56607$n31 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n26 E=$abc$56607$n1490 Q=KEYBOARD.is_pressed +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2269 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[0] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2271 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[1] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2273 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[2] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2276 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[3] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2279 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[4] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2282 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[5] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2285 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[6] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2287 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[7] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2701 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[0] R=$abc$56607$n28 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2702 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[1] R=$abc$56607$n28 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2703 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[2] R=$abc$56607$n28 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2704 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[3] R=$abc$56607$n28 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2705 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[4] R=$abc$56607$n28 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2706 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[5] R=$abc$56607$n28 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2707 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[6] R=$abc$56607$n28 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2708 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[7] R=$abc$56607$n28 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2701 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[8] R=$abc$56607$n29 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2702 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[9] R=$abc$56607$n29 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2703 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[10] R=$abc$56607$n29 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2704 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[11] R=$abc$56607$n29 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2705 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[12] R=$abc$56607$n29 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2706 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[13] R=$abc$56607$n29 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2707 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[14] R=$abc$56607$n29 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2708 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[15] R=$abc$56607$n29 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2433 E=$abc$56607$n1483 Q=KEYBOARD.isr R=$abc$56607$n35 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2291 E=$abc$56607$n1484 Q=KEYBOARD.temp[0] S=$abc$56607$n31 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2293 E=$abc$56607$n1484 Q=KEYBOARD.temp[1] S=$abc$56607$n31 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2295 E=$abc$56607$n1484 Q=KEYBOARD.temp[2] S=$abc$56607$n31 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2297 E=$abc$56607$n1484 Q=KEYBOARD.temp[3] S=$abc$56607$n31 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2299 E=$abc$56607$n1484 Q=KEYBOARD.temp[4] S=$abc$56607$n31 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2301 E=$abc$56607$n1484 Q=KEYBOARD.temp[5] S=$abc$56607$n31 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2303 E=$abc$56607$n1484 Q=KEYBOARD.temp[6] S=$abc$56607$n31 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$56607$n2305 E=$abc$56607$n1484 Q=KEYBOARD.temp[7] S=$abc$56607$n31 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2244 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[0] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2246 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[1] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2248 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[2] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$56607$n2250 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[3] +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[0] E=$abc$56607$n1490 Q=KEYBOARD.row_time[0] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2536 E=$abc$56607$n1489 Q=KEYBOARD.row_time[1] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[2] E=$abc$56607$n1490 Q=KEYBOARD.row_time[2] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[3] E=$abc$56607$n1490 Q=KEYBOARD.row_time[3] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[4] E=$abc$56607$n1490 Q=KEYBOARD.row_time[4] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[5] E=$abc$56607$n1490 Q=KEYBOARD.row_time[5] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[6] E=$abc$56607$n1490 Q=KEYBOARD.row_time[6] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[7] E=$abc$56607$n1490 Q=KEYBOARD.row_time[7] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[8] E=$abc$56607$n1490 Q=KEYBOARD.row_time[8] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[9] E=$abc$56607$n1490 Q=KEYBOARD.row_time[9] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[10] E=$abc$56607$n1490 Q=KEYBOARD.row_time[10] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[11] E=$abc$56607$n1490 Q=KEYBOARD.row_time[11] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[12] E=$abc$56607$n1490 Q=KEYBOARD.row_time[12] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[13] E=$abc$56607$n1490 Q=KEYBOARD.row_time[13] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[14] E=$abc$56607$n1490 Q=KEYBOARD.row_time[14] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[15] E=$abc$56607$n1490 Q=KEYBOARD.row_time[15] R=$abc$56607$n33 +.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2472 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[0] R=$abc$56607$n35 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[1] R=$abc$56607$n35 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[2] R=$abc$56607$n35 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[3] R=$abc$56607$n35 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[4] R=$abc$56607$n35 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2474 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[5] R=$abc$56607$n35 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2476 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[6] R=$abc$56607$n35 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$56607$n2478 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[7] R=$abc$56607$n35 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$56607$n35 +.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFR C=CLK D=$abc$56607$n2061 Q=I2C.i2c_state_machine R=$abc$56607$n35 +.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$56607$n1501 Q=I2C.FLT_SDA.out S=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$32112$n1516 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[0] R=$0\KBD_FREEZE[0:0] +.gate SB_DFFER C=CLK D=$abc$56607$n2479 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[0] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$32112$n1517 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[1] R=$0\KBD_FREEZE[0:0] +.gate SB_DFFER C=CLK D=$abc$56607$n2480 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[1] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$32112$n1518 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[2] R=$0\KBD_FREEZE[0:0] +.gate SB_DFFER C=CLK D=$abc$56607$n2481 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[2] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$32112$n858 Q=I2C.FLT_SCL.out S=$0\KBD_FREEZE[0:0] +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$56607$n1511 Q=I2C.FLT_SCL.out S=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$32112$n1519 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[0] R=$0\KBD_FREEZE[0:0] +.gate SB_DFFER C=CLK D=$abc$56607$n2482 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[0] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$32112$n1520 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[1] R=$0\KBD_FREEZE[0:0] +.gate SB_DFFER C=CLK D=$abc$56607$n2483 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[1] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$32112$n1521 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[2] R=$0\KBD_FREEZE[0:0] +.gate SB_DFFER C=CLK D=$abc$56607$n2484 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[2] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFR C=CLK D=$abc$32112$n1835 Q=I2C.i2c_state_machine R=$0\KBD_FREEZE[0:0] -.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA -.attr src "i2c_slave.v:177" +.attr src "i2c_slave.v:178" .param PIN_TYPE 101001 .param PULLUP 0 +.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false +.attr src "descriptors.v:143" +.param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000 +.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011 +.param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000 +.param INIT_3 0000000000000101000000001001010100000000000000010000000010000001000000000000100000000000011101010000000000000001000000001001010100000000000000100000000010000001000000000000100000000000100101010000000000000001000000000111010100000000000000010000000000100101 +.param INIT_4 0000000000000011000000001001000100000000000000110000000001110101000000000000000100000000100101010000000000000010000000001001000100000000000001010000000000101001000000000000000100000000000110010000000000001000000000000000010100000000000000010000000001110101 +.param INIT_5 0000000000000000000000001000000100000000011001010000000000101001000000000000000000000000000110010000000000000111000000000000010100000000011001010000000000100101000000000000000000000000000101010000000000001000000000000111010100000000000001100000000010010101 +.param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 +.param READ_MODE 00000000000000000000000000000001 +.param WRITE_MODE 00000000000000000000000000000001 +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" +.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 +.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param READ_MODE 00000000000000000000000000000001 +.param WRITE_MODE 00000000000000000000000000000001 +.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false +.attr src "matrix_kbd.v:161" +.param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 +.param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 +.param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 +.param INIT_3 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 +.param INIT_4 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 +.param INIT_5 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 +.param INIT_6 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 +.param INIT_7 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 +.param INIT_8 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 +.param INIT_9 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 +.param READ_MODE 00000000000000000000000000000001 +.param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] -.attr src "matrix_kbd.v:179" +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] +.attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] -.attr src "matrix_kbd.v:179" +.attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] -.attr src "matrix_kbd.v:179" +.attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] -.attr src "matrix_kbd.v:179" +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] +.attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] +.attr src "matrix_kbd.v:187" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" +.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 +.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param READ_MODE 00000000000000000000000000000001 +.param WRITE_MODE 00000000000000000000000000000001 .names I2C.is_read COM_RTS 1 1 .names CLK I2C.CLK @@ -2959,10 +3813,6 @@ 1 1 .names I2C.byte_counter[7] I2C_COUNTER[7] 1 1 -.names $false I2C_COUNTER[8] -1 1 -.names $false I2C_COUNTER[9] -1 1 .names I2C.byte_counter[0] I2C_HID_DESC.ADR[0] 1 1 .names I2C.byte_counter[1] I2C_HID_DESC.ADR[1] @@ -2989,16 +3839,6 @@ 1 1 .names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET 1 1 -.names $undef I2C_INPUT_DATA[8][3] -1 1 -.names $undef I2C_INPUT_DATA[8][4] -1 1 -.names $undef I2C_INPUT_DATA[8][5] -1 1 -.names $undef I2C_INPUT_DATA[8][6] -1 1 -.names $undef I2C_INPUT_DATA[8][7] -1 1 .names I2C.is_read I2C_READ 1 1 .names I2C.received_byte[0] I2C_RX[0] @@ -3069,10 +3909,88 @@ 1 1 .names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] 1 1 -.names COM_DSR KEYBOARD.FREEZE +.names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4] +1 1 +.names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5] +1 1 +.names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6] +1 1 +.names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7] 1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 +.names CLK KEYBOARD.RAM.clk +1 1 +.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0] +1 1 +.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1] +1 1 +.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2] +1 1 +.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3] +1 1 +.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4] +1 1 +.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5] +1 1 +.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6] +1 1 +.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7] +1 1 +.names $undef KEYBOARD.RAM.raddr[8] +1 1 +.names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] +1 1 +.names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1] +1 1 +.names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2] +1 1 +.names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3] +1 1 +.names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4] +1 1 +.names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5] +1 1 +.names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6] +1 1 +.names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] +1 1 +.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0] +1 1 +.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1] +1 1 +.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2] +1 1 +.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3] +1 1 +.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4] +1 1 +.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5] +1 1 +.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6] +1 1 +.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7] +1 1 +.names $undef KEYBOARD.RAM.waddr[8] +1 1 +.names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] +1 1 +.names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1] +1 1 +.names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2] +1 1 +.names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3] +1 1 +.names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4] +1 1 +.names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5] +1 1 +.names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6] +1 1 +.names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7] +1 1 +.names KEYBOARD.ram_wr KEYBOARD.RAM.wen +1 1 .names I2C.FLT_SCL.RESET KEYBOARD.RESET 1 1 .names KBD_ROWS[0] KEYBOARD.ROWS[0] @@ -3083,136 +4001,226 @@ 1 1 .names KBD_ROWS[3] KEYBOARD.ROWS[3] 1 1 -.names KEYBOARD.report[0] KEYBOARD.kbd_report[0] +.names KBD_ROWS[4] KEYBOARD.ROWS[4] 1 1 -.names KEYBOARD.report[1] KEYBOARD.kbd_report[1] +.names KBD_ROWS[5] KEYBOARD.ROWS[5] 1 1 -.names KEYBOARD.report[2] KEYBOARD.kbd_report[2] +.names KBD_ROWS[6] KEYBOARD.ROWS[6] 1 1 -.names KEYBOARD.report[3] KEYBOARD.kbd_report[3] +.names KBD_ROWS[7] KEYBOARD.ROWS[7] 1 1 -.names KEYBOARD.report[4] KEYBOARD.kbd_report[4] +.names KBD_ROWS[8] KEYBOARD.ROWS[8] 1 1 -.names KEYBOARD.report[5] KEYBOARD.kbd_report[5] +.names KBD_ROWS[9] KEYBOARD.ROWS[9] 1 1 -.names KEYBOARD.report[6] KEYBOARD.kbd_report[6] +.names KBD_ROWS[10] KEYBOARD.ROWS[10] 1 1 -.names KEYBOARD.report[7] KEYBOARD.kbd_report[7] +.names KBD_ROWS[11] KEYBOARD.ROWS[11] 1 1 -.names KEYBOARD.report[8] KEYBOARD.kbd_report[8] +.names KBD_ROWS[12] KEYBOARD.ROWS[12] 1 1 -.names KEYBOARD.report[9] KEYBOARD.kbd_report[9] +.names KBD_ROWS[13] KEYBOARD.ROWS[13] 1 1 -.names KEYBOARD.report[10] KEYBOARD.kbd_report[10] +.names KBD_ROWS[14] KEYBOARD.ROWS[14] 1 1 -.names KEYBOARD.report[11] KEYBOARD.kbd_report[11] +.names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 -.names KEYBOARD.report[12] KEYBOARD.kbd_report[12] +.names KEYBOARD.report[0][0] KEYBOARD.kbd_r0[0] 1 1 -.names KEYBOARD.report[13] KEYBOARD.kbd_report[13] +.names KEYBOARD.report[0][1] KEYBOARD.kbd_r0[1] 1 1 -.names KEYBOARD.report[14] KEYBOARD.kbd_report[14] +.names KEYBOARD.report[0][2] KEYBOARD.kbd_r0[2] 1 1 -.names KEYBOARD.report[15] KEYBOARD.kbd_report[15] +.names KEYBOARD.report[0][3] KEYBOARD.kbd_r0[3] 1 1 -.names KEYBOARD.report[16] KEYBOARD.kbd_report[16] +.names KEYBOARD.report[0][4] KEYBOARD.kbd_r0[4] 1 1 -.names KEYBOARD.report[17] KEYBOARD.kbd_report[17] +.names KEYBOARD.report[0][5] KEYBOARD.kbd_r0[5] 1 1 -.names KEYBOARD.report[18] KEYBOARD.kbd_report[18] +.names KEYBOARD.report[0][6] KEYBOARD.kbd_r0[6] 1 1 -.names KEYBOARD.report[19] KEYBOARD.kbd_report[19] +.names KEYBOARD.report[0][7] KEYBOARD.kbd_r0[7] 1 1 -.names KEYBOARD.report[20] KEYBOARD.kbd_report[20] +.names KEYBOARD.report[1][0] KEYBOARD.kbd_r2[0] 1 1 -.names KEYBOARD.report[21] KEYBOARD.kbd_report[21] +.names KEYBOARD.report[1][1] KEYBOARD.kbd_r2[1] 1 1 -.names KEYBOARD.report[22] KEYBOARD.kbd_report[22] +.names KEYBOARD.report[1][2] KEYBOARD.kbd_r2[2] 1 1 -.names KEYBOARD.report[23] KEYBOARD.kbd_report[23] +.names KEYBOARD.report[1][3] KEYBOARD.kbd_r2[3] 1 1 -.names KEYBOARD.report[24] KEYBOARD.kbd_report[24] +.names KEYBOARD.report[1][4] KEYBOARD.kbd_r2[4] 1 1 -.names KEYBOARD.report[25] KEYBOARD.kbd_report[25] +.names KEYBOARD.report[1][5] KEYBOARD.kbd_r2[5] 1 1 -.names KEYBOARD.report[26] KEYBOARD.kbd_report[26] +.names KEYBOARD.report[1][6] KEYBOARD.kbd_r2[6] 1 1 -.names KEYBOARD.report[27] KEYBOARD.kbd_report[27] +.names KEYBOARD.report[1][7] KEYBOARD.kbd_r2[7] 1 1 -.names KEYBOARD.report[28] KEYBOARD.kbd_report[28] +.names KEYBOARD.report[2][0] KEYBOARD.kbd_r3[0] 1 1 -.names KEYBOARD.report[29] KEYBOARD.kbd_report[29] +.names KEYBOARD.report[2][1] KEYBOARD.kbd_r3[1] 1 1 -.names KEYBOARD.report[30] KEYBOARD.kbd_report[30] +.names KEYBOARD.report[2][2] KEYBOARD.kbd_r3[2] 1 1 -.names KEYBOARD.report[31] KEYBOARD.kbd_report[31] +.names KEYBOARD.report[2][3] KEYBOARD.kbd_r3[3] 1 1 -.names KEYBOARD.report[32] KEYBOARD.kbd_report[32] +.names KEYBOARD.report[2][4] KEYBOARD.kbd_r3[4] 1 1 -.names KEYBOARD.report[33] KEYBOARD.kbd_report[33] +.names KEYBOARD.report[2][5] KEYBOARD.kbd_r3[5] 1 1 -.names KEYBOARD.report[34] KEYBOARD.kbd_report[34] +.names KEYBOARD.report[2][6] KEYBOARD.kbd_r3[6] 1 1 -.names KEYBOARD.report[35] KEYBOARD.kbd_report[35] +.names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7] 1 1 -.names KEYBOARD.report[36] KEYBOARD.kbd_report[36] +.names KEYBOARD.report[3][0] KEYBOARD.kbd_r4[0] 1 1 -.names KEYBOARD.report[37] KEYBOARD.kbd_report[37] +.names KEYBOARD.report[3][1] KEYBOARD.kbd_r4[1] 1 1 -.names KEYBOARD.report[38] KEYBOARD.kbd_report[38] +.names KEYBOARD.report[3][2] KEYBOARD.kbd_r4[2] 1 1 -.names KEYBOARD.report[39] KEYBOARD.kbd_report[39] +.names KEYBOARD.report[3][3] KEYBOARD.kbd_r4[3] 1 1 -.names KEYBOARD.report[40] KEYBOARD.kbd_report[40] +.names KEYBOARD.report[3][4] KEYBOARD.kbd_r4[4] 1 1 -.names KEYBOARD.report[41] KEYBOARD.kbd_report[41] +.names KEYBOARD.report[3][5] KEYBOARD.kbd_r4[5] 1 1 -.names KEYBOARD.report[42] KEYBOARD.kbd_report[42] +.names KEYBOARD.report[3][6] KEYBOARD.kbd_r4[6] 1 1 -.names KEYBOARD.report[43] KEYBOARD.kbd_report[43] +.names KEYBOARD.report[3][7] KEYBOARD.kbd_r4[7] 1 1 -.names KEYBOARD.report[44] KEYBOARD.kbd_report[44] +.names KEYBOARD.report[4][0] KEYBOARD.kbd_r5[0] 1 1 -.names KEYBOARD.report[45] KEYBOARD.kbd_report[45] +.names KEYBOARD.report[4][1] KEYBOARD.kbd_r5[1] 1 1 -.names KEYBOARD.report[46] KEYBOARD.kbd_report[46] +.names KEYBOARD.report[4][2] KEYBOARD.kbd_r5[2] 1 1 -.names KEYBOARD.report[47] KEYBOARD.kbd_report[47] +.names KEYBOARD.report[4][3] KEYBOARD.kbd_r5[3] 1 1 -.names KEYBOARD.report[48] KEYBOARD.kbd_report[48] +.names KEYBOARD.report[4][4] KEYBOARD.kbd_r5[4] 1 1 -.names KEYBOARD.report[49] KEYBOARD.kbd_report[49] +.names KEYBOARD.report[4][5] KEYBOARD.kbd_r5[5] 1 1 -.names KEYBOARD.report[50] KEYBOARD.kbd_report[50] +.names KEYBOARD.report[4][6] KEYBOARD.kbd_r5[6] 1 1 -.names KEYBOARD.report[51] KEYBOARD.kbd_report[51] +.names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7] 1 1 -.names KEYBOARD.report[52] KEYBOARD.kbd_report[52] +.names kbd_report[5][0] KEYBOARD.kbd_r6[0] 1 1 -.names KEYBOARD.report[53] KEYBOARD.kbd_report[53] +.names kbd_report[5][1] KEYBOARD.kbd_r6[1] 1 1 -.names KEYBOARD.report[54] KEYBOARD.kbd_report[54] +.names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0] 1 1 -.names KEYBOARD.report[55] KEYBOARD.kbd_report[55] +.names KEYBOARD.report[6][1] KEYBOARD.kbd_r7[1] 1 1 -.names KEYBOARD.report[56] KEYBOARD.kbd_report[56] +.names KEYBOARD.report[6][2] KEYBOARD.kbd_r7[2] 1 1 -.names KEYBOARD.report[57] KEYBOARD.kbd_report[57] +.names KEYBOARD.report[6][3] KEYBOARD.kbd_r7[3] 1 1 -.names KEYBOARD.report[58] KEYBOARD.kbd_report[58] +.names KEYBOARD.report[6][4] KEYBOARD.kbd_r7[4] 1 1 -.names KEYBOARD.report[59] KEYBOARD.kbd_report[59] +.names KEYBOARD.report[6][5] KEYBOARD.kbd_r7[5] 1 1 -.names KEYBOARD.report[60] KEYBOARD.kbd_report[60] +.names KEYBOARD.report[6][6] KEYBOARD.kbd_r7[6] 1 1 -.names KEYBOARD.report[61] KEYBOARD.kbd_report[61] +.names KEYBOARD.report[6][7] KEYBOARD.kbd_r7[7] 1 1 -.names KEYBOARD.report[62] KEYBOARD.kbd_report[62] +.names $undef KEYBOARD.ram_adr[8] 1 1 -.names KEYBOARD.report[63] KEYBOARD.kbd_report[63] +.names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] +1 1 +.names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1] +1 1 +.names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2] +1 1 +.names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3] +1 1 +.names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4] +1 1 +.names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5] +1 1 +.names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6] +1 1 +.names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] +1 1 +.names kbd_report[5][0] KEYBOARD.report[5][0] +1 1 +.names kbd_report[5][1] KEYBOARD.report[5][1] 1 1 .names I2C_TRANS LED5 1 1 +.names CLK REPORT_DATA.clk +1 1 +.names report_data_radr[0] REPORT_DATA.raddr[0] +1 1 +.names report_data_radr[1] REPORT_DATA.raddr[1] +1 1 +.names report_data_radr[2] REPORT_DATA.raddr[2] +1 1 +.names report_data_radr[3] REPORT_DATA.raddr[3] +1 1 +.names report_data_radr[4] REPORT_DATA.raddr[4] +1 1 +.names report_data_radr[5] REPORT_DATA.raddr[5] +1 1 +.names report_data_radr[6] REPORT_DATA.raddr[6] +1 1 +.names report_data_radr[7] REPORT_DATA.raddr[7] +1 1 +.names $false REPORT_DATA.raddr[8] +1 1 +.names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] +1 1 +.names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] +1 1 +.names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] +1 1 +.names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] +1 1 +.names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] +1 1 +.names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] +1 1 +.names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] +1 1 +.names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] +1 1 +.names report_data_wadr[0] REPORT_DATA.waddr[0] +1 1 +.names report_data_wadr[1] REPORT_DATA.waddr[1] +1 1 +.names report_data_wadr[2] REPORT_DATA.waddr[2] +1 1 +.names report_data_wadr[3] REPORT_DATA.waddr[3] +1 1 +.names report_data_wadr[4] REPORT_DATA.waddr[4] +1 1 +.names report_data_wadr[5] REPORT_DATA.waddr[5] +1 1 +.names report_data_wadr[6] REPORT_DATA.waddr[6] +1 1 +.names report_data_wadr[7] REPORT_DATA.waddr[7] +1 1 +.names $false REPORT_DATA.waddr[8] +1 1 +.names report_data_wr[0] REPORT_DATA.wdata[0] +1 1 +.names report_data_wr[1] REPORT_DATA.wdata[1] +1 1 +.names report_data_wr[2] REPORT_DATA.wdata[2] +1 1 +.names report_data_wr[3] REPORT_DATA.wdata[3] +1 1 +.names report_data_wr[4] REPORT_DATA.wdata[4] +1 1 +.names report_data_wr[5] REPORT_DATA.wdata[5] +1 1 +.names report_data_wr[6] REPORT_DATA.wdata[6] +1 1 +.names report_data_wr[7] REPORT_DATA.wdata[7] +1 1 +.names report_wr_en REPORT_DATA.wen +1 1 .names I2C.FLT_SCL.RESET RESET 1 1 .names CLK UART.CLK @@ -3247,132 +4255,126 @@ 1 1 .names COM_TX UART_TX_LINE 1 1 -.names KEYBOARD.report[0] kbd_report[0] -1 1 -.names KEYBOARD.report[1] kbd_report[1] -1 1 -.names KEYBOARD.report[2] kbd_report[2] -1 1 -.names KEYBOARD.report[3] kbd_report[3] +.names KEYBOARD.report[0][0] kbd_report[0][0] 1 1 -.names KEYBOARD.report[4] kbd_report[4] +.names KEYBOARD.report[0][1] kbd_report[0][1] 1 1 -.names KEYBOARD.report[5] kbd_report[5] +.names KEYBOARD.report[0][2] kbd_report[0][2] 1 1 -.names KEYBOARD.report[6] kbd_report[6] +.names KEYBOARD.report[0][3] kbd_report[0][3] 1 1 -.names KEYBOARD.report[7] kbd_report[7] +.names KEYBOARD.report[0][4] kbd_report[0][4] 1 1 -.names KEYBOARD.report[8] kbd_report[8] +.names KEYBOARD.report[0][5] kbd_report[0][5] 1 1 -.names KEYBOARD.report[9] kbd_report[9] +.names KEYBOARD.report[0][6] kbd_report[0][6] 1 1 -.names KEYBOARD.report[10] kbd_report[10] +.names KEYBOARD.report[0][7] kbd_report[0][7] 1 1 -.names KEYBOARD.report[11] kbd_report[11] +.names KEYBOARD.report[1][0] kbd_report[1][0] 1 1 -.names KEYBOARD.report[12] kbd_report[12] +.names KEYBOARD.report[1][1] kbd_report[1][1] 1 1 -.names KEYBOARD.report[13] kbd_report[13] +.names KEYBOARD.report[1][2] kbd_report[1][2] 1 1 -.names KEYBOARD.report[14] kbd_report[14] +.names KEYBOARD.report[1][3] kbd_report[1][3] 1 1 -.names KEYBOARD.report[15] kbd_report[15] +.names KEYBOARD.report[1][4] kbd_report[1][4] 1 1 -.names KEYBOARD.report[16] kbd_report[16] +.names KEYBOARD.report[1][5] kbd_report[1][5] 1 1 -.names KEYBOARD.report[17] kbd_report[17] +.names KEYBOARD.report[1][6] kbd_report[1][6] 1 1 -.names KEYBOARD.report[18] kbd_report[18] +.names KEYBOARD.report[1][7] kbd_report[1][7] 1 1 -.names KEYBOARD.report[19] kbd_report[19] +.names KEYBOARD.report[2][0] kbd_report[2][0] 1 1 -.names KEYBOARD.report[20] kbd_report[20] +.names KEYBOARD.report[2][1] kbd_report[2][1] 1 1 -.names KEYBOARD.report[21] kbd_report[21] +.names KEYBOARD.report[2][2] kbd_report[2][2] 1 1 -.names KEYBOARD.report[22] kbd_report[22] +.names KEYBOARD.report[2][3] kbd_report[2][3] 1 1 -.names KEYBOARD.report[23] kbd_report[23] +.names KEYBOARD.report[2][4] kbd_report[2][4] 1 1 -.names KEYBOARD.report[24] kbd_report[24] +.names KEYBOARD.report[2][5] kbd_report[2][5] 1 1 -.names KEYBOARD.report[25] kbd_report[25] +.names KEYBOARD.report[2][6] kbd_report[2][6] 1 1 -.names KEYBOARD.report[26] kbd_report[26] +.names KEYBOARD.report[2][7] kbd_report[2][7] 1 1 -.names KEYBOARD.report[27] kbd_report[27] +.names KEYBOARD.report[3][0] kbd_report[3][0] 1 1 -.names KEYBOARD.report[28] kbd_report[28] +.names KEYBOARD.report[3][1] kbd_report[3][1] 1 1 -.names KEYBOARD.report[29] kbd_report[29] +.names KEYBOARD.report[3][2] kbd_report[3][2] 1 1 -.names KEYBOARD.report[30] kbd_report[30] +.names KEYBOARD.report[3][3] kbd_report[3][3] 1 1 -.names KEYBOARD.report[31] kbd_report[31] +.names KEYBOARD.report[3][4] kbd_report[3][4] 1 1 -.names KEYBOARD.report[32] kbd_report[32] +.names KEYBOARD.report[3][5] kbd_report[3][5] 1 1 -.names KEYBOARD.report[33] kbd_report[33] +.names KEYBOARD.report[3][6] kbd_report[3][6] 1 1 -.names KEYBOARD.report[34] kbd_report[34] +.names KEYBOARD.report[3][7] kbd_report[3][7] 1 1 -.names KEYBOARD.report[35] kbd_report[35] +.names KEYBOARD.report[4][0] kbd_report[4][0] 1 1 -.names KEYBOARD.report[36] kbd_report[36] +.names KEYBOARD.report[4][1] kbd_report[4][1] 1 1 -.names KEYBOARD.report[37] kbd_report[37] +.names KEYBOARD.report[4][2] kbd_report[4][2] 1 1 -.names KEYBOARD.report[38] kbd_report[38] +.names KEYBOARD.report[4][3] kbd_report[4][3] 1 1 -.names KEYBOARD.report[39] kbd_report[39] +.names KEYBOARD.report[4][4] kbd_report[4][4] 1 1 -.names KEYBOARD.report[40] kbd_report[40] +.names KEYBOARD.report[4][5] kbd_report[4][5] 1 1 -.names KEYBOARD.report[41] kbd_report[41] +.names KEYBOARD.report[4][6] kbd_report[4][6] 1 1 -.names KEYBOARD.report[42] kbd_report[42] +.names KEYBOARD.report[4][7] kbd_report[4][7] 1 1 -.names KEYBOARD.report[43] kbd_report[43] +.names KEYBOARD.report[6][0] kbd_report[6][0] 1 1 -.names KEYBOARD.report[44] kbd_report[44] +.names KEYBOARD.report[6][1] kbd_report[6][1] 1 1 -.names KEYBOARD.report[45] kbd_report[45] +.names KEYBOARD.report[6][2] kbd_report[6][2] 1 1 -.names KEYBOARD.report[46] kbd_report[46] +.names KEYBOARD.report[6][3] kbd_report[6][3] 1 1 -.names KEYBOARD.report[47] kbd_report[47] +.names KEYBOARD.report[6][4] kbd_report[6][4] 1 1 -.names KEYBOARD.report[48] kbd_report[48] +.names KEYBOARD.report[6][5] kbd_report[6][5] 1 1 -.names KEYBOARD.report[49] kbd_report[49] +.names KEYBOARD.report[6][6] kbd_report[6][6] 1 1 -.names KEYBOARD.report[50] kbd_report[50] +.names KEYBOARD.report[6][7] kbd_report[6][7] 1 1 -.names KEYBOARD.report[51] kbd_report[51] +.names REPORT_DATA.r_data[0] report_data_rd[0] 1 1 -.names KEYBOARD.report[52] kbd_report[52] +.names REPORT_DATA.r_data[1] report_data_rd[1] 1 1 -.names KEYBOARD.report[53] kbd_report[53] +.names REPORT_DATA.r_data[2] report_data_rd[2] 1 1 -.names KEYBOARD.report[54] kbd_report[54] +.names REPORT_DATA.r_data[3] report_data_rd[3] 1 1 -.names KEYBOARD.report[55] kbd_report[55] +.names REPORT_DATA.r_data[4] report_data_rd[4] 1 1 -.names KEYBOARD.report[56] kbd_report[56] +.names REPORT_DATA.r_data[5] report_data_rd[5] 1 1 -.names KEYBOARD.report[57] kbd_report[57] +.names REPORT_DATA.r_data[6] report_data_rd[6] 1 1 -.names KEYBOARD.report[58] kbd_report[58] +.names REPORT_DATA.r_data[7] report_data_rd[7] 1 1 -.names KEYBOARD.report[59] kbd_report[59] +.names $undef temp_output_report[3] 1 1 -.names KEYBOARD.report[60] kbd_report[60] +.names $undef temp_output_report[4] 1 1 -.names KEYBOARD.report[61] kbd_report[61] +.names $undef temp_output_report[5] 1 1 -.names KEYBOARD.report[62] kbd_report[62] +.names $undef temp_output_report[6] 1 1 -.names KEYBOARD.report[63] kbd_report[63] +.names $undef temp_output_report[7] 1 1 .end diff --git a/i2c_keyboard/i2c_kbd_alt.bin b/i2c_keyboard/i2c_kbd_alt.bin index 501267a2f09a9d2d14c30893036a4ce9227b0cd0..53e8fa1380a75173ea52a11fda6673760da03c4d 100644 GIT binary patch literal 32216 zcmeHvdwf;Jwf~yg`<$~+a!581FklP=q!2OUfkccp;^c7=6>EBHZG5#yq=*)6w6-@| zw37fOSk$BVz(?g+X^Xd7V{PlB{@Tr>h%K~Ht5sX=5%8@w+D^7E3KsTHLMG(ThMDF}aYAG?r~i~8+yD@HP20jpCg5^V zsT0QgNS=?dOAq=iW(ZyQ4>|@b;O{-$5Vy4TVX{Z_?vSR!%!Juqsa3p_Dw(W^JQB?j zhhr>BSAYn}Y9uoguPdJsc!=E^2Hn3{LK5wnR(^*^Qp`>D5%jAi!<7Y5)SO$8sTJhO z{Opodx{YYG3W-$CIl2nVme{0`O`zea8m@u3A)sk}l4N8r$NAM*%v-Hrqy>K@)x+CoLW#fG$bEtZ$VFB_Xv!_2BXdcro zgd$7Af_T-$PPPPjYzei5Rgvx@D(zQ@5V+0gVpIq-7|v`R@x+QM+a)a+%4ecNf2qkP zpk)x2J#q}}layg&l_j>&m5?a*|5c7CsR&wXOGjd;32EpMAc_WD#Lwajfs5Ab^2h*2 zut=w9ha@DOb!`D6hgJ3wm54U^9M}!daH!!@HI;>2NE>P{Rmj@KPZeF}Y%d$&k_Cty zu5B2H<(AZwl5HMIIzy9F=NN@rVbXST$uQ=_hRsje+<}&XX!#WnPiR4y!f<67NKPe9 zwZe4p>|r=2%y=aNV3c8mg|l+V1f^@xG;o^8FjZ!)YrJ5{WGhZG8pV=Tq>+Q6nZ0(j zVUePRqDUYz8F?|0Zan|)HK_5aCKSmAhFba<9t*im^FgFUNgtG@?dQLG|H-;N zWU^@v>Ea`u-ihAy&2FYp{pCORf&xv=y|)`=Lm)%xEy7RuA9j%mMm_e2M_@7cJ&eXM zR}*Q@LRdVL9C7)(8&PVSdkKqVzR#^UXrnZo%LIT*Z$awcb_W+`J^!dewr8*KL4LxI{x@u_4LInI5H9_qPz;QFi`& zk+jQ(Fjy3H7D;sFT8y)JXiZiwh6GMmV!aWSzvzdFWnXzU5{aR(aNri9 zBNV>$WNmuq4g?JaGh>kn8Y5=MEXdn1p;jI#DkoPDH0Lu^)@dgsGwi?K40@5v1%gPr zZRtC^R4qiLeoBVP)V|b6(qbFqR$+}GTHGXR#jxt@42CE1C&_j28MN)8iUx}#kq9Dh zi`z^0zx~oR2(i{3g)G+rTuBA_0-6|GEXW*0O5-dao8={<_T;g)t6^BI$)lMRST^|4 ziiEEBKXNfiGjY@DD+g?qPwIE@O;F)yT}#kN7dMThQm?(mfHe2Cv6fCo-UH35=-44) z0>it=-`-H^2C}W`F^IG#so$Z=cDg6hng?TXlTV57T)GkUlP-AAYH6rc)|14NK5UD! zeMrr|6us29yn&>mSts1XTC!p_5Gjg^49NnD3@e)qm5=-I2%|;WQ2{e4{9-}^J{_>h zi~(#Aoqy*c@TDi84QWWNmh$=)gM=uE%2qjBeEPB56&hYj@@ve}`m)*0X+?_ezAB9E zw#PSwz6`a*T6RT24YICmaw(nZz=l$$K-FOd3PXpm}syB z>)G(?N*f&Gjqz|V$Gb`CNKds=`qmVfft!W{J^%4}{+j(sh zCY7_k`o{$+KeN!p3#Ck)Snhi+M%9bueaqBWkp4(p{EciBxYmCTvsEVO(i%CdYwtZ5pvg%qWM z-%ffMh9Z0S%QXRAAzD5Ul^Kj!;vyDnKPPP6WV)I* zC++^j0q~wlB0G2s9Zq*&`5NIwB{%kg5vNo?HIG-5)Lpj}ka6p@wg(tz#iJbjGpD}? z)k!WkSCst?W>$%g=gF(2T_j7f+YA7|Nc;z+%MEq6`rz~_yBHa5F4l!X+QAbIx)c4W z{F`%uDLR&eNRglKLWiQM=`+^1<%Zj9^7WMAuP)+VH=OXd$a3zWgmN|hJVX*|pb>U& z=za5}eUh5h1q+#<0Wy@Xb{;MvX}a!ZiqhQwJBjb1k)w~=$-M}g_8iyI3oeVK>PpB1 zxCY1O2ev__>%{nx0KZSzV8zh zB*ihBCnpO9TR&I{M#@S|KBY8`9PLlw&hJzDk1tmEMv%DTi9X6`_srv80i&RHzt1`( z`!ZCSP7j+5efw-wWocUJ5k$v2bN_fnyN|x<{B=u48LTh#SQd7l!mZ~{eSoveuiFUU zfANfqLc)T5pXKvG!c>wC1OrbGLG2A%0S5Z$J8ux@XKv`t#4)cp@}gW$=6%T}Fm!jpGzAQ5$*xXU;T(Rlv8&6zGDPc%EFPubg!ZhH%n<;~i?Ur-JNU5nvlRr2X zL*nhk&M@=P1*LEaeFq|qSib^&09;H$iM=&BheJ06J&W=ExF9lg@v~VEvnK=tuPQXq zwpi1|xb%uB`7co_U}~)p&+|S$I)^hQ6NvsjHlS{f3?9 z79@)vuF}iaacj5y*naM14kzfBsf(% z8gUYLv⁡XH7KHaGjWMu7t#+alG)bBx+~?4`3e5 zH#B}@Y6IJYE=F1{HSw9!Lca_jq^pkDvYCVeO&cVMlG1uemeH9K6f$~FiGmKrx8Vp! z*My53DkcVOAZrQ#TbPHPM5mx79~*}NHeJ%z@XC-nv?0~?@y zx{&5|LmJ|;%`Wg(ONTn4A#)6H!Xs`DI-x}Pd}}#D8E?cAn9l=AKfD9W2Ts8uJJU9e zTODAdsP@@|RRr$=e5d{iP88y~`?Prvg4Bv8NM#*=K|L{%^^#l2c6RLpPi3(_EZ zvC31$qI5m*7<9-7-;t+X@fqAcDGDCs@tH(_UPr2yvfZml@rj03Hipf#v+Wyqv0JN; z$P`^X(?O&}F48OqBFnZ|wNa=$;-w;oX#c%gH!w-_T43kHs{NNJ9yD5cIO|2!LYqF* zr^%#^v}YiSv`nW@K?^)Xa;UTE9!)*+n@sV^3G6uD9B|is0#~-Yve9rob0__|Buy#y zToTIl1}t6ZPOBu9Kx71b8zURB!lNwKn{sxnCfYi^0*4OFp2%>x^fYWIL}MXcl&IQV z5(P9#|KbV5ZOY==qv@d8cH%IVq)z4whA|h9dst1ZNW}z_XTASEGwt6FDj=5*N3N`c zsR#2M@3s}>p1Hul9I@5da!r3ba*x^*h%Cx^i4#H0#X0Vi z;!#Iwvz^U+OU*>Z3^7QE|4p1cm1@HeByx^Ug8CfJ5IrWVJMA9qt23&adz- zJx=6FK`~Y#>cLv4o5ts2GecgPv$k^~J_@m@5_#sEmO;U}Kis^>Of}4kBm#Fr;MIns zIC3Ej7fiQT9ME72E6qj#oMMjLA$l51jfXQD;*drQVeKGTiD3z%@S_nFQLXD=7-Fnt zM6($>inMI!vfCV3GLoxb8=?dh$0e{8*SHbElh24UFPVXFCRjw=)={M0cN@WN?; zEw{3zT!VXIdrW@n2b+bK0at{eaCaHtu*EunnIDsRc*HH$Tl&#t5P0D2dBpJL9f{&p zBE#?L(tQ)NWXQ_Y%x;9H2LryYbbWQfiH2NX2WQYOz06x$6PQD=C8gK_B_ z@;Cv{pT`EkbEw3%?5=-<7REkRf$NHF;A)?4SVb;PnnyI-j<0}7dGM>9wudZMNAgDn z6%-g2gI}sMS0goyQj)gX)3fG+60Z4L;59SO;5>)n_Uw^LIl&CS5UwgzXIGXQ3YZBd z%a(>hp7C~0s;0fXhTMQr(uy=uDALYS@mvDWNf=2OBeN$Em&H-AgT<9ajI8D8Z!{--Lt^O?REy#l-u12F13Yj1q^`=d7@tE|euMGlbmM5_ z)6|A@)8q!w38042cn4x2;3WvJaL!QR*+hH>Lsj~6$cg*eysqgT*fAj}FgW3@CI|+5w^4!; z0Sdg>1a@#ihFr)_V$2w~Zp)F*R<7bwn`kL;*9?d(C{9)3kN_2WMxQbu{-h4`B2<=If z{IZ4XFNn1S-Uux<4W#;9S2{Fro-` zevWc@8)e(&a;Mn}j(vdgWHK2N3RS<9NqRbt_{-WdJeA&ES~^raNpIs zxx_RaxDI!M3{$A$8|QZ5E%*HouW_kB$uerq&=gb_xmvc2e#IEg)2&2pUzA@5TfKI! zsTlvwB9zm3ZsHA_(Qx@pY!F({qTyWB)W$Cnekg$^e=y(S6n1gsOd9=S@^fb8s*kV zBv$n;0oo>>AqBDpc{<|5blu*7elU<>j8mGmMCavso*E44VgpFJy!4N})zBr!$h1K& zsxUnGpkn&qYZFmMMOP*B-A~=&FTwsd$0tMJ5h<{f)3T$llMz6=^@#vks-zxK?$ylI zP>rF?va7_G;`NPm-Lr@}YXANcoITHYVGFEhvn{Bc^Cl3*1x~P!8{v&cpT?E1bIda} zg!#G18WeNhfPz*xG(xh>O)i*l;EWSsdkD&}!niWzXuY|4y~qo1=0-|VqO}M&aD~7Q znJ80dJi`S*29r2lpC3WpH?1MO%AdOgS{iuO;~~TiabVeym{hCI!$yJGBk}Rgyvi-0 zYH|$ro|0HybW%bEX0N3O5kz?3yrKIs+$4stRM`Ce%JTvY0GPn35zBvJG|=(KMOtF2 zDb$?*jE~ep)%j41=+fQ}D|i zZiTYqPMpojo1ZBt&={0WYiw#0=PmYL!oTr4g9+*6cqOu+m1Q0jnVkQMri{1ijoNDv z>B(O*6RTZ7V3Ts%xXe&u)Kt!ucQsZ}aZ>AfQ5*{H-5>}CMY^md$onsWc_n9kyAW_g z>|bnny5-tFTVyY_K5?TWQ7G%OT}}${&V<8)__QG^4*Hg-LRK|%M%TZ>oXYQ7kDYm) z<>xxPOs7r^*^r8DhZ;)MrpENM{Hnu_fpQ^evb&qGvf~_GL>nz7*)m#>dr5mxn=*XN)wTD+;PMKW6 zmvbN}$rS*P3ax*}ZM&`G7%3kMgx#G8+sIhuz2dd~Si)%S(Sh8_z&E zhv^nsRJ`>J0eMFdm*f?zfu?DCtECiqPysRf%k_aR!}7#y@HfAK;HWvPn!*aNfD)gn zR|KeJlv*qDQ2u0k(#ep}0?P!`hsRptV14*A0r`!l+@O}^^{g^;2Aq?!S~9kTS{nLL zAu2~SquUv0p6zhGhm{A-sG82MU&nkrn3FZ1RLo6FYO(9S_!dD+hVr|}XfWRqgu@s( z8}7g}k>iYQM>CH;m18>k_P*Foj1G#VhWR;^>4u*{mKJAiF>;*-E_D<=*eKi@5XSI&3CMKY910J^-GU{>acST(UL)7rS%xb2&|f1xsC-0o z3-s5=@whNFS|w$Uv|Vbj@Ga;nwo{^G*pfO3e&`y?`TWQ;5c0Li711ogq?ojn$IeV| z4R9i+W5aptjcwEl%!%emOEPr+Z;F~KyVCbz=}BmQl(Jq9)QtXJY8tu(3~} zSHde7C~ z{>gwab%J)0DZ(R|R>HD`iK}2gpC`vG$80CLsfpIDz^jn3R%7jBOyO74q{+($fUJ1b z1X%wkzLd0qMz~^9kgo793_Cc6hpHR?bQF31XP?8cV7;6J!$ai?a2q)*z+}PD{MT{( zmm+i6OWJFJ;bF%qe21$_Zk?55kqjkwlzr<-j_Tn{4_u(v$`@wPcuZt~G0?tXMY4r} zqer6;xbjIp`c_RiC&5G_4_YF_UdS0h9Fo;&D?j@IqQsZZH8}2}0~s$cmg#>XF}4pL zeVkvSh_|HwrNs6O|HR!zh~jdDN10)gjbMv>>?C>zs};A1WDO10k1goqGiE+EtNCv@ z)qhjBSCxqk8%p2XwOpq-!NSX#8!<4x8`N&}?=YXAUx`M|S$0M2K{a)Ic@=1>6^oGK z1@{tmF=lQPvI!lVFDfF3-*Id8dS8)GgA-Z|&(dy7$IKjGq*_hlWy24U;f_(h8XA^- z>z5apb|?F^xLRngd6OR`NtgDs{3sF{4LU#cwt2pw%sMy$3=r9c6yV@NBE)J_+ZoFy zbMtUioc83Zp;xb55qN>+l=?4y7CE>zz^Bl^OL-G72v(X^AVD#Fz#*R_J7@z7hnW@hv$ht$p1-1A*l8V3khjqk6bfM(xV zU_#6O#_zQw7S_vi)36+XqBwqF09g7>&4f`uivn(^2)Mi(*0l zw%mTA41}dvaQ^nC0V}?iiz`IIQjrV>i5(r3M=W`mw*x;lx>4^DcD(uy1u=R7A8zpq z7~FaZJ-)kAlUk*&zcIreRJa13^h2U%Y>uBMI5whBm5jFzAUVGSG-FKi#YSIF1`|B3G1J*&%x(t2}K?G-44sfg1jUd!c zy*?jR?6&+GP@sZK_pVkIf|e!cSr#!6#%0W(r*>^|Md@ALMpJo9OISB9(uzyUU!qomd?eSB#!fG@^blRkqfByHtL5+L!f1y7kO zk)y=tOxCA~Ex)@jTK}-m8|;TAd^-ow_$2Mrz5qVoupotUox8Jlw>j}Sg*jaaM;oY} z3@{uH4v{{{itD0mFzhq}5&TrcNhT1{xd8=VA&Egzy)czZLF5lWbFz3si^7X&Y0QN@ zLA1rE0q$>74hhfkDgz`0$M9_fz{C0i9LICFv$_pAnQwI(Rw4!+?d2RG8iPsd*kJ{Q zT++HcxAnVGBXKMZX9#KtWPJSQQDS5PW^p4X0od^_e<&V;!989Y)d8)0JphYK`S3k` zCQzv*e0dJA$|xKu?yMZI==0Am#3Ci1IR5_Mw29k_Zap=%fN4-9{J3uOz%?a)`pb*v zV|=FG(oNccBL_i#g4Gb3;}YYb)Z4u@gG#1P;?;Bg*qv9kf$sa$p+7>qn7Rdv4|qo|}HZ^34RxIFw#1d8%~4hsveO)ns4@u{6V z0+n>?CU4-lOy5{%bjUIx%%T+S*OnVK;)Dx+Zrf%A=8UqH;VAMTheaygxg49++Y`5= zj0uSyD5^1-X$T?{m21ndA6Jk)g`VO#*3FUS8XSnlP)jWMdMo`DN84fZ)}w8< zqVJGr28Bo~tiWky7zkBfvLH`lf_t*)){I!-xe{&dhN`g84UqnJ`2xk{R3DNK>&sN& z<1Z(IN+vVZs%tqL{zTP0-exmh7=BW&@7&x8vN(0{P)@-qT>(O6`MWJRxRRi<1Z*IV zOG+rb3s0YBr!p>iQ};&()t|`;sp3W7vBUCMB)VAP2&fuac;o0B3(79w|W&B(_`1H@~2H6hCo4R zDOv;_mvu*>*qtM%`@Bc~0QC|RVK_cKUR{YJy9+fido;P#TW@BU;Uf9K|tQ+cW&YaB3MztXQHr(QX@!sV(E8@>454V zKXmgN$WKuX_|AOz{D9edeHpaym||7;nGHd7?;AHjyB0d)=vI!MjucA_3nPK7CBqha!SGLUWq zy}g<|UCZ6oCl}v@bxAo7x(ScG-$bu{=%kG~)iS|6b@%{Y^J}boY72=09;$P{nBPERd$!**Io3qTuxUsbc`7kV~!wr7R>jfvKY zkwKAivUxKGM>x6(t`C*U}(l@bmyn&9DWO3OU0@J zuCO)mwR9WLqmH+(z!b-O%)<>zii(eB=un!_{BZvc;iqn)1dZ>WlYYd|m0Q2HtzAK# zyYLH<6Ce#xJN_zD1&y{D5^Kd(9=tdF(|Un~mI84CkXebxYESCpfNMDkK_Se;!(p1Y ztTtuZrAvP?%;+f+IV?nm{3_i`SbB)AfBZe9xb^xB<ql(YC(TRL@(WyhzLc1d(zJ`7 zQ!zT2#9jEh*leYKesXV|=#7Wb1tJ$cIdmR6a;bbkK!ppT1-@BcHI6XHzlkdg(<&}` zhb>oFvHr|?<^+7^OsN2UN_qS=jGqJqQ%c%2Tu zC#@@J__I^zQTy=R*7ol?v*GvpMqKocaK!OcL|g{=D+MV|cx1iN-qPE*Ye7eS%3vKb zuHcb!9dsYyVTecESGD3Vz&-hHb#{8g#GRLP23}AfggZ2bb1QES$@SDjEiE0{ewbCC zo1i}z)IT)9uN!grp~MLo3c?@zV8Go}FS)hC{uPBuzO~RL)p}~>tpU2kdie*WLj3+d zgo9HhaM)-)?xH^E?@ZjVP7xh>C;MvHFg(8h&704_N|bx55yOhE zakxO=n)ZLBkJhGIG-z9VuSjt08=M|B`Bo^^3=9-Qgqyotw4vmDLxRTN&KvjedtZPC zbo8;lsIU^57sF>i-?h7^?l~R11-ZxXXbC);aHP2%a%}Io_hl4OQ}{{|BtHYWI+=6Y zx)5a~F5nbeI~o^Pa2>Ry)E3Hm+L_HS@0TY2Z5x`o^lM1Lj|E;Yom+s)A{rU;YBiPQ zQuA zdigB^^GX&UfT({txw0Q@s#nQOBX|8iZ?6nV5*H1389M_pN5iMy8q&qcDXHrdAm6r% z}|FV`Ie}?8sL%c21MH9V?Ovn6nO(kk%dJ|5|g2{~qJ? zC)ase(zhN$g6{)Xxhv3{7?(>0(0<0+Dl!GjO6ny95p_KM0)~fXy^=3-SJeBp*Sf|V zN}M*lA2u^c`r^Plq{`Q=ZPi$Jr(SsgQ?VY_P)hl<-|{kTwZsclW$T7N{gw-lw7xOm zV{228u#ns&nJELk5QbO8hStrwuZYF%JGXBS6lUaBj=crwAIx~2{_O%X2H$m)k9RZj zK#}Y4=*dcAdrKPRvf>%Ikp~4HqIdKCuAuVy8(yL|I^@<;pY<2RUwe_8EG?FkHX=YH zkM7Ob*EgdL8czF+DcWOv+Y=9F+p#yi?i)NDh2VKt1)iY#BD%?JEFXukBFf>nQi&rU zU)D`RK{p5{K&A)!yHX+4D}5Iv<4Zja3+0wqsepQGyDkONjW5^NV+ZGh|F7Mu=IpEcCs zWY-0di-(uh2A|riLg#!mwY0v6xw) zK!kK2z7J|sx**-LlgNeoyk1KBa&d%&Nan$Mxs>}WkbpXp+Injcq|({cL>> z<5yw0FbKW$5=#>~&7_p!lmTnY0of2m%2>efm&nnzgVYGgh__)H3JOm##{aefs-YV` z0t7~M2cuOa`(|r#a=<>s^|{ul(dE2Y<0jozC^1~cf?9y|RkI4i9U+*^plll2Jrobg zq@(0=n@(b^MiumLIA zW8w;zilv07o4?HgW%lH=sen=pev|eiT`@-mPEEliZxU+Vo@IttszJ!?7&eGUh?>3y z;pqhLQ*lUDDyz+qA`jj~nwW#Es!{o&6(;lkZY{Au^4eaXuc^iS6VKvFayLNa?oiTn zcz`hZt;w}x*%@D8U&JO5MB2+dZW{&(J`d1}i(7|k>87S@CWg?YIhWMw08yeZTDh2r zo?hE+c;+?y!3?hQlZIMlA3;su!S5`oJn_fa~?wmev3dtV>?0X zg9cV%YrYUyA&22{$vt{HfA|@=UT!`U#m_`lT*tXPq)^9Yf8el9aF8R8$s5vxwL|$_ z2@ygsrwe9L0){_+1NOxj@NnqFUXOG2Un|Z=iY$7DN_DtqkBzvacs!m&4hg#%HA z(`RkP(%z_oFkEWX2mD-SK@b^6j=x|BQm6Laovx-FQ@HOb-#sQ9izLHC?Em}D0;Z(7 z`#%Rt49YmxWNWOe$_Il8WK0W5@c5-rhL7&$Q9~tXoG_l z|H&{8+M}K?zyt=EES9nO`U`9DgoD4?*u~bdNS(#s{H{Jm;OWs4+KpYYGrugvwLCbLT0ev-Re%#Q{c<*F##}gdd`r+-_x&oL8Ex^ za@?oJAZXOhRK(T1 zSDV!6;_QEA>g!c6`10kaV9z6 zUMoI`&Rz+w*Y6wTAr|?C9T;(^wFA!)T;>Fv_+!5qlol`pAGBZw`?^oObAa_o z$f~eLdbUezA7#?$B)J4+O1&J-@`;h1N)3{rw!(MAItVdCxN46SIk4Qr>CH!VGK^IEXLGtaVxl72>>unL*&sOs!VZ+6>xIV&5;PX^= z`uL+>`z({8B`MZV3ry^QDd?`+SUlURG4LNghR9&p$@2+!fNEE4fTLM4%pOZnc)qKEcodG>bcFGe*eHT<=HeS01H-`^E+g*j zDD1C1Y*wCV?f4r|O%lH}F@Q%ItY}8cm1rmL?Q6zoN$S(P2ABq@4*5F5&MnEX-sx9T zMRwI=2Ddc0PvZ3wpT`Fj{!0xXT2|f5;`1YZUgv9S*__@Cl@;cpCIHSvQP+419@|~O zKZm6GXEABm^W&I-fgjW|J77($Y~rSjT1ENCI5?^K)Xx5O#xf#Nlc#HB0e%s?J=LpR zcU^*Nw`)9WRNQ`Z`&y zNS$f9Zo_l@%MTUX;mcbqJ;jv+vJHIjWekB!8`3E7MW_SWX~Y-nU+yao_3&tAnK2Xw z$`ouhbm3t`iaspVAT^9B{Eh#g><;;)jUDY}nau1A)^JYK#Jvmw{`(A*A4y8V(1^|= z!8BB))>icrIyXkiDn?XZsF@Ote3dgMpw~Yg5+-Pbx>w=F4S_Fof0fYVb66bh&&0-pD$*zFEu6^ zUH2?-HW$kV%;V!xj*h>JAM1zslNWDi}EoK z=USMsC|o&WE6z?V0?0+)wbN}kv6omKT>+q z%0gTcMiawRIoyB+C|-AS(n8~B&88qjzrC4549`6WZiHl)qbt<18S5onVE(vYhISP2 z4_LSl4gpd*$}V78WSC;-Gw4&#zmNP<1zLDc6gZWF-=)=-3yG=u)Gw@*Ckzg5BwzLO zpyH^>r%+5C78!!_FB3~b*2oknq?syi_h#dc{E&IqclDNd832t zp_tV3a6p&CfH46e(|r9XO27UJkL)BI4HW96)5TgIIO>O?nzf2*K6O_XEcG2#JUY`C zPAh_+MFuX~FL-!oz-1x4mY$OC0vx}=a2z@(ZMH+el^!qhV&DMA84j$z)8}K5tP)A0 z)^{t}@GO4qyI@@p!y{Q-H zWjwoLL?Fn&DlpJ;%d&!-$nthpv~h`t#dEsa2fP46Ho;y`eAk4blIoP~@q=N$3o(G2 zhRf~OTXK`adt!0wea~qP79+Kbj~d83Ka5NGq^Gj0UmSz*dT}=1H`B{wI4;pcm*zE6 zqz$A2@K41)^?bD<&rh~ZQeaqE$!m|mIkbps?BngoEbzZ5D{h917N&5hQ8hQMvSdCJ zWzP^U{U~JPy`k)lG(H^nohNWU!gXzXKegE)!X#I4h!NWIYU#YU3rmV3x9HnTW!w{8 z7mBfI!c>^BSm_23Me2!J2f_^hlp#zO#s38iNv$-tk?o|(x#Quqqt@NX^UlM=b7`%n zjJOKjgOlUnu)m~%-}&>6+|sS8w5JWU?nDV;iCX9Yy+Mof9Le|Ny)0MPQBMr6%&4qi=RTP_ZY zu+=0Ewz;b)oA|IA_$fF3*MU$*9{1EWP)UVcobqUKhPrXxMJRakVK1Qb?C3u&zg61` zHNi~8IR@7yQRj5P?|V^`c(g)ckr$^P-cLQm8)!9u;f{Oj&_%FOrr%+6?tk#Cz#&gv zh4m6eC=8YHN@Q1fWVK@Nf`^Fy+8px1Dwv|z|R_^wRG5PjjagCXYAf8~`3GZTO~ccyby2udEX z3jZ0*^QHzMIDc4f_nx_Q)CKsbf^3;^nDO(U=X4+J^T0k2eDXZdgH6XS1D`z8_gTEp z1N%G>dSJL1JP-VxOZb@ekAP2r3`d38U@8Z(5ZQZq;m6#efYaz6us45VkJ|XKvzr({ zq{VV#z2ZFP+`Amp|EE0eKW>i)84KZ|i|E#<9M{MEsfZrEv%phL2sp1=crnUHMR}Zk zDnep;U*D^IZB(|$OW@s6Ire*8zjyn+`Q!SSKh}Hi{$hIEKDH-rAKMf2;Yq^6|D;bm z&M|*nj`fNC8|xGIKPr+RXR*J&91&tY_V$0wAL|?Q$Nr1!gBbZF=KIbApTtq$XY)P} z?BRjoe9Jj_KM=2&&qX|kM|m;I%HtjIquNDmbVP*2J3_nzY>Vh0 z)jpSDQfOBu2+ae4OJKHw+3I)bZqndQ4jIfzccXHA;@&c>h&<_b_O3 zliYjm_nq&P#n)AW>?=+b73KCNIkbcn(F~!< z462b7pri*;fEw~>K@o_;FN9(V8JyR4Xa%N4+~PAJY`HK%O`{X1E$A5_M4h^BH0oi6 z7|xwaayRZHQd8g!YRPCBjYm49H^>v!?h_#HKAd6|iV8omuSoRom1Ks>!f56LK;&Nn zt%y=2SW93bw3Lv+c~e+0gK7Jy8&*IC7Rg|28YXPx=O7bO%)S+h_B9;l$QH^{3gmrQ z1$?;=f%?e_AWR1PkVO%+&w+$E24mAdpWMw=iXeLs9C*&Jp;iP5!-ycC-J!_ahf{WO z7(}qd=AMJh744>qW)qbnUIah+5!Xk}Jbj@;uJ1B-k74 z3#mx0k*;4Fo|;m{9`b!M@l#Di$Vki5RH~0UA*!T|pc?1fR(4eD6XK;Ll844Z$DFX+ zfeKKsgvJ1?1?B?dfN_}^(zM0*CiQzo!ZcTq2vCX1=6j-9 zCN$okQK&fznpp6qhWr^#72Cox(zfLmjlnJ$5T}xN)6Yo~N3Z(MH+);K$+?kQqd0`s zO_x<#I>vqykkrI;PYKJ=Q5K0Z)Mv{@YBmLs;2KiTk#crE@-LU#zEF)2X|-JB>qZ@7 zq^n4>$f1+YJ4NL};`k#2izK*ZnYK8ZW1ybn!@#(!zF{m4HEAod+!h#ILMQKZ> zVk7GpEwO@`LQR}Z>3SEbBJElQrciAGkw{|qJtgt zCfJwq(2}w6HCjdMU5r^;u7nO0;cgBfE0>mF7>n-c>AK|cGy8duA%lIIJ`(@ zUXy=ybDD|MAFx&4+(8@`E$@bw#;1hWBMmGS&p;j9?PoZpu4&V3=*?nEnv~daSTUNr zF*M%?qD4V?eXSa>6wN}YEg_}cjAyK=?Da>}G$C2z!k-MTP1zYGOk_dzNYoF1{3N2I zqk3a=EW#7D2S)>749-W&qq3^A15&%fl?KGxXaDnd9nL-G0~aSQk8)RQ2W&g zEaXFFTAT&>X6o%1`-%$g^43a^+R>go9u3lz~AwZDYnyu?PpkuhYJp~`J+ z9Gg!LM0zyktz9VQ+L&meVAD8V-x5w)5^rwaL@OylK3c}j;(zxV(XFv;oOpXhX3j*> zr|S&4ik#(^N1E-|e}Ho>I3d!4l+dypXzg|+`tb#4`ksL`vGSV~2v%fxmeMUVp(WsX zo>+aGPF`nA)KalLL?9BD0mLRnu77|LQ-oG7U4$vCi42)f4I9h8t)sQg+Vy!E6ZPIpR>qN9<-eCG)#~;ytoMT z-}Q!vLd3|(Jw#tt2%>0sG*LZ^Y&4fG!(pSd)SkRcBn)q5!wnNXN;(kP5$lR!jt3P1 z6WJxXE7)*ANhFR5!&VBks9U0qpoS?~TTNv!+~ZxU6zagm~6t!DMwsySVL3Hpf%e5II z?mh2Sb_-Dz%QH0A2~g1Z3A!Qk99Fv6lvmd!iIyC*xDbl$BOI4@kc@wCqE&&4l#Tlx zjybL2ku{h*aWO|)?^KY4FkP>`Z3+Sznh(98OwsVUYl={zV$<4CA}Syu6tGAwv*a3LkXVDcx7g|-8+`Dhl(iLP z$2xhzfJhpz?WIJf?EU~EbiEx$<{cAg!eWb9ZsKAfdW(4OX`)dl??Q+!gGhMF0xv9* zwG?4lo~=EFRe>ORcLsmUe4iBX4pET`R!Q{>0jHE#t`Th%$^KQ zW?Wc`*@MSFEN*}#)lbDJvt0}`zBw8~yen{W!@BWMF^^aR*)hxz*dYOeqtA{H`wa3_ zSDT@=>f$Wq?gcQzx)55XKVy-KmNX_zFu=!JS^&i`BDO5VfcVE;)6uF?1UZWgl1icm z5clHaS>v+G$J40q-9|afNWqwZ%0(7!(HP)U@7RJNCs%gU(^&M6RxDC(Wy3Mzda#yp zX@^cc*3-eqN0$r>U{LtQ&E5r3CZT2Hsx^5SZn+RP3>XQDlO8ZUPR-B~e*O`BiR*{) zR?7@~h6fa@qsz?zL#XN@ZKxV@uwK?JgD|tSxK&e^? z7Wm&C*gyZDAaZ2XgXUfa<7I!fdki_~4ESr3oe>N35q?C0?1R>hJo1r+ z7u3WhmO7BI3SpE=V~Inft6i~f+W^yEgiR`eoRHr4YQsq9mD_EJFA%&GZ zp>5q@NpKU>jO@i#CmuP==LPK5P8?MX%8s!u_9o~LV0>Eo>TAkq(RTSQVI^AwkO7h= z#x#Bbet2GBC%PGnt5DPC~2L?NAMzADoQlLo@rwY$p zp`eIOXfikqvY*hC;sT%}L5;}#W?GF*VYT8e`vDN@#sNu(jvPdLu zk`~VEL#O6PU(&eGdgH#=Pr{=G*q&7NNZp~)n7}1z_a#(RT|2{@MTo#Z#910P&P=<3 zyOw6*He*Tk(_ZWYM^D)Yh)b7_3<{P^SFm$&3R$};ER*vF8_r<2+Amo~MItxUH6Xg> zk(Rgs{LFpq zHn;>A<>N@i&QRo z9VZyqJLewiC|KEcz)6lwlt*_$r02#H-$UORtq^3V+XF2+Z0V`#Jusk-Mi`jb(3xc--Hsl_~dGuG2c2IW3_h{?{Zg613`+kf@j4v%tG4;Nfg&7FVbhij~t zut`D8xU-olgwErKV?x&MPP8N*s@Ac^0v^jw<1Y1|(z6{Eo*_4w#19aepHJe(qP4PBC}W@;}F zEh!eDkdm%b#DhP#O1k{IyS2+~FY-QKqqXe`RSu0t@3YdS&{t9?$*eV}_)lL^N;`LgX)n7?O^yZXMEcL0Vrq7 z$pPvrygr9yRs2h{tI$k|6**fyW68(S>_G^AvlYIf%qZh$cQ|e5`+ayY8e+UFFy`9n z{jlJNeP=gq9@!Xzl;Ad+d}E9u7F>Dcchg`$mmlgBafqk0;x2qi(D$KH^e?HLzNO5B=2JemiX2B-P9( z(wSUrD~!^<^Eky(;Zf#p1?ShmOSy0$6%A=7L)QuFkhnx5QS?a14aG(bMMq>7K@4&p z7g|m<8(H|6w*`0OAfq5!Ff4L2iwPmuyY4QZyt3LMnxux8Ad&#y9wbQw@!sIS zRP9d4fee>L$|mge?_yVQ^e_V|gYvR7^YEFoHp7#^od}T_9*>4U!sFL)_^(lB5*^g( zEC(W%9B5RdFQ583KlGB9$>%^+vUg!0a{)3Hz1QZTa=m=~ZpWAs-zF3BXkxe~%sSU%wp{HV1-e!LpjN7YYuDbhpUn9M{5`wo>7 zi&^Io+Sb_zmV6##2!{opDb1cCbL?!n_pMb}q?RJ{gDlzX#m z5b==GCfs**BdmvKBx1dO+F6nImG5UL-x^;6sfPJ5`uJ&#E{FOv@FgEyU_nP&rvfz? z`GIdohiO)PmB~w-&yz>I%m-DR+-ZbH)<~b`N<$vUY{}A)KNML@r#S1oVBlNSUlcNA z4t%Y?0DgmCHy!=EJ~ACt_VIOyzdH{3PY?m(>W(&y@@-vbvpWDuO)Z~dq4X$r&nyaJ z?RQ`GOF#V3CB5LyQ@*_$WI-?7zYMFiqtgWwPBXaUOo(^Zl+{2R>5e`FeB>A! zIWG~UD1C`WQQ?Q(k_TrBz3^hz^@_6Xh&MpRsK0PO=XbTmZ>H5QqvbU2^cOHxm9;NX zz=l67*kJ7pXT#li?6LqoT5w07&ZqghH{CQk{b+K@A+c|qj#-nfv9V}5$9%$VTKU3B z&}3XHhXUyOx3)Lp7!$@6Psj%7(Aq`IFybnZ8s|&PdMfkZG5U@A{yYM}!kGKMtH`;N z-kYWA%QQNA?OHY*m6hiY{bsSeba5RU{UWp^dha7^b}R zoy{7V6L_IPED~=g=rW8eFB?P?zJ7tHFvg$$4vyLY0z~2^s-FM{(b!?HVO}$aF&}3z zs}fh|fzQS)C|xmjargC0x8UvK4u+y)_s$K3= z`tJ#dM+NKU{D$j%C(~6o9Mh3p{pB)H@dE0Op>t?_*$G`APf|=kT}>JzJ|sr3E>;xG z-_lGSOgFW>{1LssG9MV*yGJ`}0z;%Keu9*mXPn!Lf|IWlbFol}lgH{P0#a@6p=Tm4 zV<$fQkkZAc7HoMmRKKpWpmUzHV{DJ6@QI?LEslxaRJGa$bVR3N-a&hnfzu?rCiC;1EM z@YSeniWm};O+G>wZhF>aTzOYL9EG!iIMxnNR4Ha1yfL;YEF>RaHv8|PTJDEE3cWuy zgB{2HLrcSkF@S`fW6Mn&Tgf^5_8T|zNE~@VbcG4r7dZMck5yb8J@cJ3$?-jJ{@ADB z+dDUh?fE`Iu}kn&x00CwYCg$i{F>wC7y| zW2<-ENCl?)_Ca~JyJg|Gx`+%Y0e;Xrb6Rb~N{zmp-}0qAtYATLQlsDgp#o-y2a>3| zXV0gPLCYX+-{o$M(H3`9HNc9Bcm#fn)abklZM;8j;^U0rZ0ote{VL7sn7Brc9J5Cc6b-CfU ztjvi`71?tdQ?>*h5t8K`3v&rr{Y%pP9Z3k6xW+4}sNjBPz#%fy5)99F3?BdScA1R$ zaWAu3tc`@wC2jx|hR3l&+R0z4;k;$gSJm5eSd+F{b6AMdEd65~iREC*)7(z6|W{b+b?hH^=q({xyY!6_j&hvA$#(qKAur3PLRnrDf1X zG`JS4E#}g2;2G}wS0IKzI zC41Kbi&P<+Gh+Q!q`5E+zs65%^NbHbx!tq42rWB8vgEw-{n`Q-1%6E=+?ho#F<`t| zhOZJuWF9UTI9D({0JD;dsHC)TPCyOdya0(y-(#GF0&2mo0wIcqLL0-otqp&nneLZN z>gwlW1P0SUpcfWtEKMk6__>7bR0D@HMzNLv_AOcr2}cqlp(X4CDFlI!m#)*Ll1l{> zNo5k^$Ae;Ftq}!}uEk7HD5L!g5#83}Ly)fbe2e0(_?i5`F6f{D1Q+-Ank#&2l7^A% z8p1Aon$)nO90Mml{C);Cv2*KKX{FJ|=3DDRtuc1n!ke|Sb?xM7s(CED?~6L5R8X6= zacP@+SaAH8AMT8G;nBGZ!OhYvt8`{_ECToHZG&<+QBKL@1V|&GIGS?%B`13Oa z7i^vUsDK}hv#pEptp65fIjoutNcO zTx|U3J93(4p7hRWP)u2Mo+!&T)C6gm`~{?}CAH71h7fUAc77jI@~b(8@~ow+W}=-B zjBghwZ{QmB#AdFlK4VINERFBb@PnkSROB2cE9deIno-3&3%KdXex^f==M;SfWUF|b z%SvOy+?7Kpn(l^0?5Z>8b#n!alq*s1b-fdosnMBNz8jiVPRPQSbe|#3H84Dj%y6$3 zeCkQvgn3RXK6$<&)qXq$IrcL3u+mEN%_{>B&nL8!(Tk2@Wyj&t7vRxucByNuB_M#+ zh}}6q8MipQD%$752q9e-8jk8}vE3_j!y^NTcPR)@?4t7Zo$DcUXug4EFnAN59Dyt~ z;`_>l{*_=C27bJ;Tf;JE(F@FEL3|D7`SfH@8`p@Zaq71qvowqmQQF5zy!$QSLHu4w zq_$J%QuS8L>Eh#127`YVOkFK7uPnPj!zH6`;;IO61pn%*YwVl`MICnaZ8XQtIGepJTz2-n%YRAoCqUUksSxah9j|6jiwe8Maj)5JHdzyGJx+a<4og%@<8OQ zP1|-%5agXZjqBFOdaMMa(4`A1K-rXPa0SX(rn^*;=Vn+h=S<-oudBk0@cf+zT2Z;! z2^7=9eOra?3=Ok#xT3kaALB>CDic}=v)NU?gG95I1=|HY(|aLxV|f$Jn~n+RKZZY4 zZNN1sgVE3dDe9)=JQL$9UbHt%A6V7H9+;%d%Oq~8Ud%ds$VFW86(kr*1r~9P&6>Js z(y+I#Wn8+8vDnLs`-@!CB{y&ZAfWlS3`QS)oGQY?kOoV?j!8!wJLG)KcHn$`>P(kcBIA+7Ko)t z16;m2yP%2tLql$@<0}wd`L<&( z5*wh{xGf%&s}*zvz?Ym^P-3H30Lxi8sgrLigt6pkCE}Z^62Qkd0FS+PG){sf3czs^ zQpj|4W2J!uAl@_BP~zHMiDH@9sG00LnjN97Dog-g#@#uNl6jax1>e_94xywa^Bb!5 zsGa6c3(jRmk^?Ix$D=L>0|R`bt6&FJZOO2=@JWeRuQXmqSEjQdqpxq-410ms_#-yX zJ90uNj&JYH=_$e~is+A)0VmOZM#O6T=JnrY@QUJ|1qjul!*=@^Vi()Yg+-kJOJeoy zyj}u4VJa|VPI@_&g*Q!uc**xLS4cjmxSGW4oaVAfQdr!)sw4iP*M1i8&oDr9@A2pw zwSkSqOC2SDQm6oS((rf}bxB#*Q?$Y7%gu(BCBeQS_G0s~j8z@+ZYLa-8K0{w7}P3C z91S)G71)esOz!1yPB!RHWV%v3Y3aT!F=7+7f}M@$=0AWUD;|m$SoZqz0(07Z9KIR^ z9=&i2Mr71!&z;`^krmYeMnYjbTQ-O(L`Sl&w0njGG(Y$Ye)k?2Ru7nV{uNz`bLoCBs@_d zI4WvC5Mfn|!_NS9d(gWDWrk0A!Qiu%gO5g!%N5Qktgwon4kOs`(nIf1vJJVD$Sk7 z90M?9*Zn2jdFj_YRAu%r8i)>CZ%Q?v%hhgZSw8QjbQ2DPI=OwYmV=oEz2Xg-AS^mKYw5VZ%Ct!Gf>f>V21F~dLr%fksgs1Q7LY}Jmi0qR zCw9bBE41qhQ^q2y9U-{>v!FtP`7Hhay6jmmqWPZ{X_pHy{5hX|rfXIktR5{Q{h6xI z6f13Y>F0|Eyu49kL-w8+IwDR5%JI>BNXL2c$;7t!t7uQi0| zz>*>@E$&bo4p00SF2|-h!2>yJ#~7zy@G#Zx?VyTOMo( zeTiX-K-=N*!xB1-8!Z)WT;vB{fQeca)ll7PGGMY6V#ecZZ?%9b@s`TDq1y6 zNs60FT7$bU9Q&3QG#s+5g9X54c(P=uHAVy$vu8@irNnt{(u5c4**7pw+iF-I<;a{6 zbv1Ia=tRRsh_pG5{9N1@7N98Z?HP%o;`7@0eQO6wzFdbebTuCW0I*Y2HZxE?fNU(V zVpb)5;Q^%4I-W`8oD6`hjkIEs>=THLN3|uVhD9Z5nZ-l_Phr5u(D}1Msr!gDmWdfg zE$^_nRL=JoS#|!z>YFgobjx;TMAwy_aFc6ijBUyZ&LE1;{LVerHN#=J266VkaB6Qn zkP~HXipJ${y_aahr*b&#S;L*Fjt=YgP4VIIbg)OwqPquFZx#L6}9UG86BS zo5;AzY6)s=u}+MEL4|Nhhz1+l05mC0<<$k~iNIXdIVGli&8l;`1O`YOg2bhF?f={2 zr<|{M!T}x?BbLzj1ul(O(605%OfmSJ_sX?R{D_6nvvCQh0bCoGS)ITE^rzu@&7pLg ztt+W#R&K^$h!28_o`Nl@_*l3cU~Cad(;yr+3X_Uzcq!6e{UcXJWl=LS50i0p4UoEc zWQI)uRu%-AjJ_wq`Nh;hVSda@m=0~2Bb;{N1TncPwYPiRF_7N{U41FrwuVjy8!UFI zyUG}ghe>sS48x$>J`VO>9=O#$+F}K%MBZfa(QP5Ce^m7eHt`gi83s;K4Uos z689@~NV@^Up8B%(p^~0Y5fDRBclSmZS&AVK$06HsmpHeix@j-q`|rz8#C@H z&ENe!Sxa-cN?4cH9@=ArJ7lg@1soDDz&>p`eB*Vo84T1bMO2h zp)$0`#-(eA20j&q0WHDFel!N;QL7tQ8|%V5+8DfOd+dePb$+aFz@@w7suza~n7uP1HJvr^fA`-H2GdQRja z2fk(F$(fkt0)wo0;#g$j?`Q(LCa30w;htt|`aw_s&`H##lL>yVS`z1@J3oTHFHS}bq$fKYsbEy1&G$q-zN@8YGE zRBqc)lZCs+e0^e(8-`%uF-a|L9oXfEt_mOXoS9l032l2SIKCrDf$!WK*C}{NLbWo3 z$AxV}YOr?m%Mij)M1ViDqpQ~MN@&cEaqnLxtc$SF;OkgV6s`%mpla)zchqU`+=iq= z;izYO3^U>m(O}WUgq+yTYa~;WOCIYl+j`Y~XK%rJ>D0VzB(05CGg_Uhrcfv72 z&{tlbg_Ncq*AwvI;&t9bNLn{7zs|5gc#rsUH6Z=O<6AIcoyogKV0i{iU99k^U4zx9 z1UP144Rq}TlIi9sAl69$7Pl}kUvMIxC=w@oC{lb)W3Cq%G14+L4{m(RT}KnAxmZ@9CK<$Dch;g8pF z1>k}G36J(6Yh^7vA;%<_24P&{+#nt; ztO>|FwZ71pwkBgGy{=&%RzU$4CYzTf=B9^13xh1Bv-jU#Y|9z>Zh+E zW$+?>xz>_$r}h_Wpe(@tj~X&1_HY4h+c%BsdJS>x&72S8k_XvD~d4mS(XAS6#4xi0eVKfo%qkoQ0MO(6Zw`D`Jb5|(6>1_iSEnDr0IWXMG=(eUY zTHM>eHvB9L;?o~NhMymH?_&IUPi9#@XI5(be=x%*#9~?ePj}UZAOVv>n)0c6qAz>r z?0`9qQq3%Vw(x%Dv0CtVn3*8+ViXcSIf5*mgrA(|kSpW9Xy9Z@lSRAj#9_KmgI6Y8;yq z2A{E9iJeqZ<(>kQDM3!lAC@ron;kneeB1f*9bAe)cBXsm{3Png;Y}qBcYTSWqFEY& zVM=^mRNh^SuZ#i^B%Wi4erp%)I2ss?UrlM7MNMaVeM*DKz?bt6Fhr<6AmMYNjDGNOrrF3aI~K_v7|Kj-Ks~L*T57) zmDo()+Q43i)-;;PII@oMr>}^H2fUTJ25xb(R!FBUf^Gv3kI-Rwj{zBg|D2^R2ZP$2 z#V83Uxrz!*2PhijmXF=NHhe(!QG1`kd*}S6Y5a$pvi*eW(jj#y2}Su+GesGo8G14! zAQ30>@p0`?P2=_k?eUNS__AgCs&F6o(Zhk)*P 8'hDF) && (kbd_code < 8'hE8)) begin - kbd_code = kbd_code & 8'h07; - if (is_pressed) - report [7:0] <= report [7:0] | (1< 8'hDF) && (kbd_code_hid < 8'hE8)) begin + if (is_pressed) + report [0] = report [0] | (1<<(kbd_code_hid & 8'h07)); + else + report [0] <= report [0] & (~(1<<(kbd_code_hid & 8'h07))); end - else begin - for (i = 0; i < 6; i = i + 1) begin - if (report [ ((i + 2) * 8 + 7) : ((i + 2) * 8 + 0)] == kbd_code) begin - report [ ((i + 2) * 8 + 7) : ((i + 2) * 8 + 0)] <= 0; - //report_free_place[i] = 1; + if (is_pressed) begin + isr = 1; + if (report [ 1 ] == 0) + report [ 1 ] <= kbd_code_hid; + else if (report [ 2 ] == 0) + report [ 2 ] <= kbd_code_hid; + else if (report [ 3 ] == 0) + report [ 3 ] <= kbd_code_hid; + else if (report [ 4 ] == 0) + report [ 4 ] <= kbd_code_hid; + else if (report [ 5 ] == 0) + report [ 5 ] <= kbd_code_hid; + else if (report [ 6 ] == 0) + report [ 6 ] <= kbd_code_hid; + else + isr = 0; + end + + else begin + for (i = 1; i < 7; i = i + 1) begin + if (report [i] == kbd_code_hid/*kbd_code*/) begin + report [i] = 0; + isr = 1; + end end end end - //if (kbd_code == 8'h2C) begin - //if (is_pressed) - // report [15:8] <= kbd_code; - //else - // report [15:8] <= 0; - //end - //else if (kbd_code == 1) begin - // if (is_pressed) - // report [23:16] <= kbd_code; - // else - // report [23:16] <= 0; - //end - end - end // END OF KBD CODE SEND ALG - else - isr <= 0; - - /*if (kbd_code != 0) begin - if (is_pressed) - report [7:0] <= kbd_code; + end // END OF KBD CODE SEND ALG else - report [7:0] <= 0; - end*/ + isr <= 0; + end end end end - assign kbd_report = report; + task check_column; + input [2:0] column; + begin + if (COLS_SHADOW[column] != temp[column]) begin + kbd_code = row_counter*8 + column; + if ((COLS_SHADOW[column] == 0) && (temp[column] == 1)) is_pressed = 1; + else is_pressed = 0; + end + else kbd_code = 255; + temp[column] = COLS_SHADOW[column]; + end + endtask + + assign kbd_r0 = report[0]; + assign kbd_r2 = report[1]; + assign kbd_r3 = report[2]; + assign kbd_r4 = report[3]; + assign kbd_r5 = report[4]; + assign kbd_r6 = report[5]; + assign kbd_r7 = report[6]; assign INT = isr; - //assign ROWS_EN = (1 << row_counter); - + SB_RAM40_4K #( + .INIT_0(256'h0000_0001_0001_0001_00E7_0058_004C_0053__0001_0001_0001_0001_00E0_0039_00E1_0029), // ROW 0-1 + .INIT_1(256'h0001_0001_0001_0001_002C_002A_0019_003B__0001_0001_0001_0001_00E2_0015_0006_003A), // ROW 2-3 + .INIT_2(256'h0001_0001_0001_0001_002C_002A_0019_003B__0001_0001_0001_0001_00E2_0015_0006_003A), // ROW 4-5 + .INIT_3(256'h0001_0001_0001_0001_002C_002A_0019_003B__0001_0001_0001_0001_00E2_0015_0006_003A), // ROW 6-7 + .INIT_4(256'h0001_0001_0001_0001_002C_002A_0019_003B__0001_0001_0001_0001_00E2_0015_0006_003A), // ROW 8-9 + .INIT_5(256'h0001_0001_0001_0001_002C_002A_0019_003B__0001_0001_0001_0001_00E2_0015_0006_003A), // ROW 10-11 + .INIT_6(256'h0001_0001_0001_0001_002C_002A_0019_003B__0001_0001_0001_0001_00E2_0015_0006_003A), // ROW 12-13 + .INIT_7(256'h0001_0001_0001_0001_002C_002A_0019_003B__0001_0001_0001_0001_00E2_0015_0006_003A), // ROW 14-15 + .INIT_8(256'h0001_0001_0001_0001_002C_002A_0019_003B__0001_0001_0001_0001_00E2_0015_0006_003A), // ROW 16-17 + .INIT_9(256'h0001_0001_0001_0001_002C_002A_0019_003B__0001_0001_0001_0001_00E2_0015_0006_003A), // ROW 18-19 + .WRITE_MODE(1), + .READ_MODE(1) + ) kbd_codes ( + .RDATA(kbd_code_hid), + .RADDR(kbd_code), + .RCLK(CLK), + .RCLKE(1'b1), + .RE(1'b1), + .WADDR(8'b0), + .WCLK(1'b0), + .WCLKE(1'b0), + .WDATA(8'b0), + .WE(1'b0) + ); SB_IO #( .PIN_TYPE(6'b 1010_01), .PULLUP(1'b 0) - ) rows_io [3:0] ( + ) rows_io [15:0] ( .PACKAGE_PIN(ROWS), .OUTPUT_ENABLE(ROWS_EN), .D_OUT_0(ROWS_OUT), diff --git a/i2c_keyboard/ram.v b/i2c_keyboard/ram.v index bc09520..9ce03a6 100644 --- a/i2c_keyboard/ram.v +++ b/i2c_keyboard/ram.v @@ -1,4 +1,4 @@ -module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rdata); +module ram(input clk, wen, input [8:0] waddr, input [7:0] wdata, input [8:0] raddr, output [7:0] rdata); reg [7:0] mem [0:255]; reg [7:0] r_data; reg [7:0] w_data; @@ -6,13 +6,14 @@ module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rda reg last_we; initial mem[0] = 255; always @(posedge clk) begin - if ((last_we == 0) && (wen == 1)) begin - w_data = wdata; - w_addr = addr; - mem[w_addr] <= w_data; + if (wen) begin //((last_we == 0) && (wen == 1)) begin + //w_data = wdata; + //w_addr = addr; + //mem[w_addr] <= w_data; + mem[waddr] <= wdata; end - r_data <= mem[addr]; - last_we = wen; + r_data <= mem[raddr]; + //last_we = wen; end assign rdata = r_data; diff --git a/i2c_keyboard/top.v b/i2c_keyboard/top.v index 6057df9..9ff2b6a 100644 --- a/i2c_keyboard/top.v +++ b/i2c_keyboard/top.v @@ -2,16 +2,20 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, input SCL, inout SDA, /*output ACK,*/ output INTERRUPT, input COM_RX, output COM_TX, COM_DCD, COM_DSR, COM_RTS, - input [3:0] KBD_COLUMNS, inout [3:0] KBD_ROWS); + input [7:0] KBD_COLUMNS, inout [15:0] KBD_ROWS); + + + wire RESET; + reg [3:0] rststate = 0; + assign RESET = &rststate; //reg [7:0] I2C_TX; // TRANSMITTED TO MASTER wire [7:0] I2C_TX; reg [7:0] I2C_TX_DESC; - reg [7:0] I2C_TX_REPORT; - assign I2C_TX = (I2C_TX_DESC & I2C_OUT_DESC_MASK) | (I2C_TX_REPORT & (~I2C_OUT_DESC_MASK)); + //reg [7:0] I2C_TX_REPORT; wire [7:0] I2C_RX; // RECEIVED FROM MASTER wire I2C_TRANS, I2C_READ, I2C_ACK, I2C_ACK_MSTR_CTRL, I2C_WR; - wire [9:0] I2C_COUNTER; + wire [7:0] I2C_COUNTER; i2c_slave I2C (CLK, RESET, SCL, SDA, I2C_TRANS, I2C_READ, I2C_ACK, I2C_WR, //I2C_ACK_MSTR_CTRL, I2C_RX, I2C_TX, I2C_COUNTER); @@ -23,158 +27,273 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, end uart UART (CLK, RESET, UART_WR, UART_TX_DATA, UART_ACTIVE, UART_TX_LINE); - wire [63:0] kbd_report; + //wire [63:0] kbd_report; + wire [7:0] kbd_report [6:0]; wire ISR; reg INT = 1; // INTERRUPT LINE TO HOST + reg [19:0] int_tmr; reg KBD_FREEZE = 1; // LOGIC REG FOR BLOCK KBD ACTIVITY WHEN I2C IS WORKING - reg IS_EMPTY_REPORT = 0; // REGISTER FOR CORRECT START (HOST MUST REQUEST EMPTY REGISTER AFTER INTERRUPT. THEN INTERRRUPT SET TO 1) - matrix_kbd KEYBOARD (CLK, RESET, KBD_FREEZE, KBD_ROWS, KBD_COLUMNS, kbd_report, ISR); + //reg IS_EMPTY_REPORT = 0; // REGISTER FOR CORRECT START (HOST MUST REQUEST EMPTY REGISTER AFTER INTERRUPT. THEN INTERRRUPT SET TO 1) + matrix_kbd KEYBOARD (CLK, RESET, 0 /*KBD_FREEZE*/, KBD_ROWS, KBD_COLUMNS, kbd_report[0], kbd_report[1], kbd_report[2], kbd_report[3], kbd_report[4], kbd_report[5], kbd_report[6], ISR); descriptors I2C_HID_DESC (CLK, RESET, I2C_WR, I2C_OUTPUT_TYPE[1:0], I2C_COUNTER, I2C_TX_DESC/*, kbd_report*/); - parameter MAX_INPUT_LEN = 10; - reg [7:0] I2C_INPUT_DATA [MAX_INPUT_LEN:0]; + //reg [7:0] ring_report [(8*8-1):0]; + reg [7:0] init_ram_cnt; + reg [3:0] ring_wr, ring_rd; + reg [3:0] wr_cnt; + reg report_wr_en; + reg [7:0] report_data_wadr, report_data_radr, report_data_wr; + wire [7:0] report_data_rd; + ram REPORT_DATA (CLK, report_wr_en, report_data_wadr, report_data_wr, report_data_radr, report_data_rd); + + assign I2C_TX = (I2C_TX_DESC & I2C_OUT_DESC_MASK) | (/*I2C_TX_REPORT*/report_data_rd & (~I2C_OUT_DESC_MASK)); + + //parameter MAX_INPUT_LEN = 10; + //reg [7:0] I2C_INPUT_DATA [MAX_INPUT_LEN:0]; + reg [7:0] temp_output_report; + reg [3:0] i2c_input_data_type; // 0 - UNKNOWN, 1 - I2C_HID_DESC_REQUEST, 2 - HID_REPORT_DESC_REQUEST, 3 - INPUT_REPORT_REQUEST, 4 - OUTPUT_REPORT_SET + // 5 - RESET, 6 - GET_INPUT_REPORT, 7 - SET_OUTPUT_REPORT reg [7:0] I2C_INPUT_LEN = 0; reg [2:0] I2C_OUTPUT_TYPE = 0; // 0 - ALL ZERO DATA, 1 - I2C HID DESCR, 2 - OUTPUT REPORT, 3 - HID REPORT DESCR reg [7:0] I2C_OUT_DESC_MASK = 0; reg [7:0] KBD_LED_STATUS = 0; + reg last_wr = 0, last_trans = 0, last_uart_active = 0, last_isr = 0, uart_double_ff = 0; - wire RESET; - reg [3:0] rststate = 0; - assign RESET = &rststate; + always @(posedge CLK) begin + + // RESET LOGIC rststate <= rststate + !RESET; if (RESET == 0) begin - I2C_OUTPUT_TYPE = 0; + I2C_OUTPUT_TYPE = 3;//0; I2C_OUT_DESC_MASK = 0; - KBD_LED_STATUS = 0; // BIT 0 - NUM LOCK, BIT 1 - CAPS LOCK, BIT 2 - SCROOL LOCK + KBD_LED_STATUS = 5; // BIT 0 - NUM LOCK, BIT 1 - CAPS LOCK, BIT 2 - SCROOL LOCK + uart_double_ff = 0; last_trans = 0; last_uart_active = 0; last_isr = 0; I2C_INPUT_LEN = 0; - INT = 0; + INT = 1; int_tmr = 0; UART_WR = 0; - KBD_FREEZE = 1; - IS_EMPTY_REPORT = 0; + ring_wr = 0; ring_rd = 15; wr_cnt = 0; + init_ram_cnt = 0; end + + // NOT RESET MODE LOGIC else begin - if ((last_wr == 0) && (I2C_WR == 1)) begin - I2C_INPUT_LEN <= I2C_COUNTER - 1; - if (I2C_READ == 0) begin - if (I2C_COUNTER < (MAX_INPUT_LEN + 2)) - I2C_INPUT_DATA[I2C_COUNTER - 2] <= I2C_RX; + if (init_ram_cnt < 170) begin + report_wr_en = 1; + if (init_ram_cnt < 10) + report_data_wadr = 0; + else + report_data_wadr = init_ram_cnt - 10; + report_data_wr = 0;//report_data_adr + 1; + init_ram_cnt = init_ram_cnt + 1; + end + else if (init_ram_cnt == 170) begin + report_wr_en = 0; + init_ram_cnt = init_ram_cnt + 1; + end + + else if ((last_isr == 0) && (ISR == 1)/* && (INT == 1)*/) begin // INTERRUPT FROM KEYBOARD + if ((ring_wr + 1) != ring_rd) + ring_wr = ring_wr + 1; + report_wr_en = 1; + report_data_wadr = ring_wr * 10; + report_data_wr = 10;//kbd_report [0]; + wr_cnt = 1; + INT = 0; + I2C_OUTPUT_TYPE = 3; + I2C_OUT_DESC_MASK = 8'h00; + last_isr = ISR; + end + else if ((last_isr == 1) && (ISR == 0)) + last_isr = ISR; + + else if (wr_cnt != 0) begin + if (wr_cnt == 10) begin + wr_cnt = 0; + report_wr_en = 0; end else begin - if (I2C_OUTPUT_TYPE == 3) begin - if ((I2C_COUNTER < 2) || (I2C_COUNTER > (2 + 10 - 1))) - I2C_TX_REPORT <= 0; - else if (I2C_COUNTER == 2) - I2C_TX_REPORT <= 10; - else if (I2C_COUNTER == 3) - I2C_TX_REPORT <= 0; - else - I2C_TX_REPORT <= kbd_report[ (8 * (I2C_COUNTER - 4) + 7) : (8 * (I2C_COUNTER - 4) + 0) ]; - end + report_data_wadr = ring_wr * 10 + wr_cnt; + if ((wr_cnt == 1) || (wr_cnt == 3)) + report_data_wr = 0; + else if (wr_cnt == 2) + report_data_wr = kbd_report [wr_cnt - 2]; else - I2C_TX_REPORT <= 0; + report_data_wr = kbd_report [wr_cnt - 3]; + wr_cnt = wr_cnt + 1; end end - else if ((last_wr == 1) && (I2C_WR == 0)) begin - UART_WR <= 1; - if (I2C_READ == 0) - UART_TX_DATA <= I2C_RX; - else - UART_TX_DATA <= I2C_TX; - end - else if ((last_trans == 0) && (I2C_TRANS == 1)) begin - UART_TX_DATA = 8'hFF; - UART_WR = 1; - uart_double_ff = 1; - KBD_FREEZE = 0; - end - else if ((last_trans == 1) && (I2C_TRANS == 0)) begin - if (I2C_READ == 0) begin // DECODING PACKET RECEIVED FROM HOST - if (I2C_INPUT_LEN == 0) - KBD_FREEZE <= 0; - else if (I2C_INPUT_LEN == 2) begin - if ((I2C_INPUT_DATA[0] == 1) && (I2C_INPUT_DATA[1] == 0)) // I2C_HID_DESC_REQUEST - I2C_OUTPUT_TYPE = 1; - else if ((I2C_INPUT_DATA[0] == 2) && (I2C_INPUT_DATA[1] == 0)) // HID REPORT DESC REQUEST - I2C_OUTPUT_TYPE = 2; - else if ((I2C_INPUT_DATA[0] == 3) && (I2C_INPUT_DATA[1] == 0)) // INPUT REPORT REQUEST (ADR) - I2C_OUTPUT_TYPE = 3; - //else - // I2C_OUTPUT_TYPE = 0; // + + else if ((last_wr == 0) && (I2C_WR == 1)) begin // I2C NEW BYTE TX/RX + I2C_INPUT_LEN = I2C_COUNTER - 1; + if (I2C_READ == 0) begin // I2C_FROM_HOST + + if (I2C_COUNTER == 2) begin + if ((I2C_RX > 5) || (I2C_RX < 1)) + i2c_input_data_type = 0; + else + i2c_input_data_type = I2C_RX; end - else if (I2C_INPUT_LEN == 5) begin // OUTPUT REPORT SET (LEDS) - WRITE TO OUT ADR - if ((I2C_INPUT_DATA[0] == 4) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 1) && (I2C_INPUT_DATA[3] == 0)) begin - KBD_LED_STATUS <= I2C_INPUT_DATA[4]; - KBD_FREEZE <= 0; + else if (I2C_COUNTER == 3) begin + if (I2C_RX != 0) + i2c_input_data_type = 0; + end + else if (I2C_COUNTER == 4) begin + if (i2c_input_data_type == 5) begin + case (I2C_RX) 0: i2c_input_data_type = 5; 16: i2c_input_data_type = 6; + 32: i2c_input_data_type = 7; default: i2c_input_data_type = 0; endcase end - //else - // I2C_OUTPUT_TYPE = 0; // end - else if (I2C_INPUT_LEN == 6) begin // INPUT REPORT REQUEST (KBD PRESS INFO) - if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 16) && (I2C_INPUT_DATA[3] == 2) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0)) - I2C_OUTPUT_TYPE = 3; - //else - // I2C_OUTPUT_TYPE = 0; // + else if (I2C_COUNTER == 5) begin + if (((i2c_input_data_type == 5) && (I2C_RX != 1)) || ((i2c_input_data_type == 6) && (I2C_RX != 2)) || ((i2c_input_data_type == 7) && (I2C_RX != 3))) + i2c_input_data_type = 0; end - else if (I2C_INPUT_LEN == 9) begin // OUTPUT REPORT SET (LEDS) - WRITE BY CMD - if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 32) && (I2C_INPUT_DATA[3] == 3) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0) /*&& (I2C_INPUT_DATA[6] == 1) && (I2C_INPUT_DATA[7] == 0)*/) begin - KBD_LED_STATUS <= I2C_INPUT_DATA[8]; - KBD_FREEZE <= 0; - end - //else - // I2C_OUTPUT_TYPE = 0; // + else if (I2C_COUNTER == 6) begin + if (i2c_input_data_type == 4) + temp_output_report = I2C_RX; + else if (((i2c_input_data_type == 6) || (i2c_input_data_type == 7)) && (I2C_RX != 6)) + i2c_input_data_type = 0; + end + else if (I2C_COUNTER == 7) begin + if (((i2c_input_data_type == 6) || (i2c_input_data_type == 7)) && (I2C_RX != 0)) + i2c_input_data_type = 0; end - else if (I2C_INPUT_LEN == 4) begin - if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 0) && (I2C_INPUT_DATA[3] == 1)) - rststate <= 4'h0; // RESET COMMAND + else if (I2C_COUNTER == 10) begin + if (i2c_input_data_type == 7) + temp_output_report = I2C_RX; + end + + end + + else begin // I2C_TO_HOST + if (I2C_OUTPUT_TYPE == 3) begin + //if ((I2C_COUNTER < 2) || (I2C_COUNTER > (2 + 10 - 1))) + // I2C_TX_REPORT <= 0; + /*else */if (I2C_COUNTER == 2) begin + if (ring_rd != ring_wr) + ring_rd = ring_rd + 1; + report_data_radr = ring_rd * 10; + end + else + report_data_radr = report_data_radr + 1; + //else if (I2C_COUNTER == 2) + // I2C_TX_REPORT <= 10; + //else if ((I2C_COUNTER == 3) || (I2C_COUNTER == 5)) begin + // I2C_TX_REPORT <= 0; + // if (ring_rd != ring_wr) + // ring_rd = ring_rd + 1; + // report_data_radr = ring_rd * 10; + //end + /*else if (I2C_COUNTER == 4) + I2C_TX_REPORT <= kbd_report[0];*/ + //else begin + // I2C_TX_REPORT = report_data_rd; + // report_data_radr = report_data_radr + 1; + //I2C_TX_REPORT <= kbd_report[I2C_COUNTER - 5]; + //end end //else - // I2C_OUTPUT_TYPE = 0; // + // I2C_TX_REPORT <= 0; + end + last_wr = I2C_WR; + end // I2C NEW BYTE TX/RX - END + + else if ((last_wr == 1) && (I2C_WR == 0)) begin // I2C_NEW_BYTE_NEGEDGE_FOR_UART + UART_WR = 1; + if (I2C_READ == 0) + UART_TX_DATA = I2C_RX; + else + UART_TX_DATA = I2C_TX; + last_wr = I2C_WR; + end // I2C_NEW_BYTE_NEGEDGE_FOR_UART - END + + else if ((last_trans == 0) && (I2C_TRANS == 1)) begin // I2C_START_CONDITION OR REPEAT START (UART FF) + i2c_input_data_type = 0; // UNKNOWN DATA IN + uart_double_ff = 1; + UART_TX_DATA = 8'hFF; + UART_WR = 1; + last_trans = I2C_TRANS; + end // I2C_START_CONDITION (UART FF) - END + + else if ((last_trans == 1) && (I2C_TRANS == 0)) begin // I2C_STOP CONDITION (OR REPEAT START DETECTED) + KBD_FREEZE <= 0; + if (I2C_READ == 0) begin // DECODING PACKET RECEIVED FROM HOST + if (((i2c_input_data_type < 4) && (I2C_INPUT_LEN != 2)) || ((i2c_input_data_type == 4) && (I2C_INPUT_LEN != 5)) || ((i2c_input_data_type == 5) && (I2C_INPUT_LEN != 4)) || ((i2c_input_data_type == 6) && (I2C_INPUT_LEN != 6)) || ((i2c_input_data_type == 7) && (I2C_INPUT_LEN != 9))) + i2c_input_data_type = 0; + if ((i2c_input_data_type == 1) || (i2c_input_data_type == 2) || (i2c_input_data_type == 3)) + I2C_OUTPUT_TYPE = i2c_input_data_type; + else if ((i2c_input_data_type == 4) || (i2c_input_data_type == 7)) + KBD_LED_STATUS = temp_output_report; + else if (i2c_input_data_type == 6) + I2C_OUTPUT_TYPE = 3; + else if (i2c_input_data_type == 5) + rststate <= 4'h0; // RESET COMMAND + if ((I2C_OUTPUT_TYPE == 1) || (I2C_OUTPUT_TYPE == 2)) I2C_OUT_DESC_MASK = 8'hFF; else I2C_OUT_DESC_MASK = 8'h00; end // END OF I2C_READ == 0 + else begin - KBD_FREEZE <= 0; // UNFREEZING KBD AFTER ANYONE I2C RECEIVING - //if (((I2C_OUTPUT_TYPE == 3) && (I2C_INPUT_LEN == 10)) || ((I2C_OUTPUT_TYPE == 0) && (I2C_INPUT_LEN > 1))) begin // HARD - if (((I2C_OUTPUT_TYPE == 3) || (I2C_OUTPUT_TYPE == 0)) && (I2C_INPUT_LEN > 1)) begin // SOFT + if (((I2C_OUTPUT_TYPE == 3) /*|| (I2C_OUTPUT_TYPE == 0)*/) && (I2C_INPUT_LEN > 1)) begin // DEACTIVATING INTERRRUPT IF HOST READ INPUT REPORT (LEN 10) AFTER INTERRUPT OR EMPTY DATA (>=2 BYTES) AFTER RESET - // AND UNFREEZING KEYBOARD - INT <= 1; - //KBD_FREEZE <= 0; - IS_EMPTY_REPORT = 1; + //if (ring_rd == ring_wr) + INT = 1; + int_tmr = 0; + + //if (ring_rd != ring_wr) + // ring_rd = ring_rd + 1; end + I2C_OUTPUT_TYPE = 3; + I2C_OUT_DESC_MASK = 0; end + last_trans = I2C_TRANS; + end // I2C_STOP CONDITION (OR REPEAT START DETECTED) - END + + else if ((last_uart_active == 1) && (UART_ACTIVE == 0)) begin + if (uart_double_ff == 1) begin + UART_WR = 1; + UART_TX_DATA = 8'hFF; + uart_double_ff = 0; + end + last_uart_active = UART_ACTIVE; end - else if ((last_uart_active == 1) && (UART_ACTIVE == 0) && (uart_double_ff == 1)) begin - UART_WR = 1; - UART_TX_DATA = 8'hFF; - uart_double_ff = 0; - I2C_INPUT_LEN = 0; - end + else if ((last_uart_active == 0) && (UART_ACTIVE == 1)) + last_uart_active = UART_ACTIVE; + else if (UART_WR == 1) - UART_WR <= 0; - else if ((last_isr == 0) && (ISR == 1) && (INT == 1)) begin - INT = 0; - I2C_OUTPUT_TYPE = 3; - I2C_OUT_DESC_MASK = 8'h00; + UART_WR = 0; + + else if (int_tmr[19] != 1) + int_tmr = int_tmr + 1; + + else if ((int_tmr[19] == 1) && (I2C_OUTPUT_TYPE == 3) && (I2C_TRANS == 0)) begin + if (ring_rd != ring_wr) + INT = 0; end - last_wr <= I2C_WR; - last_trans <= I2C_TRANS; - last_uart_active <= UART_ACTIVE; - last_isr <= ISR; + /*else if (wr_cnt != 0) begin + ring_report[ring_wr * 8 + wr_cnt] <= kbd_report[ (8 * wr_cnt + 7) : (8 * wr_cnt + 0) ]; + wr_cnt = wr_cnt + 1; + // if (wr_cnt == 0) // START ISR + end*/ + + end end assign LED5 = I2C_TRANS; //assign LED5 = COM_RX; assign LED1 = INT ^ 1;//KBD_COLUMNS[0];//I2C_OUTPUT_TYPE[0];//I2C_RX[0]; - assign LED2 = KBD_LED_STATUS[0];//I2C_OUTPUT_TYPE[0]; - assign LED3 = KBD_LED_STATUS[1];//I2C_OUTPUT_TYPE[1]; + //assign LED2 = I2C_OUTPUT_TYPE[0]; + //assign LED3 = I2C_OUTPUT_TYPE[1]; + assign LED2 = KBD_LED_STATUS[0]; + assign LED3 = KBD_LED_STATUS[1]; assign LED4 = KBD_LED_STATUS[2];//KBD_FREEZE;//UART_ACTIVE; + //assign LED3 = UART_ACTIVE; + //assign LED4 = uart_double_ff; //assign ACK = I2C_READ;//I2C_WR; //I2C_ACK; assign COM_TX = UART_TX_LINE;//COM_RX; diff --git a/i2c_keyboard/uart.v b/i2c_keyboard/uart.v index 8e54b1e..b672f3a 100644 --- a/i2c_keyboard/uart.v +++ b/i2c_keyboard/uart.v @@ -8,7 +8,9 @@ module uart ( input CLK, input RESET, input TX_SIGNAL, input [7:0] TX_BYTE, // IF BYTE IS TRANSMITTING, ATTEMPT TO TRANSMIT OTHER BYTE HAS NO EFFECT // MODULE WORKS AT POSEDGE -parameter CLK_DIV = 13; +parameter CLK_DIV = 13; // 921600 +//parameter CLK_DIV = 5000; // 2400 +//parameter CLK_DIV = 104; // 115200 reg TX_sig_last; reg [3:0] tx_bit_counter; reg [3:0] tx_clk_counter; // MUST CONTAIN CLK DIV