diff --git a/i2c_flash/.sconsign.dblite b/i2c_flash/.sconsign.dblite deleted file mode 100644 index 2ed364d..0000000 Binary files a/i2c_flash/.sconsign.dblite and /dev/null differ diff --git a/i2c_flash/hardware.asc b/i2c_flash/hardware.asc deleted file mode 100644 index cd0dc99..0000000 --- a/i2c_flash/hardware.asc +++ /dev/null @@ -1,5969 +0,0 @@ -.comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) -.device 1k -.io_tile 1 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 2 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 3 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 4 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 5 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 6 0 -000000000000000000 -000100000000000000 -000000110000000000 -000000000000000000 -000000000000001100 -000000000000000000 -001000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 7 0 -000001110000000000 -000000001000000000 -000000000000000000 -000000000000000000 -000000000000000100 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 8 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 9 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 10 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 11 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 12 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 1 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 1 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 2 -000000000000000000000111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001000000000000000000000010 -000000000000000000000000000111100000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -.logic_tile 7 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001001000000000000000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 3 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 3 -000000000000001000000010100101001000000010000001000000 -000000000000000001000111101101111010000000000000000000 -111000000000001111000000000000000000000000000000000000 -000000000000000001100000000000000000000000000000000000 -010000000000000111000110010101111000001100110000000000 -010000000000000000000010000000000000110011000000000000 -000000000000011000000000011001100000000011000000000000 -000000000000100001000010001101000000000000000000000000 -000000000000000000000000000101000000000001000100000000 -000000000000000000000000000001100000000011001000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 3 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 3 -000000000000000000000111100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -111000000000000001100011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000001100011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001111000000000110010100000 -000000000000000000000000000111101011101000110000000000 -000000000000000101100000001101111010010100110110000010 -000000000000000000000000000011101001111100110000000001 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 3 -000000000000000000000110010101100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000000000000000000001100000000000001000000000 -000000000000000000000010110000101101000000000000000000 -010000000000000000000011000101101000001100111000000000 -110000000000000000000100000000101111110011000000000000 -000000000000100000000110010101101000001100110000000000 -000000000001011101000010000000101101110011000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001111011000010000000000000 -000000001000000000000000000101111011000000000000000000 -000000000000000000000111000000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -010000000000000000000000000000000000000000000100000000 -000000000000000000000000001001000000000010001000000000 -.logic_tile 7 3 -000000000000000000000110110101100000000000001000000000 -000000000000000000000010100000100000000000000000001000 -000000000000000001100000010000000000000000001000000000 -000000000000000000000010000000001101000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000010110000001000000000000000000000 -000010100000000000000010100000001000111100000000000000 -000001000000000000000100000000000000111100000000000000 -000010000000000000000000000000000000000010000000000000 -000000000010000000000000001001000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 3 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 3 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 4 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 4 -010000000000000000000000010101100000000000001000000000 -000000000000000000000011110000000000000000000000001000 -111000000000000000000110000001100000000000001000000000 -000000000000000000000010100000101110000000000000000000 -010000000000000000000110000001101000001100111000100000 -010000000000000101000000000000101100110011000000000000 -000000000000000001100000000101101000001100110000000000 -000000000000000101000000000000001110110011000000000000 -000000000000000000000000010000000000000000000000000000 -000000000010000111000010000000000000000000000000000000 -000000000000000000000000000101000000000001000100000000 -000000000000000000000000000101100000000011001000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000111000000000000000000000000000000000000 -010000000000000000000000000011000000000001000100000000 -000000000000000000000000000101100000000011001000000000 -.ramt_tile 3 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010001101100000000001000001100000 -000000000000000000000100001001000000000011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 4 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000001000000000010001111000001100110000000000 -000000000000000001000011010000010000110011000000000000 -110000000000000001100110000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000001000000000001011100000000000000000000000 -000000000000000001000000000101100000000011000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000011100101000000000010001000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000010000100000000 -001000000000000000000000001101000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 4 -000000000000000000000110100101100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000000000000000000000000000000000001000000000 -000000000000000101000010100000001001000000000000000000 -110000000000000101100000000000001001001100110000000000 -110000000000000000000000000000001001110011000000000010 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000000101000000000000000000000001 -000000000000000000000000001001000000000011000000000000 -000000000000001000000011100000000000000010000000000000 -001000000000000101000000000101000000000000000000000000 -000000000000000000000000000001101100000111110100000000 -000000000000000000000000000111011101000011110000000100 -.logic_tile 8 4 -000000000000000001000000011101011000001001000000000000 -000000000000000001000010000001101001000101000000000000 -000000000000000011100000010000000000000000000000000000 -000000000000001001000010100000000000000000000000000000 -000000000000000000000000000000001101001100110000000000 -000000000000000000000010010000011001110011000000000000 -000000000000000000000000000001001001100100000000000000 -000000000000000000000000000001011000010100000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 4 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 5 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -.ramb_tile 10 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 5 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -.logic_tile 12 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 5 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 6 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -.logic_tile 6 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000010000000 -000000000000000000000000000000000000000000000000100100 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000001010000000000000011100000000000000010000001 -000000000000000000000000001101000000000001000000000000 -000000000000000000000000011000000000000000000100000000 -000000000000000000000010000011000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 6 -000000000000000000000000001101100000000001010000000000 -000000000000000000000000001101001011000001100000000010 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000110000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000010101000000000000000000100000000 -000000000000000000000000001011000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001011000000000010000000000000 -.logic_tile 9 6 -000000000000000000000110001001000001000010100000100000 -000000000000001011000011011001101000000010010000000000 -111000000000001001100000011001000001000001010000100000 -000000000000001111000010000111101010000001100000000000 -110000000000000000000000000001100001000001010000100000 -000000000000000000000010110001001000000001100000000000 -000000000000001000000010100000000000000000000100000000 -000000000000001111000100001101000000000010000000000000 -000000000000000000000011001000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000000000110000000000000001011000000000010000000000000 -000000000000010000000111100000000000000000000100000000 -000000000000100000000000001111000000000010000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -.ramt_tile 10 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100100000 -000000000000000000000000001101000000000010000000000000 -000000000000100000000000001000000000000000000100100000 -000000000001010000000000001101000000000010000000000000 -.logic_tile 12 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 6 -000010000000000010 -000001010000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000010000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 7 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 7 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 7 -000000000000000000000110000111100000000000001000000000 -000000000000000000000110000000100000000000000000001000 -111000000000000000000110010111100000000000001000000000 -000000000000000000000010000000001001000000000000000000 -110000000000000000000110010001101000001100111000000000 -010000000000000000000010000000101001110011000000000000 -000000000000000001100000000001101000001100110000000000 -000000000000000000000000000000101001110011000000000000 -000000000000000000000000010101000000000010000000000000 -000000000000000000000010100111101011000000000000000000 -000000000000000000000000001111111001000010000000000000 -000000000000000000000000001111011001000000000000000000 -000000000000000101100000000000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 -010000000000000101100000010000000000000000000100000000 -110000000000000000000010101101000000000010001000000000 -.logic_tile 6 7 -000000000000001000000000011001100000000011000000000000 -000000000000000001000010001001000000000000000000000000 -111000000000000001100000010001101010001100110000000000 -000000000000000000000010000000110000110011000000000000 -010000000000001001100010101111100001000011000000000000 -010000000000000001000000000111101010000011110000000000 -000000000000001101100000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000001011111010010110100000000011 -000000000000000000000000001011001000011010100000000000 -000000000000000101100000000000000000000000000100000000 -000000000000000000000010100101000000000010001000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010001000000000 -010000000000000101100000000000000000000000000000000000 -110000000000000000000010100000000000000000000000000000 -.logic_tile 7 7 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000001000000000000000000000001 -111000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 7 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000101000001 -010000000000000000000000000001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 7 -000010100000001000000111110000000000000000000000000000 -000010000000000011000111110000000000000000000000000000 -111000000000000001000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -010000000100000000000000011101000001000001010100000000 -100000000000000000000010101011101000000001100000000000 -110000000000000000000000000001000001000001010100000000 -110000000000000000000000001111101000000001100000000000 -110000000000000000000000001001000001000001010100000010 -110000000000000000000000000011101000000001100000000000 -000000000000000000000111010000000000000000000000000000 -000000000000000101000110100000000000000000000000000000 -000000000000001001000000000011100001000001010100000000 -000000000000000101100010100111101000000001100000000000 -000000000000000000000000000001000001000001010100000000 -000000000000000000000000000111001000000001100000000000 -.ramb_tile 10 7 -000000000001000111100000010001000000000000 -000000000000000000100011000111000000000000 -111000000000000011100000001000000000000000 -000000000000000000100000000001000000000000 -010000000000000000000000000011000000000000 -010000000000001011000000000011100000000000 -000000000000000000000000001000000000000000 -000000000000000000000011010111000000000000 -000000000000000111100010001011000000000000 -000000000000000101000100001111000000000001 -000000000000001000000000001000000000000000 -000000000000000011000000001111000000000000 -000000000000000101000000001101000000000000 -000010000000000000000000000111000000000000 -110000000000000101000010101000000000000000 -010000000000000101000010101101000000000000 -.logic_tile 11 7 -000000000000000000000010010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -111000000000000001000000001111001100000010000000000001 -000000000000000001000000001111001001000000000000000000 -010000000000000011100010000000000000000000000000000000 -100000000000000000100100000000000000000000000000000000 -110000000000000000000000000101000001000001010100000000 -110000000000000000000000000111101000000001100000000000 -110000000000000000000000000111100001000001010100000100 -110000000000001111000000001001101000000001100000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000111100001000001010100000000 -000000000000001101100000000101001000000001100000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001111000011010000000000000000000000000000 -.logic_tile 12 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001001100000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -.io_tile 13 7 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -001000000000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -.io_tile 0 8 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000001100 -000000000000001000 -001100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -010011010000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -.logic_tile 1 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 8 -000000000000000000000000000000000000000010000000000000 -000000000000000000000010001001000000000000000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 8 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 8 -000000000000001000000111001001000000000001000001000000 -000000000000001111000000000001100000000000000010000000 -111000000000000000000010000000000000000000000000000000 -000000000000000001000100000000000000000000000000000000 -110000100001000000000000000001100000001100110001000000 -110001000000010000000000000000101000110011000000000000 -110000000000000011100000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000100000000 -000000000000000000000100001011000000000010001000100000 -010000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -.logic_tile 9 8 -000000000000000000000000011111011000100000000000000000 -000000000000000000000010011001111010000000000001000000 -111000000000000000000010011000000000000010000100000000 -000000000000000000000111110101000000000000000000000000 -110000000000001111100000001000000000000000000100000001 -100000000000001001100000000011000000000010000000000000 -000000000000001000000000011000000000000000000100000001 -000000001110001001000010011101000000000010000000000000 -000000000000100000000000010000000000000000000100000001 -000000000001000000000010101011000000000010000000000000 -000010100000001000000000000000000000000000000100000000 -000000000000000101000000000101000000000010000000100000 -000000000000000000000000010000000000000010000100000000 -000000000000000000000010011001000000000000000000000000 -000000000000000000000000011000000000000000000100000000 -000000000000000000000010101111000000000010000000000000 -.ramt_tile 10 8 -000010000000000000000010000011100000000010 -000000010000000000000000000111100000000000 -111000000000001011100000000000000000000000 -000000010000001011100000000101000000000000 -000000000000001000000000000001000000000000 -000000000000010011000010010011100000000000 -000000000000000000000000001000000000000000 -000000000000000000000000000111000000000000 -000000000000001000000110101101000000000000 -000000000000000101000000001111100000000000 -000000000000000000000010101000000000000000 -000000000000000000000100001111000000000000 -000000000000000101100000001001100000001000 -000000000000000000000000000111000000000000 -010000000000101101100110110000000000000000 -010000000000010101000010101011000000000000 -.logic_tile 11 8 -000000000000000000000010001111000000000001010100000000 -000000000000000000000000000001101110000001100010000000 -111000000000000000000010001101000000000001010100000000 -000000000000001001000100001001101100000001100011000000 -010000001000000000000011000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000100000000010101111100000000001010100000010 -000000000000010111000100000101101100000001100000000000 -000000000000001011000110100011000000000001010100000100 -000000000000000111100000000011101110000001100000000000 -000000000000001101100000010011100000000001010100000000 -000000000000000101010010101001101100000001100000000000 -000000000000000011000000011011100000000001010100000000 -000000000000000000100010101101001110000001100000000000 -000000000000000000000110101011100000000001010100000000 -000000000000000001000000000111001100000001100000000000 -.logic_tile 12 8 -000000001010001101100110100001000000000000001000000000 -000000000000000101000000000000000000000000000000001000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001100000000000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001000110011000000000000 -000000001110001101100110110000001001001100111000000000 -000000000000000101000010100000001010110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001011110011000000000000 -000000000000000111000000000000001001001100111000000000 -000000000000000000100000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100110000000000 -000000000000010000000000000000001001110011000000000000 -.io_tile 13 8 -000001110000000010 -001000000000011000 -000000000000000000 -000000000000000001 -000000000000000101 -000000000001001000 -001100000001100000 -000000000000000000 -000000000000000000 -000010110000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 9 -000001011000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000100 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 9 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 9 -000000000000000000000000000011100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -000000000000000000000000010000000001000000001000000000 -000000000000000000000010000000001111000000000000000000 -000000000000000000000011000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001110000000000000000000 -001000000000000000000000000000001000111100000010100001 -000000000000000000000000000000000000111100000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000101000010100000000000000000000000000000 -.logic_tile 5 9 -000000000000000000000000000101000000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000000111000000000011100001000000001000000000 -000000000000000000000000000000001100000000000000000000 -000000000000001000000010000101101000001100111010000000 -000000000000000111000000000000001011110011000010000000 -000000000000000001100000000101101001001100111000000000 -000000000000000011000000000000001100110011000000000010 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000010 -000000000000001111100000001000000000000010000000000000 -000000000000000101100000000001000000000000000000000000 -000000000010000000000000001000000000000010000000000000 -000000000000000000000000000011000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -.logic_tile 6 9 -000000000001011001000010111011100000000000100000000000 -000000000000100001100110001101101010000000000000000000 -111000000000000001100110000001000000000000010001000000 -000001000000001011000000001001001011000000000000000000 -000000001010001011100010111001100001000010000000000000 -000000000000000001100110100101001000000000000000000000 -000000000000000011100111000000001011001100110000000000 -000000001100001111100010110000001010110011000000000001 -000000000000000000000010100001100000000000000000000000 -000000000000000000000010011011100000000001000000000000 -000000000000001000000010000111100001000000100000000000 -000000000000010001000100000001101001000001000000000000 -000000000000000111100000000101000000000000000001000000 -000000000000000000000000000111001011000000010010000000 -000000000000000000000110100011101001111100000100000000 -000000000000000000000000001011011011111100010010000000 -.logic_tile 7 9 -000000000000001000000010100011100000000000000000000000 -000000000000000001000100001011100000000001000001000000 -111000000000000001100011000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000111100010110001100001000000100000000000 -000000000000000000100110000011101100000000000000000000 -000000000000001000000011010101100001000000100000000000 -000000001010000001000110000111101000000000000000000000 -000000000000000000000000010101100000000000000000100000 -000000000000001101000011011011100000000001000000000000 -000000000000001000000110000001001010001100110000000000 -000000000000001111000010110000000000110011000000000000 -000000000000000000000110000101101011111100000100000000 -000000000000001101000000001101011101111100010000000000 -000000000000000000000000000001001111111100000100000000 -000001000000000000000010111001011111111100010000000000 -.logic_tile 8 9 -000000000000100011100000000001111001101100000000000000 -000000000001010011100011110111101101110100000000000001 -111000000000000101100110100101111011010000000001000000 -000000000000000000000011000011101111001000000000000000 -010000000000001000000000000101000000000001000000000010 -010000000001010001000000000001000000000000000000000000 -000000000000000101100110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011100000001101000000000001000000000000 -000000000000000000000000001001000000000000000000100000 -000000000000000000000000010101111101000100100000000000 -000000000000000000000011100011011001000000000010000000 -000001000000000011100000000001111001000011010000000000 -000000100000000000000000000111101101000010110000000000 -000000000000000000000000010000000000000000000110000001 -000000000000000000000011100001000000000010000010000010 -.logic_tile 9 9 -000000000000001101000000000001100000000001000000000000 -000000000000000111000010010001000000000000000000000001 -111000000000001101100010111011101010100100000000000000 -000000000000000001000010101101001010101000000000000000 -010000000000001101100110000000000000000000000000000000 -010000000000001111000000000000000000000000000000000000 -000000000000001101100011101011001110101000000000000000 -000000000000001111100110101001101010011000000000000000 -000000000110000001100011101011011001011000000000000000 -000010100000000001000010000101101011101000000000000000 -000000100000010001100000000011011000100100000000000000 -000000000000100001000000000001011010101000000000000000 -000000000000000011100000001011011100000010000000000000 -000000000000000000100000000001001011000000000000000010 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000101000000000010000010000000 -.ramb_tile 10 9 -000010100000000000000000000000000000000000 -000001010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 9 -000000000000000011000000001001000000000001000000000000 -000000000000000000100000001101000000000000000000000010 -111000000000000011000011000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -010000001100000011000110000000000000000000000000000000 -110000000000000000100000000000000000000000000000000000 -000000000000000000000011001101000000000001000000000000 -000000000000000000000000000001000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001111100000000001000000000001 -000000000000000000000000001001100000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000001000000111101000000000000000000100000001 -000000000000001111000100000111000000000010000000100001 -.logic_tile 12 9 -000000000000000111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -111000000001000000000000000000000000000010000101000000 -000000000000000000000000000001000000000000000000000000 -110010000000000000000000000000000000000000000000000000 -100001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 9 -000000000000000000 -000100000000000000 -000001111000000000 -000000001000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000010 -000000000000110000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 10 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 10 -000001000000000101000010101001000000000001100000000000 -000000000000001001100011100001101100000001010000000000 -111000000001001111000110000001000001000010000000000000 -000000000000100001000000000001001011000000000000000000 -000000000000001000000110000011100001000000000000000000 -000000000000010001000011101001101111000000010000100000 -000000000000001001000110010011011011010010110000000000 -000000000000000001100010000111101010010001110000000000 -000000000000001001100000010001100000000010000000000000 -000000000000000101000010001001100000000000000000100000 -000000000000001000000110100011100000000001000000100000 -000000000110001001000000001111000000000000000000000100 -000000000000001000000000011001101011000010000000000000 -000000000000000101000010000111011011000011000000000000 -000000000000000101100010100101011100111100110100000000 -000000000000000000000000000011101010010100110000000000 -.logic_tile 6 10 -000000000000001011100110010101111100111011110000100000 -000000000000001111100010000111111000111111100000000000 -000000000000001111100010100001101100110011110000000000 -000000000000000001000110011101001000010010100000000010 -000000000000000000000000001001100000000000000000000000 -000000000000001101000010110111100000000001000000100001 -000000000000000000000110000001111011000100000000000000 -000000000000000000000010010011111100000000000000000000 -000000000000001101000000000101100000000010000000000000 -000000000000000101100000000111100000000000000000000000 -000000000000000011100110101001000000000000000000000000 -000000000000000000100000001011000000000001000000000010 -000010000000000101000000000101001001000011100000000000 -000000000000000000100000001001011000000011110000000000 -000000000000000101000110101111100000000000000000000000 -000000000000000000000000000001100000000001000000000000 -.logic_tile 7 10 -000000000000001001000111011101100001000000100000000001 -000000000000001111000010100101001001000000000000000000 -111000000000000101100000010111000000000000000000000000 -000000000000000000000010000001000000000001000000000000 -000000000000001001100111011001100000000000000000000000 -000000000000000001000110000011000000000001000000000000 -000000000000000101000000001011000000000000010000000000 -000000000000001101100011011101101100000000110000000000 -000000000000000011100000010101011110010110000000000001 -000000000000000000000010001001001011110110000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000011100000000101001000110011110100000000 -000000000000000000000000000001111010010011110000000100 -000000000000000000000000001000000000000000000100000000 -000000000000000111000000000011000000000010000000000000 -.logic_tile 8 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 10 -000000000000000000000000001101100000000000000000000001 -000000000000000000000000001101000000000001000000000000 -111010000000000000000000000011100000000001000010000000 -000001000000000000000000001011100000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000110000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000000000000000000000001111100000000001000010000000 -000000000000000000000000000111100000000000000000000000 -000000000000000111000000011000000000000000000100000000 -000000000000000000000010010101000000000010000000000000 -.ramt_tile 10 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 10 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -111000000000000000000000001000000000000000000110100001 -000000000000000000000000001011000000000010000000000010 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 10 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000001000000000000000000100100000 -010000000000000000000000001111000000000010000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -.io_tile 13 10 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -001100000000000000 -000000000000100000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 11 -000000000001100000 -000000000000000000 -000000000000000000 -000000000000011000 -000000000000000000 -000000000000000000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -.logic_tile 1 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 11 -000000000000000000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000000011000000000000000010000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -.logic_tile 5 11 -000000000000000011100110010001000000000001000000000000 -000000000000001101000011110011000000000000000000000000 -111000000000001011100000001001000000000010110001000100 -000000000000000001100000000001101010000000110000000000 -000000000000001011100000001101100000000010010000000000 -000000000000001111100000001111001010000010100000000000 -000000000000001001100110000101111000011100000000000000 -000000000000000001100000000001001100001100000000000000 -000000001111000101100000010101000001000000100000000000 -000000000010000101000010001001001011000000000000000000 -000000000000000000000000000001000000000001000000000000 -000000000000000000000000001111000000000011000000000001 -000000000000001000000110100000000000000000000000000000 -000000000000000001010011010000000000000000000000000000 -000000000000000000000111100011001110111100110110000000 -000000000000000000000100000111111001111110110000000000 -.logic_tile 6 11 -000000000000000011100000011101000000000001000000000000 -000000000000000001100011110101100000000000000000000000 -111010100000000101000110010001001010000001110000000000 -010100000000000000000010000111001101000000110000000000 -000000000000001101100000010101011100110011110000000000 -000100001110001001000011110011001111010010100000000000 -000000000000000101000000000111100001000000000000000000 -000000000000000101000000000011001010000000010000000000 -000000000000001001000010110011111011110000000000000000 -000000000000000001000010101111001001111000000000000000 -000000000000000001100000001001000001000000000000000000 -000000000000000000000000001001101000000000010000000000 -000000000000001000000110100001000000000000000000000000 -000010000000000001010000000011000000000001000000000000 -000000000000001001100000001011000000000011000100000000 -000000000000000001100000000111100000000010000010000000 -.logic_tile 7 11 -000000000000000101000010101011100000000000110000000000 -000000000000000000100100001001001101000000100000000000 -111000000000000101100000001101011101010000000000000000 -000000000000000000000011001101001000000000000000000000 -000000000000001101000110101011101010000011100000000000 -000000000000000001100100000111101100000011110000000000 -000000000000001111000010100101100000000010000000000000 -000000000000001111000111000001000000000000000000000000 -000000000000000111000000010101100001000000100000000000 -000000000000001101100010011101001011000000000001000000 -000010100000000001100011101101100000000000100010000001 -000000000000001111100100000101101100000000000001100000 -000011100000000001100000000001000000000001000101000000 -000010000000000000000000000111100000000011000000000010 -000000000000000001100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -.logic_tile 8 11 -000000000000000000000000000001111001001100000000000100 -000000001110000000000000001111011000101101010000000000 -111000000000001011000110010001100001000001100000000000 -000000000000001111000010101101001001000000000000000001 -000000000000000000000000011011000000000000000000000000 -000000000000000000000010001001100000000001000000000000 -000000000000001000000000001001100000000000000000100000 -000000000000001111000000001001000000000001000000000000 -000000000000000000000111000101011001000000010000100000 -000000001000000000000100000111111000000100010000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000000000001000111001001100000000000000000000010 -000000000000000000000100000001100000000011000000000000 -000000000000000000000000001000000000000000000100000000 -000010000000010000000000000101000000000010000000000000 -.logic_tile 9 11 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -010000000000000000000011000000000000000000000000000000 -110000001100000000000100000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000101100001000010010100000000 -000000000000000000000000001001101001000010100000000010 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -010000000000100000000000000000000000000000000000000000 -010000000001000000000010010000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -100000000000000011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001100000000000000000100010 -000000000000000000000000000101000000000001000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000101000010 -000000000000000000000000001101000000000010000000000000 -.logic_tile 12 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000111000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000100100100 -000000000000000000000000001111000000000010000000000000 -.io_tile 13 11 -000000000000000010 -000101110000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000010000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000110010 -000000000000110000 -000000000000000000 -000000000000000001 -000000000000000010 -000011010000000000 -.io_tile 0 12 -000010000000000010 -000110110000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000010000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 12 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 12 -000000000000100000000000010011101011010111000100100000 -000000000001000000010011001001011110111111000000100001 -111000000000001000000000000000000000000000000000000000 -000000001100001011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -001100000000000000000000000000000000000000000000000000 -010000000000000101100000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -.logic_tile 6 12 -000000000000000111000110000011000000000000000000000000 -000000000000010000000100000111100000000001000010000010 -111000000000000001100111100000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000001000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001101000000001101101111110000000000000000 -000000000000000001000010110001011001110001010000000000 -000000000000001000000110100001000000001100110000000000 -000000000000000101000000000000001100110011000000000000 -000000000000000000000110000101100000000001000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000001100110110011111010111100000100000000 -001000000000100000100010100111111011011100010000100000 -000000000000000000000000001011000000000001010110000000 -000000000000000000000000000101001111000001100000000000 -.logic_tile 7 12 -000000000000001111000110001011000000000000000000000000 -000000000000000101000011110101100000000001000000000100 -111000000000000101000110001101111000000011010000000000 -000000000000001101000010101001101000000011110000000000 -000000000000000000000000000111001011000001110000000000 -000000000000001101000000000001101100000000110000000001 -000000000000000101000111101001100000000000000011100000 -000000000000000000000000000001100000000001000000000000 -000000000000000000000111100001000001000000100000000000 -000000000000000000000100001001101110000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000111000001000010000000000101 -001000000000000000000011110001101100000011000000000000 -000000000000000001100000000000000000000000000100000000 -000000000000000000100000000001000000000010000000000000 -.logic_tile 8 12 -100000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 12 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -.io_tile 13 12 -000001111000000010 -000011111000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000010000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000010 -000000000000010000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 13 -000010000000000010 -000101010000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000010000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 13 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000100000000 -000000000000000000000000000101000000000000000000000100 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 13 -000000001100000011100000000000000000000010000000000000 -000000000000000000000000000111000000000000000000000000 -000010100000000000000000000000000000000010000000000000 -000000000000000000000010011101000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101100000001001000000000000000000000000 -000000000000000000000000001001000000000001000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -.logic_tile 7 13 -000000000000000111000110001001001101100000000000000000 -000000000000001001000000001101101001000000000000000100 -111000000000000000000000011111100000000000000000000000 -000000000000000000000010001111100000000001000000000000 -000000000000000001100110010011000000000001000000000000 -000000000000001001000010001101000000000000000000000000 -000000000000001000000111101111100000000010000000000000 -000000000000000111000100001011100000000000000000000000 -000000000000000101000000011111001000000000000000000000 -000000000000000000000010101011111110100000000000000000 -000000000000000000000110010011000001000000010000000000 -000000000000000000000110011101101010000000000000000010 -000000000000000101000000011111111010000100000000000000 -001000000000000000000010100111001000000000000000000000 -000000000000000101000110010101000000000001010100000000 -000000000000000011000011000001001010000001100000000000 -.logic_tile 8 13 -000000000000001001100111000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -111000000000000000000111000101100000000000000000000000 -000000000000000000000100001001100000000001000001000000 -000000000000000000000000001001100000000010000000000000 -000000000000000000000000001101100000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000001011100110001010100000000 -000000000000000000000000001011011000110011110000000000 -.logic_tile 9 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 13 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 13 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 14 -000000000000000010 -000100000000000000 -000000000000000000 -000011110000000001 -000000000000000010 -000000000000110000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000010 -000000000000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.logic_tile 1 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 14 -000000000000000000000110010011100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111000000000001000000110010011100000000000001000000000 -000000000000000001000010000000101011000000000000000000 -110000000000000011100000000101101001001100111000000000 -110000000000000000100010000000101011110011000000000000 -000000000000000000000010010101001000001100110000000000 -000000000000000000000010000000001011110011000000000000 -000000000000000000000000001111111001000010000000000000 -000000000000000000000000001111111001000000000000000000 -000000000000000000000110110000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000110100000000000000000000100000000 -000000000000000000000000001001000000000010001000000000 -010000000000000000000110110000000000000000000100000010 -010000000000000000000010101001000000000010000000000000 -.logic_tile 5 14 -000000000000000000000110110001111000010110100000100001 -000000000000000000000010100111101010011010100000000001 -111000000000001001100111011001100000000011000000000000 -000000000000000101000110001011100000000000000000000000 -010000000000000001100110000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000001000000000001111000001000011000000000000 -000000000000000101000000001001001010000011110000000000 -000000000000000000000000000011111100001100110000000000 -000000000000000000000000000000100000110011000000000000 -000000000000000001000110001001000000000010000000000000 -000000000000000000100000000111101001000000000000000000 -000000000000000011100000000000000000000000000100000000 -000000000000000000000000000101000000000010001000000000 -010000000000001000000000001000000000000000000100000000 -010000000000000001000000000111000000000010001000000000 -.logic_tile 6 14 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -000000000000000000000010100000000000000000001000000000 -000000000000001101000000000000001010000000000000000000 -000000000000000101000000000000000000000000001000000000 -000000000000000101000010000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000011000000000000001011000000000000000000 -000000000000000101000000000000000000000000001000000000 -000000000000000000000010100000001101000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000010000000000000000001100000000000000000000 -.logic_tile 7 14 -000000000001000001100010100101000000000000001000000000 -000000000000000000100100000000000000000000000000001000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000000000001101000000000000001000001100111000100000 -000000000000001011000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001000110011000000000000 -000000000000001101000000000000001000001100111000000000 -000000000000000101000000000000001111110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001100110011000010000000 -000000000000000000000110100000001000001100111000000000 -000000000000000000000000000000001100110011000000000000 -000000000000000000000000010000001000001100111000000000 -000000000000000000000010010000001001110011000000000000 -.logic_tile 8 14 -000000000001011000000110000011100000000000000000000000 -000000000000000001000011101001000000000001000000000000 -111000000000001011100111111011111101000010000000000000 -000000000000000101000110101011101100000000000000000000 -000000000000001101000110000000000000000010000010000000 -000000000000000001100011101101000000000000000000000000 -000000000000000011100110000101100000000000000000000000 -000000000000000000000000001101100000000001000000000000 -000000000000001000000000000000000000000010000000100000 -000000000000001001000000000001000000000000000000000000 -000000000000000001100110010001111010110000000100000000 -000000000000001101000010000111101010110001010000000000 -000000000000001000000000000001111000110000000100000000 -000000000000001001000000000011101010110001010000000000 -000000000000000000000000000001111010110000000100000000 -000000000000000000000000000111001001110001010000000000 -.logic_tile 9 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 14 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 15 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 15 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 15 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000010010 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001111000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 15 -000000000000001000000011100000001001001100110000000000 -000000000000001011000100000000001111110011000000010000 -111000000000001000000010101000000000000010000000000000 -000000000000000001000000001011000000000000000000000000 -000001000000001011100110000000000000000010000000000000 -000010100000001011100000000111000000000000000000000000 -000000000000000000000000011001100000000000000000000000 -000000000000000000000011001101000000000001000000000000 -000000000000001000000000000001101000110000000100000010 -000000000000000001000000000001111011110001010000000000 -000000000000000000000110100011001011110000000100000000 -000000000000000000000000000101001101110001010000000011 -000000000000000101100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111001001100000000000000000000000 -000000000000000000000010101001100000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001101100000000000000000000000 -000000000000000000000000001001000000000001000000000000 -.logic_tile 9 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 15 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 15 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 16 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 16 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 16 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 16 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 1 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 2 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 3 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 4 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 5 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 6 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 7 17 -000001011000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000100 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 8 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 9 17 -000000000000000000 -000000000000000000 -000000000001100000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 10 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 11 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 12 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.ram_data 10 7 -0000000000000000000000000000000000000000000000000000000000005555 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -.sym 1 $abc$5798$n31$2 -.sym 2 $abc$5798$n158$2 -.sym 4 $0\UART_WR[0:0]$2 -.sym 6 $abc$5798$n247$2 -.sym 7 CLK$2$2 -.sym 8 $abc$5798$n141$2 -.sym 830 CLK$2 -.sym 836 CLK$2 -.sym 944 $0\UART_WR[0:0] -.sym 1289 COM_TX$2 -.sym 1403 I2C.is_read -.sym 1517 COM_DSR$2 -.sym 1522 $undef -.sym 2234 $abc$5798$n286_1 -.sym 2236 $abc$5798$n288 -.sym 2237 $abc$5798$n549 -.sym 2238 UART.tx_clk_counter[0] -.sym 2240 UART.tx_clk_counter[1] -.sym 2371 $abc$5798$n291 -.sym 2372 $abc$5798$n292 -.sym 2374 UART.tx_clk_counter[3] -.sym 2376 UART.tx_clk_counter[2] -.sym 3319 $abc$5798$n383 -.sym 4598 $abc$5798$n549 -.sym 4599 $abc$5798$n288 -.sym 4600 $abc$5798$n291 -.sym 4601 $abc$5798$n292 -.sym 4610 $false -.sym 4611 UART.tx_clk_counter[0] -.sym 4612 $false -.sym 4613 $true$2 -.sym 4616 UART.tx_clk_counter[1] -.sym 4617 UART.tx_clk_counter[0] -.sym 4618 $false -.sym 4619 $false -.sym 4622 $abc$5798$n286_1 -.sym 4623 $abc$5798$n288 -.sym 4624 $false -.sym 4625 $false -.sym 4634 $abc$5798$n549 -.sym 4635 $false -.sym 4636 $false -.sym 4637 $false -.sym 4644 $abc$5798$n246 -.sym 4645 CLK$2$2 -.sym 4646 $abc$5798$n31$2 -.sym 4683 $true -.sym 4720 UART.tx_clk_counter[0]$2 -.sym 4721 $false -.sym 4722 UART.tx_clk_counter[0] -.sym 4723 $false -.sym 4724 $false -.sym 4726 $auto$alumacc.cc:470:replace_alu$1336.C[2] -.sym 4728 UART.tx_clk_counter[1] -.sym 4729 $true$2 -.sym 4732 $auto$alumacc.cc:470:replace_alu$1336.C[3] -.sym 4733 $false -.sym 4734 UART.tx_clk_counter[2] -.sym 4735 $true$2 -.sym 4736 $auto$alumacc.cc:470:replace_alu$1336.C[2] -.sym 4739 $false -.sym 4740 UART.tx_clk_counter[3] -.sym 4741 $true$2 -.sym 4742 $auto$alumacc.cc:470:replace_alu$1336.C[3] -.sym 4751 $abc$5798$n286_1 -.sym 4752 $abc$5798$n292 -.sym 4753 $false -.sym 4754 $false -.sym 4763 $abc$5798$n286_1 -.sym 4764 $abc$5798$n291 -.sym 4765 $false -.sym 4766 $false -.sym 4767 $abc$5798$n246 -.sym 4768 CLK$2$2 -.sym 4769 $abc$5798$n31$2 -.sym 5612 $abc$5798$n323_1 -.sym 5613 $abc$5798$n7 -.sym 5614 $false -.sym 5615 $false -.sym 7176 $abc$5798$n171 -.sym 7178 $abc$5798$n608 -.sym 7684 $abc$5798$n278 -.sym 7685 $abc$5798$n279 -.sym 7686 $abc$5798$n27 -.sym 7688 I2C.scl_cnt[2] -.sym 7689 I2C.scl_cnt[3] -.sym 8409 $abc$5798$n251 -.sym 8410 UART.tx_activity -.sym 8529 $abc$5798$n246 -.sym 8899 $abc$5798$n271 -.sym 8900 $abc$5798$n272 -.sym 8901 $abc$5798$n235 -.sym 8902 $abc$5798$n25 -.sym 8903 I2C.sda_cnt[3] -.sym 8904 I2C.sda_cnt[2] -.sym 9145 $abc$5798$n79 -.sym 9146 $abc$5798$n71 -.sym 9147 $abc$5798$n584 -.sym 9148 $abc$5798$n369 -.sym 9149 $abc$5798$n585 -.sym 9150 $abc$5798$n607 -.sym 9179 $true -.sym 9216 $abc$5798$n607$2 -.sym 9217 $false -.sym 9218 $abc$5798$n607 -.sym 9219 $false -.sym 9220 $false -.sym 9222 $auto$alumacc.cc:470:replace_alu$1311.C[2] -.sym 9224 $false -.sym 9225 $abc$5798$n585 -.sym 9228 $auto$alumacc.cc:470:replace_alu$1311.C[3] -.sym 9230 $false -.sym 9231 $abc$5798$n608 -.sym 9234 $abc$5798$n171$2 -.sym 9236 $false -.sym 9237 $abc$5798$n609 -.sym 9244 $abc$5798$n171$2 -.sym 9253 $abc$5798$n367 -.sym 9254 $false -.sym 9255 $false -.sym 9256 $false -.sym 9266 $abc$5798$n424 -.sym 9267 $abc$5798$n353 -.sym 9268 $abc$5798$n342_1 -.sym 9269 $abc$5798$n425 -.sym 9270 $abc$5798$n609 -.sym 9271 $abc$5798$n341_1 -.sym 9272 $abc$5798$n354_1 -.sym 9273 I2C.i2c_bit_counter[3] -.sym 9389 $abc$5798$n381 -.sym 9390 $abc$5798$n29 -.sym 9391 $abc$5798$n382_1 -.sym 9392 $abc$5798$n385 -.sym 9393 $abc$5798$n384_1 -.sym 9394 COM_DSR$2 -.sym 9396 I2C.wr -.sym 9512 I2C.i2c_state_machine -.sym 9640 I2C.SCLD -.sym 9758 $abc$5798$n9 -.sym 9759 $abc$5798$n276 -.sym 9761 $abc$5798$n170 -.sym 9762 $abc$5798$n275 -.sym 9763 $abc$5798$n238 -.sym 9764 I2C.scl_cnt[1] -.sym 9765 I2C.scl_cnt[0] -.sym 9794 $true -.sym 9831 I2C.scl_cnt[0]$2 -.sym 9832 $false -.sym 9833 I2C.scl_cnt[0] -.sym 9834 $false -.sym 9835 $false -.sym 9837 $auto$alumacc.cc:470:replace_alu$1327.C[2] -.sym 9839 I2C.scl_cnt[1] -.sym 9840 $true$2 -.sym 9843 $auto$alumacc.cc:470:replace_alu$1327.C[3] -.sym 9844 $false -.sym 9845 I2C.scl_cnt[2] -.sym 9846 $true$2 -.sym 9847 $auto$alumacc.cc:470:replace_alu$1327.C[2] -.sym 9850 $false -.sym 9851 I2C.scl_cnt[3] -.sym 9852 $true$2 -.sym 9853 $auto$alumacc.cc:470:replace_alu$1327.C[3] -.sym 9856 I2C.scl_cnt[0] -.sym 9857 I2C.scl_cnt[1] -.sym 9858 I2C.scl_cnt[2] -.sym 9859 I2C.scl_cnt[3] -.sym 9868 $abc$5798$n278 -.sym 9869 $false -.sym 9870 $false -.sym 9871 $false -.sym 9874 $abc$5798$n279 -.sym 9875 $false -.sym 9876 $false -.sym 9877 $false -.sym 9878 $abc$5798$n170 -.sym 9879 CLK$2$2 -.sym 9880 $abc$5798$n27 -.sym 10358 $abc$5798$n247 -.sym 10515 $abc$5798$n610 -.sym 10516 $abc$5798$n612 -.sym 10518 $abc$5798$n288_1 -.sym 10519 UART.tx_bit_counter[2] -.sym 10520 UART.tx_bit_counter[3] -.sym 10611 $abc$5798$n288_1 -.sym 10612 $abc$5798$n286_1 -.sym 10613 $abc$5798$n283_1 -.sym 10614 UART.tx_activity -.sym 10617 $abc$5798$n288_1 -.sym 10618 $abc$5798$n286_1 -.sym 10619 $abc$5798$n283_1 -.sym 10620 UART.tx_activity -.sym 10633 $true -.sym 10634 CLK$2$2 -.sym 10635 $false -.sym 10637 $abc$5798$n595 -.sym 10639 $abc$5798$n594 -.sym 10640 UART.tx_bit_counter[0] -.sym 10642 UART.tx_bit_counter[1] -.sym 10716 $abc$5798$n283_1 -.sym 10717 UART.tx_activity -.sym 10718 $false -.sym 10719 $false -.sym 10885 $true$2 -.sym 11005 $abc$5798$n269 -.sym 11006 $abc$5798$n268 -.sym 11007 $abc$5798$n168 -.sym 11009 $abc$5798$n7 -.sym 11010 I2C.sda_cnt[1] -.sym 11011 I2C.sda_cnt[0] -.sym 11041 $true -.sym 11078 I2C.sda_cnt[0]$2 -.sym 11079 $false -.sym 11080 I2C.sda_cnt[0] -.sym 11081 $false -.sym 11082 $false -.sym 11084 $auto$alumacc.cc:470:replace_alu$1330.C[2] -.sym 11086 I2C.sda_cnt[1] -.sym 11087 $true$2 -.sym 11090 $auto$alumacc.cc:470:replace_alu$1330.C[3] -.sym 11091 $false -.sym 11092 I2C.sda_cnt[2] -.sym 11093 $true$2 -.sym 11094 $auto$alumacc.cc:470:replace_alu$1330.C[2] -.sym 11097 $false -.sym 11098 I2C.sda_cnt[3] -.sym 11099 $true$2 -.sym 11100 $auto$alumacc.cc:470:replace_alu$1330.C[3] -.sym 11103 $abc$5798$n268 -.sym 11104 $abc$5798$n271 -.sym 11105 $abc$5798$n272 -.sym 11106 $false -.sym 11109 I2C.sda_cnt[0] -.sym 11110 I2C.sda_cnt[1] -.sym 11111 I2C.sda_cnt[2] -.sym 11112 I2C.sda_cnt[3] -.sym 11115 $abc$5798$n272 -.sym 11116 $false -.sym 11117 $false -.sym 11118 $false -.sym 11121 $abc$5798$n271 -.sym 11122 $false -.sym 11123 $false -.sym 11124 $false -.sym 11125 $abc$5798$n168 -.sym 11126 CLK$2$2 -.sym 11127 $abc$5798$n25 -.sym 11128 COM_TX$2 -.sym 11251 $abc$5798$n269_1 -.sym 11252 $abc$5798$n278_1 -.sym 11253 $abc$5798$n347_1 -.sym 11254 $abc$5798$n92 -.sym 11255 $abc$5798$n365 -.sym 11256 $abc$5798$n348_1 -.sym 11257 $abc$5798$n265 -.sym 11258 I2C.i2c_bit_counter[1] -.sym 11287 $true -.sym 11324 $abc$5798$n363$2 -.sym 11325 $false -.sym 11326 $abc$5798$n363 -.sym 11327 $false -.sym 11328 $false -.sym 11330 $auto$alumacc.cc:470:replace_alu$1324.C[2] -.sym 11332 $abc$5798$n365 -.sym 11333 $true$2 -.sym 11336 $auto$alumacc.cc:470:replace_alu$1324.C[3] -.sym 11337 $false -.sym 11338 $abc$5798$n367 -.sym 11339 $true$2 -.sym 11340 $auto$alumacc.cc:470:replace_alu$1324.C[2] -.sym 11342 $abc$5798$n584$2 -.sym 11343 $false -.sym 11344 $abc$5798$n369 -.sym 11345 $true$2 -.sym 11346 $auto$alumacc.cc:470:replace_alu$1324.C[3] -.sym 11352 $abc$5798$n584$2 -.sym 11355 $abc$5798$n609 -.sym 11356 $false -.sym 11357 $false -.sym 11358 $false -.sym 11361 $abc$5798$n365 -.sym 11362 $false -.sym 11363 $false -.sym 11364 $false -.sym 11367 $abc$5798$n363 -.sym 11368 $false -.sym 11369 $false -.sym 11370 $false -.sym 11374 $abc$5798$n345_1 -.sym 11375 $abc$5798$n326_1 -.sym 11376 $abc$5798$n324_1 -.sym 11377 $abc$5798$n266 -.sym 11378 $abc$5798$n327_1 -.sym 11379 $abc$5798$n325_1 -.sym 11380 $abc$5798$n343_1 -.sym 11381 $abc$5798$n268_1 -.sym 11448 $abc$5798$n609 -.sym 11449 $abc$5798$n71 -.sym 11450 $abc$5798$n345_1 -.sym 11451 $false -.sym 11454 $abc$5798$n29 -.sym 11455 $abc$5798$n267 -.sym 11456 $abc$5798$n354_1 -.sym 11457 $false -.sym 11460 $abc$5798$n242 -.sym 11461 $abc$5798$n268_1 -.sym 11462 I2C.is_ack -.sym 11463 $false -.sym 11466 $abc$5798$n345_1 -.sym 11467 $abc$5798$n342_1 -.sym 11468 $abc$5798$n609 -.sym 11469 $abc$5798$n321 -.sym 11472 $abc$5798$n242 -.sym 11473 I2C.i2c_bit_counter[3] -.sym 11474 $false -.sym 11475 $false -.sym 11478 $abc$5798$n342_1 -.sym 11479 $abc$5798$n171 -.sym 11480 $false -.sym 11481 $false -.sym 11484 $abc$5798$n325_1 -.sym 11485 I2C.is_ack -.sym 11486 I2C.i2c_bit_counter[3] -.sym 11487 $abc$5798$n242 -.sym 11490 $abc$5798$n353 -.sym 11491 $abc$5798$n425 -.sym 11492 $abc$5798$n424 -.sym 11493 $abc$5798$n171 -.sym 11494 $true -.sym 11495 CLK$2$2 -.sym 11496 $false -.sym 11497 $abc$5798$n329_1 -.sym 11498 $abc$5798$n386_1 -.sym 11499 $abc$5798$n328_1 -.sym 11500 $abc$5798$n387 -.sym 11501 $abc$5798$n376 -.sym 11502 $abc$5798$n418 -.sym 11503 $abc$5798$n377 -.sym 11504 I2C.is_ack -.sym 11571 $abc$5798$n321 -.sym 11572 $abc$5798$n171 -.sym 11573 $false -.sym 11574 $false -.sym 11577 I2C.i2c_state_machine -.sym 11578 $abc$5798$n242 -.sym 11579 $abc$5798$n243 -.sym 11580 $false -.sym 11583 $abc$5798$n383 -.sym 11584 $abc$5798$n384_1 -.sym 11585 $abc$5798$n342_1 -.sym 11586 $false -.sym 11589 $abc$5798$n171 -.sym 11590 $abc$5798$n325_1 -.sym 11591 $abc$5798$n386_1 -.sym 11592 $abc$5798$n384_1 -.sym 11595 $abc$5798$n242 -.sym 11596 $abc$5798$n243 -.sym 11597 I2C.wr -.sym 11598 $false -.sym 11601 UART.tx_activity -.sym 11602 I2C.i2c_state_machine -.sym 11603 $false -.sym 11604 $false -.sym 11613 $abc$5798$n381 -.sym 11614 $abc$5798$n382_1 -.sym 11615 $abc$5798$n385 -.sym 11616 $abc$5798$n387 -.sym 11617 $true -.sym 11618 CLK$2$2 -.sym 11619 $false -.sym 11620 $abc$5798$n321 -.sym 11623 $abc$5798$n427 -.sym 11624 $abc$5798$n489 -.sym 11625 $abc$5798$n389 -.sym 11626 I2C.byte_counter[1] -.sym 11627 I2C.byte_counter[0] -.sym 11694 $abc$5798$n341_1 -.sym 11695 $abc$5798$n383 -.sym 11696 $abc$5798$n389 -.sym 11697 $abc$5798$n267 -.sym 11740 $true -.sym 11741 CLK$2$2 -.sym 11742 $abc$5798$n29 -.sym 11743 $abc$5798$n599 -.sym 11744 $abc$5798$n600 -.sym 11749 $abc$5798$n258 -.sym 11750 $abc$5798$n583 -.sym 11847 $abc$5798$n9 -.sym 11848 $false -.sym 11849 $false -.sym 11850 $false -.sym 11863 $true -.sym 11864 CLK$2$2 -.sym 11865 $false -.sym 11940 I2C.SCLF -.sym 11941 I2C.SCLD -.sym 11942 $abc$5798$n276 -.sym 11943 $abc$5798$n238 -.sym 11946 I2C.scl_cnt[0] -.sym 11947 I2C.scl_cnt[1] -.sym 11948 $false -.sym 11949 $false -.sym 11958 I2C.SCLD -.sym 11959 I2C.SCLF -.sym 11960 $abc$5798$n27 -.sym 11961 $false -.sym 11964 $false -.sym 11965 I2C.scl_cnt[0] -.sym 11966 $false -.sym 11967 $true$2 -.sym 11970 $abc$5798$n275 -.sym 11971 $abc$5798$n278 -.sym 11972 $abc$5798$n279 -.sym 11973 $false -.sym 11976 $abc$5798$n276 -.sym 11977 $false -.sym 11978 $false -.sym 11979 $false -.sym 11982 $abc$5798$n275 -.sym 11983 $false -.sym 11984 $false -.sym 11985 $false -.sym 11986 $abc$5798$n170 -.sym 11987 CLK$2$2 -.sym 11988 $abc$5798$n27 -.sym 11989 $abc$5798$n596 -.sym 11993 $abc$5798$n603 -.sym 12309 $abc$5798$n247 -.sym 12469 $abc$5798$n31 -.sym 12597 UART.tx_activity -.sym 12598 $abc$5798$n283_1 -.sym 12599 $false -.sym 12600 $false -.sym 12626 $abc$5798$n553 -.sym 12627 $abc$5798$n613 -.sym 12628 $abc$5798$n611 -.sym 12658 $true -.sym 12695 UART.tx_bit_counter[0]$2 -.sym 12696 $false -.sym 12697 UART.tx_bit_counter[0] -.sym 12698 $false -.sym 12699 $false -.sym 12701 $auto$alumacc.cc:470:replace_alu$1339.C[2] -.sym 12703 UART.tx_bit_counter[1] -.sym 12704 $true$2 -.sym 12707 $auto$alumacc.cc:470:replace_alu$1339.C[3] -.sym 12708 $false -.sym 12709 UART.tx_bit_counter[2] -.sym 12710 $true$2 -.sym 12711 $auto$alumacc.cc:470:replace_alu$1339.C[2] -.sym 12714 $false -.sym 12715 UART.tx_bit_counter[3] -.sym 12716 $true$2 -.sym 12717 $auto$alumacc.cc:470:replace_alu$1339.C[3] -.sym 12726 UART.tx_bit_counter[0] -.sym 12727 UART.tx_bit_counter[1] -.sym 12728 UART.tx_bit_counter[2] -.sym 12729 UART.tx_bit_counter[3] -.sym 12732 $abc$5798$n610 -.sym 12733 $false -.sym 12734 $false -.sym 12735 $false -.sym 12738 $abc$5798$n612 -.sym 12739 $false -.sym 12740 $false -.sym 12741 $false -.sym 12742 $abc$5798$n251 -.sym 12743 CLK$2$2 -.sym 12744 $abc$5798$n31$2 -.sym 12747 $abc$5798$n568 -.sym 12750 $abc$5798$n227 -.sym 12751 $abc$5798$n606 -.sym 12752 $abc$5798$n16 -.sym 12825 $false -.sym 12826 UART.tx_bit_counter[0] -.sym 12827 $false -.sym 12828 $true$2 -.sym 12837 UART.tx_bit_counter[0] -.sym 12838 UART.tx_bit_counter[1] -.sym 12839 $false -.sym 12840 $false -.sym 12843 $abc$5798$n595 -.sym 12844 $false -.sym 12845 $false -.sym 12846 $false -.sym 12855 $abc$5798$n594 -.sym 12856 $false -.sym 12857 $false -.sym 12858 $false -.sym 12865 $abc$5798$n251 -.sym 12866 CLK$2$2 -.sym 12867 $abc$5798$n31$2 -.sym 12992 $abc$5798$n283_1 -.sym 12993 UART.TX_sig_last -.sym 13083 $false -.sym 13084 $false -.sym 13085 $false -.sym 13086 $false -.sym 13114 $abc$5798$n6 -.sym 13119 I2C.SDAD -.sym 13188 I2C.sda_cnt[0] -.sym 13189 I2C.sda_cnt[1] -.sym 13190 $false -.sym 13191 $false -.sym 13194 $false -.sym 13195 I2C.sda_cnt[0] -.sym 13196 $false -.sym 13197 $true$2 -.sym 13200 I2C.SDAD -.sym 13201 I2C.SDAF -.sym 13202 $abc$5798$n25 -.sym 13203 $false -.sym 13212 I2C.SDAF -.sym 13213 I2C.SDAD -.sym 13214 $abc$5798$n269 -.sym 13215 $abc$5798$n235 -.sym 13218 $abc$5798$n269 -.sym 13219 $false -.sym 13220 $false -.sym 13221 $false -.sym 13224 $abc$5798$n268 -.sym 13225 $false -.sym 13226 $false -.sym 13227 $false -.sym 13234 $abc$5798$n168 -.sym 13235 CLK$2$2 -.sym 13236 $abc$5798$n25 -.sym 13243 I2C.SDAF -.sym 13311 $abc$5798$n16 -.sym 13312 $false -.sym 13313 $false -.sym 13314 $false -.sym 13360 $abc$5798$n363 -.sym 13362 $abc$5798$n350_1 -.sym 13363 $abc$5798$n344_1 -.sym 13364 $abc$5798$n367 -.sym 13365 $abc$5798$n77 -.sym 13366 I2C.i2c_bit_counter[2] -.sym 13367 I2C.i2c_bit_counter[0] -.sym 13434 $abc$5798$n71 -.sym 13435 $abc$5798$n92 -.sym 13436 $abc$5798$n584 -.sym 13437 $false -.sym 13440 $abc$5798$n266 -.sym 13441 $abc$5798$n269_1 -.sym 13442 $abc$5798$n79 -.sym 13443 $false -.sym 13446 $abc$5798$n345_1 -.sym 13447 $abc$5798$n348_1 -.sym 13448 $abc$5798$n171 -.sym 13449 $false -.sym 13452 $false -.sym 13453 $false -.sym 13454 $true$2 -.sym 13455 $abc$5798$n584 -.sym 13458 $abc$5798$n242 -.sym 13459 I2C.i2c_bit_counter[1] -.sym 13460 $false -.sym 13461 $false -.sym 13464 $abc$5798$n242 -.sym 13465 I2C.i2c_bit_counter[0] -.sym 13466 I2C.i2c_bit_counter[1] -.sym 13467 $false -.sym 13470 $abc$5798$n79 -.sym 13471 $abc$5798$n269_1 -.sym 13472 $abc$5798$n266 -.sym 13473 $false -.sym 13476 $abc$5798$n340_1 -.sym 13477 $abc$5798$n365 -.sym 13478 $abc$5798$n343_1 -.sym 13479 $abc$5798$n347_1 -.sym 13480 $true -.sym 13481 CLK$2$2 -.sym 13482 $false -.sym 13483 $abc$5798$n323_1 -.sym 13484 $abc$5798$n320_1 -.sym 13485 $abc$5798$n336_1 -.sym 13486 $abc$5798$n340_1 -.sym 13487 $abc$5798$n322_1 -.sym 13489 I2C.SDA_DIR -.sym 13490 $abc$5798$n20 -.sym 13557 $abc$5798$n29 -.sym 13558 $abc$5798$n267 -.sym 13559 $abc$5798$n9 -.sym 13560 $abc$5798$n20 -.sym 13563 $abc$5798$n328_1 -.sym 13564 $abc$5798$n327_1 -.sym 13565 I2C.i2c_state_machine -.sym 13566 $abc$5798$n323_1 -.sym 13569 $abc$5798$n242 -.sym 13570 I2C.is_ack -.sym 13571 $false -.sym 13572 $false -.sym 13575 $abc$5798$n29 -.sym 13576 $abc$5798$n267 -.sym 13577 $abc$5798$n171 -.sym 13578 $abc$5798$n268_1 -.sym 13581 $abc$5798$n29 -.sym 13582 $abc$5798$n267 -.sym 13583 $false -.sym 13584 $false -.sym 13587 $abc$5798$n20 -.sym 13588 $abc$5798$n9 -.sym 13589 $false -.sym 13590 $false -.sym 13593 $abc$5798$n324_1 -.sym 13594 $abc$5798$n329_1 -.sym 13595 $abc$5798$n327_1 -.sym 13596 $abc$5798$n266 -.sym 13599 $abc$5798$n9 -.sym 13600 $abc$5798$n20 -.sym 13601 $false -.sym 13602 $false -.sym 13606 $abc$5798$n423 -.sym 13607 $abc$5798$n338_1 -.sym 13608 $abc$5798$n378_1 -.sym 13609 $abc$5798$n330_1 -.sym 13610 $abc$5798$n243 -.sym 13611 $abc$5798$n242 -.sym 13612 I2C.is_read -.sym 13680 $abc$5798$n325_1 -.sym 13681 $abc$5798$n171 -.sym 13682 $false -.sym 13683 $false -.sym 13686 $abc$5798$n329_1 -.sym 13687 $abc$5798$n324_1 -.sym 13688 $abc$5798$n267 -.sym 13689 $abc$5798$n29 -.sym 13692 $abc$5798$n329_1 -.sym 13693 $abc$5798$n323_1 -.sym 13694 $abc$5798$n330_1 -.sym 13695 $abc$5798$n418 -.sym 13698 $abc$5798$n596 -.sym 13699 $abc$5798$n341_1 -.sym 13700 $abc$5798$n327_1 -.sym 13701 $false -.sym 13704 $abc$5798$n330_1 -.sym 13705 $abc$5798$n418 -.sym 13706 $abc$5798$n377 -.sym 13707 $abc$5798$n327_1 -.sym 13710 I2C.is_ack -.sym 13711 $abc$5798$n171 -.sym 13712 $abc$5798$n325_1 -.sym 13713 $false -.sym 13716 $abc$5798$n329_1 -.sym 13717 $abc$5798$n324_1 -.sym 13718 $false -.sym 13719 $false -.sym 13722 $abc$5798$n376 -.sym 13723 $abc$5798$n378_1 -.sym 13724 $false -.sym 13725 $false -.sym 13726 $true -.sym 13727 CLK$2$2 -.sym 13728 $false -.sym 13729 $abc$5798$n98 -.sym 13730 $abc$5798$n331_1 -.sym 13731 $abc$5798$n420 -.sym 13732 $abc$5798$n267 -.sym 13733 $abc$5798$n359_1 -.sym 13735 $abc$5798$n358_1 -.sym 13736 $abc$5798$n18 -.sym 13803 $abc$5798$n29 -.sym 13804 $abc$5798$n267 -.sym 13805 $false -.sym 13806 $false -.sym 13821 $abc$5798$n242 -.sym 13822 $abc$5798$n418 -.sym 13823 $abc$5798$n341_1 -.sym 13824 $abc$5798$n267 -.sym 13827 $false -.sym 13828 $true$2 -.sym 13829 $abc$5798$n98 -.sym 13830 $false -.sym 13833 $abc$5798$n330_1 -.sym 13834 $abc$5798$n418 -.sym 13835 $false -.sym 13836 $false -.sym 13839 $abc$5798$n29 -.sym 13840 I2C.byte_counter[0] -.sym 13841 $abc$5798$n427 -.sym 13842 $abc$5798$n258 -.sym 13845 $abc$5798$n489 -.sym 13846 $abc$5798$n98 -.sym 13847 $abc$5798$n420 -.sym 13848 $false -.sym 13849 $true -.sym 13850 CLK$2$2 -.sym 13851 $false -.sym 13852 $abc$5798$n422 -.sym 13853 $abc$5798$n101 -.sym 13854 $abc$5798$n256 -.sym 13855 $abc$5798$n257 -.sym 13856 $abc$5798$n332_1 -.sym 13857 $abc$5798$n255 -.sym 13858 $abc$5798$n369_1 -.sym 13859 I2C.byte_counter[2] -.sym 13926 $abc$5798$n101 -.sym 13927 $false -.sym 13928 $false -.sym 13929 $false -.sym 13932 $abc$5798$n260 -.sym 13933 $false -.sym 13934 $false -.sym 13935 $false -.sym 13962 $abc$5798$n242 -.sym 13963 I2C.byte_counter[1] -.sym 13964 $false -.sym 13965 $false -.sym 13968 $abc$5798$n258 -.sym 13969 $false -.sym 13970 $false -.sym 13971 $false -.sym 13977 $abc$5798$n491 -.sym 13978 $abc$5798$n492 -.sym 13979 $abc$5798$n493 -.sym 13980 $abc$5798$n494 -.sym 13981 $abc$5798$n495 -.sym 13982 $abc$5798$n496 -.sym 14011 $true -.sym 14048 $abc$5798$n583$2 -.sym 14049 $false -.sym 14050 $abc$5798$n583 -.sym 14051 $false -.sym 14052 $false -.sym 14054 $auto$alumacc.cc:470:replace_alu$1316.C[3] -.sym 14056 $false -.sym 14057 $abc$5798$n599 -.sym 14060 $auto$alumacc.cc:470:replace_alu$1316.C[4] -.sym 14062 $false -.sym 14063 $abc$5798$n600 -.sym 14066 $auto$alumacc.cc:470:replace_alu$1316.C[5] -.sym 14068 $false -.sym 14069 $abc$5798$n605 -.sym 14072 $auto$alumacc.cc:470:replace_alu$1316.C[6] -.sym 14074 $false -.sym 14075 $abc$5798$n601 -.sym 14078 $auto$alumacc.cc:470:replace_alu$1316.C[7] -.sym 14080 $false -.sym 14081 $abc$5798$n602 -.sym 14084 $auto$alumacc.cc:470:replace_alu$1316.C[8] -.sym 14086 $false -.sym 14087 $abc$5798$n603 -.sym 14090 $abc$5798$n596$2 -.sym 14092 $false -.sym 14093 $abc$5798$n604 -.sym 14098 $abc$5798$n497 -.sym 14099 $abc$5798$n604 -.sym 14100 $abc$5798$n601 -.sym 14101 $abc$5798$n105 -.sym 14102 I2C.byte_counter[7] -.sym 14103 I2C.byte_counter[8] -.sym 14175 $abc$5798$n596$2 -.sym 14196 $abc$5798$n105 -.sym 14197 $false -.sym 14198 $false -.sym 14199 $false -.sym 14418 $abc$5798$n31 -.sym 14718 UART.tx_activity -.sym 14719 $false -.sym 14720 $false -.sym 14721 $false -.sym 14767 $true -.sym 14804 $abc$5798$n606$2 -.sym 14805 $false -.sym 14806 $abc$5798$n606 -.sym 14807 $false -.sym 14808 $false -.sym 14810 $auto$alumacc.cc:470:replace_alu$1306.C[2] -.sym 14812 $false -.sym 14813 $abc$5798$n594 -.sym 14816 $auto$alumacc.cc:470:replace_alu$1306.C[3] -.sym 14818 $false -.sym 14819 $abc$5798$n611 -.sym 14822 $abc$5798$n553$2 -.sym 14824 $false -.sym 14825 $abc$5798$n613 -.sym 14832 $abc$5798$n553$2 -.sym 14835 $abc$5798$n612 -.sym 14836 $false -.sym 14837 $false -.sym 14838 $false -.sym 14841 $abc$5798$n610 -.sym 14842 $false -.sym 14843 $false -.sym 14844 $false -.sym 14854 $abc$5798$n225 -.sym 14856 $abc$5798$n582 -.sym 14857 $abc$5798$n230 -.sym 14890 $true -.sym 14927 $abc$5798$n606$3 -.sym 14928 $false -.sym 14929 $abc$5798$n606 -.sym 14930 $false -.sym 14931 $false -.sym 14933 $auto$alumacc.cc:470:replace_alu$1342.C[2] -.sym 14935 $false -.sym 14936 $abc$5798$n594 -.sym 14940 $false -.sym 14941 $false -.sym 14942 $abc$5798$n611 -.sym 14943 $auto$alumacc.cc:470:replace_alu$1342.C[2] -.sym 14958 $abc$5798$n594 -.sym 14959 $abc$5798$n595 -.sym 14960 $false -.sym 14961 $false -.sym 14964 $abc$5798$n595 -.sym 14965 $false -.sym 14966 $false -.sym 14967 $false -.sym 14970 $abc$5798$n225 -.sym 14971 $abc$5798$n553 -.sym 14972 $abc$5798$n230 -.sym 14973 UART.tx_activity -.sym 14974 $abc$5798$n251 -.sym 14975 CLK$2$2 -.sym 14976 $false -.sym 15100 $abc$5798$n231 -.sym 15104 UART.tx_data[7] -.sym 15107 UART.tx_data[5] -.sym 15180 UART.TX_sig_last -.sym 15181 UART_WR -.sym 15182 $false -.sym 15183 $false -.sym 15186 UART_WR -.sym 15187 $false -.sym 15188 $false -.sym 15189 $false -.sym 15220 $true -.sym 15221 CLK$2$2 -.sym 15222 $false -.sym 15225 I2C.RECEIVED_BYTE[3] -.sym 15297 $abc$5798$n7 -.sym 15298 $false -.sym 15299 $false -.sym 15300 $false -.sym 15327 $abc$5798$n6 -.sym 15328 $false -.sym 15329 $false -.sym 15330 $false -.sym 15343 $true -.sym 15344 CLK$2$2 -.sym 15345 $false -.sym 15346 $abc$5798$n141 -.sym 15348 $abc$5798$n318 -.sym 15352 UART_WR -.sym 15456 I2C.SDA_IN -.sym 15457 $false -.sym 15458 $false -.sym 15459 $false -.sym 15466 $true -.sym 15467 CLK$2$2 -.sym 15468 $false -.sym 15469 $abc$5798$n276_1 -.sym 15470 $abc$5798$n272_1 -.sym 15471 $abc$5798$n211 -.sym 15473 $abc$5798$n241 -.sym 15474 $abc$5798$n270 -.sym 15475 $abc$5798$n274 -.sym 15476 I2C.RECEIVED_BYTE[7] -.sym 15543 $abc$5798$n242 -.sym 15544 I2C.i2c_bit_counter[0] -.sym 15545 $false -.sym 15546 $false -.sym 15555 $abc$5798$n345_1 -.sym 15556 $abc$5798$n171 -.sym 15557 $abc$5798$n79 -.sym 15558 $false -.sym 15561 $abc$5798$n345_1 -.sym 15562 $abc$5798$n171 -.sym 15563 $abc$5798$n77 -.sym 15564 $false -.sym 15567 $abc$5798$n242 -.sym 15568 I2C.i2c_bit_counter[2] -.sym 15569 $false -.sym 15570 $false -.sym 15573 $false -.sym 15574 $abc$5798$n363 -.sym 15575 $false -.sym 15576 $true$2 -.sym 15579 $abc$5798$n340_1 -.sym 15580 $abc$5798$n367 -.sym 15581 $abc$5798$n343_1 -.sym 15582 $abc$5798$n350_1 -.sym 15585 $abc$5798$n340_1 -.sym 15586 $abc$5798$n363 -.sym 15587 $abc$5798$n343_1 -.sym 15588 $abc$5798$n344_1 -.sym 15589 $true -.sym 15590 CLK$2$2 -.sym 15591 $false -.sym 15596 RAM_W -.sym 15666 $abc$5798$n242 -.sym 15667 $abc$5798$n243 -.sym 15668 I2C.SDA_DIR -.sym 15669 $false -.sym 15672 $abc$5798$n322_1 -.sym 15673 $abc$5798$n321 -.sym 15674 $false -.sym 15675 $false -.sym 15678 $abc$5798$n171 -.sym 15679 $abc$5798$n325_1 -.sym 15680 $false -.sym 15681 $false -.sym 15684 $abc$5798$n341_1 -.sym 15685 $abc$5798$n336_1 -.sym 15686 $abc$5798$n321 -.sym 15687 $false -.sym 15690 $abc$5798$n324_1 -.sym 15691 $abc$5798$n323_1 -.sym 15692 $abc$5798$n325_1 -.sym 15693 $abc$5798$n171 -.sym 15702 $abc$5798$n315 -.sym 15703 $abc$5798$n336_1 -.sym 15704 $abc$5798$n320_1 -.sym 15705 $abc$5798$n326_1 -.sym 15708 $abc$5798$n9 -.sym 15709 $false -.sym 15710 $false -.sym 15711 $false -.sym 15712 $true -.sym 15713 CLK$2$2 -.sym 15714 $false -.sym 15715 $abc$5798$n166 -.sym 15716 $abc$5798$n158 -.sym 15717 $abc$5798$n254 -.sym 15718 $0\UART_WR[0:0] -.sym 15719 $abc$5798$n156 -.sym 15721 $abc$5798$n152 -.sym 15722 wr_old -.sym 15789 $abc$5798$n29 -.sym 15790 $abc$5798$n325_1 -.sym 15791 $abc$5798$n324_1 -.sym 15792 $false -.sym 15795 $abc$5798$n29 -.sym 15796 $abc$5798$n331_1 -.sym 15797 $abc$5798$n418 -.sym 15798 I2C.RECEIVED_BYTE[0] -.sym 15801 $abc$5798$n325_1 -.sym 15802 $abc$5798$n171 -.sym 15803 $abc$5798$n321 -.sym 15804 $abc$5798$n423 -.sym 15807 $abc$5798$n331_1 -.sym 15808 $abc$5798$n333_1 -.sym 15809 $false -.sym 15810 $false -.sym 15813 $abc$5798$n7 -.sym 15814 $abc$5798$n9 -.sym 15815 $abc$5798$n18 -.sym 15816 $false -.sym 15819 $abc$5798$n9 -.sym 15820 $abc$5798$n18 -.sym 15821 $abc$5798$n7 -.sym 15822 $false -.sym 15825 $abc$5798$n338_1 -.sym 15826 $abc$5798$n267 -.sym 15827 $false -.sym 15828 $false -.sym 15835 $true -.sym 15836 CLK$2$2 -.sym 15837 $false -.sym 15840 I2C.SCLF -.sym 15912 $abc$5798$n242 -.sym 15913 I2C.byte_counter[0] -.sym 15914 $false -.sym 15915 $false -.sym 15918 $abc$5798$n18 -.sym 15919 $abc$5798$n9 -.sym 15920 $abc$5798$n7 -.sym 15921 $abc$5798$n332_1 -.sym 15924 $abc$5798$n321 -.sym 15925 $abc$5798$n341_1 -.sym 15926 $abc$5798$n359_1 -.sym 15927 $abc$5798$n422 -.sym 15930 $abc$5798$n242 -.sym 15931 I2C.is_read -.sym 15932 $false -.sym 15933 $false -.sym 15936 $abc$5798$n29 -.sym 15937 $abc$5798$n267 -.sym 15938 $abc$5798$n418 -.sym 15939 $false -.sym 15948 $abc$5798$n321 -.sym 15949 $abc$5798$n341_1 -.sym 15950 $abc$5798$n359_1 -.sym 15951 $false -.sym 15954 $abc$5798$n7 -.sym 15955 $false -.sym 15956 $false -.sym 15957 $false -.sym 15958 $true -.sym 15959 CLK$2$2 -.sym 15960 $false -.sym 15962 $abc$5798$n260 -.sym 15963 $abc$5798$n259 -.sym 15968 I2C.byte_counter[3] -.sym 16035 $abc$5798$n260 -.sym 16036 $abc$5798$n257 -.sym 16037 $abc$5798$n369_1 -.sym 16038 $abc$5798$n258_1 -.sym 16041 $abc$5798$n242 -.sym 16042 I2C.byte_counter[2] -.sym 16043 $false -.sym 16044 $false -.sym 16047 $abc$5798$n257 -.sym 16048 $abc$5798$n258_1 -.sym 16049 $false -.sym 16050 $false -.sym 16053 I2C.byte_counter[0] -.sym 16054 I2C.byte_counter[2] -.sym 16055 $false -.sym 16056 $false -.sym 16059 I2C.byte_counter[1] -.sym 16060 $abc$5798$n259 -.sym 16061 $abc$5798$n258_1 -.sym 16062 $abc$5798$n257 -.sym 16065 $abc$5798$n256 -.sym 16066 $abc$5798$n259 -.sym 16067 I2C.byte_counter[1] -.sym 16068 $false -.sym 16071 I2C.byte_counter[6] -.sym 16072 I2C.byte_counter[7] -.sym 16073 I2C.byte_counter[8] -.sym 16074 I2C.byte_counter[1] -.sym 16077 $abc$5798$n491 -.sym 16078 $abc$5798$n101 -.sym 16079 $abc$5798$n420 -.sym 16080 $false -.sym 16081 $true -.sym 16082 CLK$2$2 -.sym 16083 $false -.sym 16084 $abc$5798$n104 -.sym 16085 $abc$5798$n258_1 -.sym 16086 $abc$5798$n605 -.sym 16087 $abc$5798$n262 -.sym 16088 $abc$5798$n602 -.sym 16089 I2C.byte_counter[6] -.sym 16090 I2C.byte_counter[5] -.sym 16091 I2C.byte_counter[4] -.sym 16120 $true -.sym 16157 $abc$5798$n98$2 -.sym 16158 $false -.sym 16159 $abc$5798$n98 -.sym 16160 $false -.sym 16161 $false -.sym 16163 $auto$alumacc.cc:470:replace_alu$1321.C[2] -.sym 16165 $false -.sym 16166 $abc$5798$n258 -.sym 16169 $auto$alumacc.cc:470:replace_alu$1321.C[3] -.sym 16170 $false -.sym 16171 $false -.sym 16172 $abc$5798$n101 -.sym 16173 $auto$alumacc.cc:470:replace_alu$1321.C[2] -.sym 16175 $auto$alumacc.cc:470:replace_alu$1321.C[4] -.sym 16176 $false -.sym 16177 $false -.sym 16178 $abc$5798$n260 -.sym 16179 $auto$alumacc.cc:470:replace_alu$1321.C[3] -.sym 16181 $auto$alumacc.cc:470:replace_alu$1321.C[5] -.sym 16182 $false -.sym 16183 $false -.sym 16184 $abc$5798$n262 -.sym 16185 $auto$alumacc.cc:470:replace_alu$1321.C[4] -.sym 16187 $auto$alumacc.cc:470:replace_alu$1321.C[6] -.sym 16188 $false -.sym 16189 $false -.sym 16190 $abc$5798$n263 -.sym 16191 $auto$alumacc.cc:470:replace_alu$1321.C[5] -.sym 16193 $auto$alumacc.cc:470:replace_alu$1321.C[7] -.sym 16194 $false -.sym 16195 $false -.sym 16196 $abc$5798$n104 -.sym 16197 $auto$alumacc.cc:470:replace_alu$1321.C[6] -.sym 16199 $auto$alumacc.cc:470:replace_alu$1321.C[8] -.sym 16200 $false -.sym 16201 $false -.sym 16202 $abc$5798$n105 -.sym 16203 $auto$alumacc.cc:470:replace_alu$1321.C[7] -.sym 16208 $abc$5798$n263 -.sym 16214 $abc$5798$n110 -.sym 16281 $false -.sym 16282 $false -.sym 16283 $abc$5798$n110 -.sym 16284 $auto$alumacc.cc:470:replace_alu$1321.C[8] -.sym 16287 $abc$5798$n110 -.sym 16288 $false -.sym 16289 $false -.sym 16290 $false -.sym 16293 $abc$5798$n263 -.sym 16294 $false -.sym 16295 $false -.sym 16296 $false -.sym 16299 $abc$5798$n242 -.sym 16300 I2C.byte_counter[7] -.sym 16301 $false -.sym 16302 $false -.sym 16305 $abc$5798$n422 -.sym 16306 $abc$5798$n496 -.sym 16307 $abc$5798$n105 -.sym 16308 $abc$5798$n358_1 -.sym 16311 $abc$5798$n422 -.sym 16312 $abc$5798$n497 -.sym 16313 $abc$5798$n110 -.sym 16314 $abc$5798$n358_1 -.sym 16327 $true -.sym 16328 CLK$2$2 -.sym 16329 $false -.sym 16497 $abc$5798$n158 -.sym 17037 $abc$5798$n226_1 -.sym 17038 $abc$5798$n229 -.sym 17039 $abc$5798$n582 -.sym 17040 $abc$5798$n568 -.sym 17049 $false -.sym 17050 $false -.sym 17051 $abc$5798$n606 -.sym 17052 $true$2 -.sym 17055 $abc$5798$n231 -.sym 17056 $abc$5798$n232 -.sym 17057 $abc$5798$n568 -.sym 17058 $abc$5798$n582 -.sym 17209 $abc$5798$n232 -.sym 17210 $abc$5798$n229 -.sym 17211 $abc$5798$n226_1 -.sym 17212 UART.tx_data[3] -.sym 17213 UART.tx_data[0] -.sym 17214 UART.tx_data[6] -.sym 17215 UART.tx_data[1] -.sym 17283 UART.tx_data[5] -.sym 17284 UART.tx_data[7] -.sym 17285 $abc$5798$n227 -.sym 17286 $false -.sym 17307 UART_TX_DATA[7] -.sym 17308 $false -.sym 17309 $false -.sym 17310 $false -.sym 17325 UART_TX_DATA[5] -.sym 17326 $false -.sym 17327 $false -.sym 17328 $false -.sym 17329 $abc$5798$n247$2 -.sym 17330 CLK$2$2 -.sym 17331 $false -.sym 17334 UART_TX_DATA[3] -.sym 17335 UART_TX_DATA[5] -.sym 17336 UART_TX_DATA[6] -.sym 17338 UART_TX_DATA[7] -.sym 17339 UART_TX_DATA[0] -.sym 17418 $abc$5798$n6 -.sym 17419 $false -.sym 17420 $false -.sym 17421 $false -.sym 17452 $abc$5798$n211 -.sym 17453 CLK$2$2 -.sym 17454 $false -.sym 17455 $abc$5798$n333_1 -.sym 17456 $abc$5798$n12 -.sym 17457 I2C_TX[6] -.sym 17458 I2C_TX[3] -.sym 17459 I2C_TX[5] -.sym 17460 I2C_TX[0] -.sym 17461 $abc$5798$n22 -.sym 17462 I2C_TX[7] -.sym 17529 $0\UART_WR[0:0]$2 -.sym 17530 I2C.is_read -.sym 17531 $false -.sym 17532 $false -.sym 17541 $false -.sym 17542 $true$2 -.sym 17543 LED1$2 -.sym 17544 $false -.sym 17565 $0\RAM_W[0:0] -.sym 17566 $false -.sym 17567 $false -.sym 17568 $false -.sym 17575 $abc$5798$n152 -.sym 17576 CLK$2$2 -.sym 17577 $abc$5798$n141 -.sym 17578 $abc$5798$n219 -.sym 17579 $abc$5798$n317_1 -.sym 17581 $abc$5798$n318_1 -.sym 17582 $abc$5798$n316_1 -.sym 17583 $abc$5798$n319_1 -.sym 17584 $abc$5798$n315 -.sym 17585 I2C.RECEIVED_BYTE[4] -.sym 17652 $abc$5798$n242 -.sym 17653 I2C.i2c_bit_counter[0] -.sym 17654 I2C.i2c_bit_counter[1] -.sym 17655 $abc$5798$n77 -.sym 17658 $abc$5798$n242 -.sym 17659 I2C.i2c_bit_counter[0] -.sym 17660 I2C.i2c_bit_counter[1] -.sym 17661 $abc$5798$n77 -.sym 17664 $abc$5798$n265 -.sym 17665 $abc$5798$n276_1 -.sym 17666 $false -.sym 17667 $false -.sym 17676 $abc$5798$n278_1 -.sym 17677 $abc$5798$n276_1 -.sym 17678 $false -.sym 17679 $false -.sym 17682 $abc$5798$n242 -.sym 17683 $abc$5798$n77 -.sym 17684 I2C.i2c_bit_counter[0] -.sym 17685 I2C.i2c_bit_counter[1] -.sym 17688 $abc$5798$n242 -.sym 17689 I2C.i2c_bit_counter[0] -.sym 17690 I2C.i2c_bit_counter[1] -.sym 17691 $abc$5798$n77 -.sym 17694 $abc$5798$n6 -.sym 17695 $false -.sym 17696 $false -.sym 17697 $false -.sym 17698 $abc$5798$n241 -.sym 17699 CLK$2$2 -.sym 17700 $false -.sym 17701 $abc$5798$n317 -.sym 17702 $abc$5798$n203 -.sym 17707 $abc$5798$n234 -.sym 17708 RAM.last_we -.sym 17799 $0\RAM_W[0:0] -.sym 17800 $false -.sym 17801 $false -.sym 17802 $false -.sym 17821 $abc$5798$n166 -.sym 17822 CLK$2$2 -.sym 17823 $false -.sym 17830 LED2$2 -.sym 17898 $abc$5798$n255 -.sym 17899 $0\RAM_W[0:0] -.sym 17900 I2C.wr -.sym 17901 wr_old -.sym 17904 $abc$5798$n254 -.sym 17905 I2C.wr -.sym 17906 wr_old -.sym 17907 $false -.sym 17910 I2C.is_read -.sym 17911 $abc$5798$n255 -.sym 17912 $false -.sym 17913 $false -.sym 17916 wr_old -.sym 17917 I2C.wr -.sym 17918 $false -.sym 17919 $false -.sym 17922 LED1$2 -.sym 17923 $abc$5798$n254 -.sym 17924 I2C.wr -.sym 17925 wr_old -.sym 17934 I2C.wr -.sym 17935 wr_old -.sym 17936 $false -.sym 17937 $false -.sym 17940 I2C.wr -.sym 17941 $false -.sym 17942 $false -.sym 17943 $false -.sym 17944 $true -.sym 17945 CLK$2$2 -.sym 17946 $false -.sym 18033 SCL$2 -.sym 18034 $false -.sym 18035 $false -.sym 18036 $false -.sym 18067 $true -.sym 18068 CLK$2$2 -.sym 18069 $false -.sym 18150 $abc$5798$n242 -.sym 18151 I2C.byte_counter[3] -.sym 18152 $false -.sym 18153 $false -.sym 18156 I2C.byte_counter[3] -.sym 18157 I2C.byte_counter[8] -.sym 18158 $false -.sym 18159 $false -.sym 18186 $abc$5798$n492 -.sym 18187 $abc$5798$n422 -.sym 18188 $abc$5798$n260 -.sym 18189 $abc$5798$n358_1 -.sym 18190 $true -.sym 18191 CLK$2$2 -.sym 18192 $false -.sym 18267 $abc$5798$n242 -.sym 18268 I2C.byte_counter[6] -.sym 18269 $false -.sym 18270 $false -.sym 18273 I2C.byte_counter[4] -.sym 18274 I2C.byte_counter[5] -.sym 18275 I2C.byte_counter[6] -.sym 18276 I2C.byte_counter[7] -.sym 18279 $abc$5798$n262 -.sym 18280 $false -.sym 18281 $false -.sym 18282 $false -.sym 18285 $abc$5798$n242 -.sym 18286 I2C.byte_counter[4] -.sym 18287 $false -.sym 18288 $false -.sym 18291 $abc$5798$n104 -.sym 18292 $false -.sym 18293 $false -.sym 18294 $false -.sym 18297 $abc$5798$n422 -.sym 18298 $abc$5798$n495 -.sym 18299 $abc$5798$n104 -.sym 18300 $abc$5798$n358_1 -.sym 18303 $abc$5798$n422 -.sym 18304 $abc$5798$n494 -.sym 18305 $abc$5798$n263 -.sym 18306 $abc$5798$n358_1 -.sym 18309 $abc$5798$n422 -.sym 18310 $abc$5798$n493 -.sym 18311 $abc$5798$n262 -.sym 18312 $abc$5798$n358_1 -.sym 18313 $true -.sym 18314 CLK$2$2 -.sym 18315 $false -.sym 18396 $abc$5798$n242 -.sym 18397 I2C.byte_counter[5] -.sym 18398 $false -.sym 18399 $false -.sym 18432 $abc$5798$n242 -.sym 18433 I2C.byte_counter[8] -.sym 18434 $false -.sym 18435 $false -.sym 19391 UART.tx_data[1] -.sym 19392 UART.tx_data[3] -.sym 19393 $abc$5798$n227 -.sym 19394 $false -.sym 19397 UART.tx_data[0] -.sym 19398 UART.tx_data[2] -.sym 19399 $abc$5798$n227 -.sym 19400 $false -.sym 19403 UART.tx_data[4] -.sym 19404 UART.tx_data[6] -.sym 19405 $abc$5798$n227 -.sym 19406 $false -.sym 19409 UART_TX_DATA[3] -.sym 19410 $false -.sym 19411 $false -.sym 19412 $false -.sym 19415 UART_TX_DATA[0] -.sym 19416 $false -.sym 19417 $false -.sym 19418 $false -.sym 19421 UART_TX_DATA[6] -.sym 19422 $false -.sym 19423 $false -.sym 19424 $false -.sym 19427 UART_TX_DATA[1] -.sym 19428 $false -.sym 19429 $false -.sym 19430 $false -.sym 19437 $abc$5798$n247$2 -.sym 19438 CLK$2$2 -.sym 19439 $false -.sym 19440 RAM.r_data[0] -.sym 19442 RAM.r_data[1] -.sym 19444 RAM.r_data[2] -.sym 19446 RAM.r_data[3] -.sym 19526 RAM.r_data[3] -.sym 19527 I2C.RECEIVED_BYTE[3] -.sym 19528 $abc$5798$n141$2 -.sym 19529 $false -.sym 19532 RAM.r_data[5] -.sym 19533 I2C.RECEIVED_BYTE[5] -.sym 19534 $abc$5798$n141$2 -.sym 19535 $false -.sym 19538 RAM.r_data[6] -.sym 19539 I2C.RECEIVED_BYTE[6] -.sym 19540 $abc$5798$n141$2 -.sym 19541 $false -.sym 19550 RAM.r_data[7] -.sym 19551 I2C.RECEIVED_BYTE[7] -.sym 19552 $abc$5798$n141$2 -.sym 19553 $false -.sym 19556 RAM.r_data[0] -.sym 19557 I2C.RECEIVED_BYTE[0] -.sym 19558 $abc$5798$n141$2 -.sym 19559 $false -.sym 19560 $0\UART_WR[0:0]$2 -.sym 19561 CLK$2$2 -.sym 19562 $false -.sym 19563 RAM.r_data[4] -.sym 19565 RAM.r_data[5] -.sym 19567 RAM.r_data[6] -.sym 19569 RAM.r_data[7] -.sym 19637 $abc$5798$n334_1 -.sym 19638 I2C.RECEIVED_BYTE[3] -.sym 19639 I2C.RECEIVED_BYTE[5] -.sym 19640 I2C.RECEIVED_BYTE[6] -.sym 19643 RAM.r_data[2] -.sym 19644 $false -.sym 19645 $false -.sym 19646 $false -.sym 19649 RAM.r_data[6] -.sym 19650 $false -.sym 19651 $false -.sym 19652 $false -.sym 19655 RAM.r_data[3] -.sym 19656 $false -.sym 19657 $false -.sym 19658 $false -.sym 19661 RAM.r_data[5] -.sym 19662 $false -.sym 19663 $false -.sym 19664 $false -.sym 19667 RAM.r_data[0] -.sym 19668 $false -.sym 19669 $false -.sym 19670 $false -.sym 19673 RAM.r_data[1] -.sym 19674 $false -.sym 19675 $false -.sym 19676 $false -.sym 19679 RAM.r_data[7] -.sym 19680 $false -.sym 19681 $false -.sym 19682 $false -.sym 19683 $abc$5798$n141$2 -.sym 19684 CLK$2$2 -.sym 19685 $false -.sym 19760 $abc$5798$n278_1 -.sym 19761 $abc$5798$n270 -.sym 19762 $false -.sym 19763 $false -.sym 19766 $abc$5798$n22 -.sym 19767 I2C_TX[5] -.sym 19768 $abc$5798$n79 -.sym 19769 $abc$5798$n272_1 -.sym 19778 I2C_TX[7] -.sym 19779 I2C_TX[3] -.sym 19780 $abc$5798$n79 -.sym 19781 $abc$5798$n276_1 -.sym 19784 $abc$5798$n14 -.sym 19785 I2C_TX[0] -.sym 19786 $abc$5798$n79 -.sym 19787 $abc$5798$n270 -.sym 19790 $abc$5798$n12 -.sym 19791 I2C_TX[6] -.sym 19792 $abc$5798$n79 -.sym 19793 $abc$5798$n274 -.sym 19796 $abc$5798$n316_1 -.sym 19797 $abc$5798$n317_1 -.sym 19798 $abc$5798$n318_1 -.sym 19799 $abc$5798$n319_1 -.sym 19802 $abc$5798$n6 -.sym 19803 $false -.sym 19804 $false -.sym 19805 $false -.sym 19806 $abc$5798$n219 -.sym 19807 CLK$2$2 -.sym 19808 $false -.sym 19883 RAM.last_we -.sym 19884 RAM_W -.sym 19885 $false -.sym 19886 $false -.sym 19889 $abc$5798$n265 -.sym 19890 $abc$5798$n274 -.sym 19891 $false -.sym 19892 $false -.sym 19919 $abc$5798$n278_1 -.sym 19920 $abc$5798$n274 -.sym 19921 $false -.sym 19922 $false -.sym 19925 RAM_W -.sym 19926 $false -.sym 19927 $false -.sym 19928 $false -.sym 19929 $true -.sym 19930 CLK$2$2 -.sym 19931 $false -.sym 20042 I2C.RECEIVED_BYTE[1] -.sym 20043 LED2$2 -.sym 20044 $0\RAM_W[0:0] -.sym 20045 $false -.sym 20052 $abc$5798$n156 -.sym 20053 CLK$2$2 -.sym 20054 $false -.sym 21296 UART.tx_data[4] -.sym 21297 UART.tx_data[2] -.sym 21393 $abc$5798$n334_1 -.sym 21395 UART_TX_DATA[4] -.sym 21396 UART_TX_DATA[1] -.sym 21398 UART_TX_DATA[2] -.sym 21494 LED3$2 -.sym 21495 LED1$2 -.sym 21497 ram_adress[7] -.sym 21498 LED4$2 -.sym 21499 ram_adress[6] -.sym 21500 ram_adress[5] -.sym 21501 ram_adress[4] -.sym 21502 $undef -.sym 21503 $undef -.sym 21504 $undef -.sym 21505 $undef -.sym 21506 $undef -.sym 21507 $undef -.sym 21508 $undef -.sym 21509 $undef -.sym 21510 LED1$2 -.sym 21511 LED2$2 -.sym 21512 $false -.sym 21513 LED3$2 -.sym 21514 LED4$2 -.sym 21515 ram_adress[4] -.sym 21516 ram_adress[5] -.sym 21517 ram_adress[6] -.sym 21518 ram_adress[7] -.sym 21519 $false -.sym 21520 $false -.sym 21521 CLK$2$2 -.sym 21522 $abc$5798$n317 -.sym 21523 I2C.RECEIVED_BYTE[0] -.sym 21524 $undef -.sym 21525 I2C.RECEIVED_BYTE[1] -.sym 21526 $undef -.sym 21527 I2C.RECEIVED_BYTE[2] -.sym 21528 $undef -.sym 21529 I2C.RECEIVED_BYTE[3] -.sym 21530 $undef -.sym 21531 $true$2 -.sym 21596 $abc$5798$n195 -.sym 21599 $abc$5798$n226 -.sym 21601 $abc$5798$n185 -.sym 21603 I2C.RECEIVED_BYTE[5] -.sym 21604 $undef -.sym 21605 $undef -.sym 21606 $undef -.sym 21607 $undef -.sym 21608 $undef -.sym 21609 $undef -.sym 21610 $undef -.sym 21611 $undef -.sym 21612 LED1$2 -.sym 21613 LED2$2 -.sym 21614 $false -.sym 21615 LED3$2 -.sym 21616 LED4$2 -.sym 21617 ram_adress[4] -.sym 21618 ram_adress[5] -.sym 21619 ram_adress[6] -.sym 21620 ram_adress[7] -.sym 21621 $false -.sym 21622 $false -.sym 21623 CLK$2$2 -.sym 21624 $true -.sym 21625 $true$2 -.sym 21626 I2C.RECEIVED_BYTE[5] -.sym 21627 $undef -.sym 21628 I2C.RECEIVED_BYTE[6] -.sym 21629 $undef -.sym 21630 I2C.RECEIVED_BYTE[7] -.sym 21631 $undef -.sym 21632 I2C.RECEIVED_BYTE[4] -.sym 21633 $undef -.sym 21699 I2C.RECEIVED_BYTE[6] -.sym 21805 $0\RAM_W[0:0] -.sym 21807 I2C.RECEIVED_BYTE[1] -.sym 23308 UART_TX_DATA[4] -.sym 23309 $false -.sym 23310 $false -.sym 23311 $false -.sym 23314 UART_TX_DATA[2] -.sym 23315 $false -.sym 23316 $false -.sym 23317 $false -.sym 23318 $abc$5798$n247$2 -.sym 23319 CLK$2$2 -.sym 23320 $false -.sym 23401 I2C.RECEIVED_BYTE[1] -.sym 23402 I2C.RECEIVED_BYTE[2] -.sym 23403 I2C.RECEIVED_BYTE[4] -.sym 23404 I2C.RECEIVED_BYTE[7] -.sym 23413 RAM.r_data[4] -.sym 23414 I2C.RECEIVED_BYTE[4] -.sym 23415 $abc$5798$n141$2 -.sym 23416 $false -.sym 23419 RAM.r_data[1] -.sym 23420 I2C.RECEIVED_BYTE[1] -.sym 23421 $abc$5798$n141$2 -.sym 23422 $false -.sym 23431 RAM.r_data[2] -.sym 23432 I2C.RECEIVED_BYTE[2] -.sym 23433 $abc$5798$n141$2 -.sym 23434 $false -.sym 23441 $0\UART_WR[0:0]$2 -.sym 23442 CLK$2$2 -.sym 23443 $false -.sym 23446 $abc$5798$n323 -.sym 23447 $abc$5798$n325 -.sym 23448 $abc$5798$n328 -.sym 23449 $abc$5798$n330 -.sym 23450 $abc$5798$n333 -.sym 23451 $abc$5798$n336 -.sym 23518 I2C.RECEIVED_BYTE[2] -.sym 23519 $abc$5798$n323 -.sym 23520 $0\RAM_W[0:0] -.sym 23521 $false -.sym 23524 I2C.RECEIVED_BYTE[0] -.sym 23525 $abc$5798$n318 -.sym 23526 $0\RAM_W[0:0] -.sym 23527 $false -.sym 23536 I2C.RECEIVED_BYTE[7] -.sym 23537 $abc$5798$n336 -.sym 23538 $0\RAM_W[0:0] -.sym 23539 $false -.sym 23542 I2C.RECEIVED_BYTE[3] -.sym 23543 $abc$5798$n325 -.sym 23544 $0\RAM_W[0:0] -.sym 23545 $false -.sym 23548 I2C.RECEIVED_BYTE[6] -.sym 23549 $abc$5798$n333 -.sym 23550 $0\RAM_W[0:0] -.sym 23551 $false -.sym 23554 I2C.RECEIVED_BYTE[5] -.sym 23555 $abc$5798$n330 -.sym 23556 $0\RAM_W[0:0] -.sym 23557 $false -.sym 23560 I2C.RECEIVED_BYTE[4] -.sym 23561 $abc$5798$n328 -.sym 23562 $0\RAM_W[0:0] -.sym 23563 $false -.sym 23564 $abc$5798$n158$2 -.sym 23565 CLK$2$2 -.sym 23566 $false -.sym 23568 $abc$5798$n14 -.sym 23641 $abc$5798$n265 -.sym 23642 $abc$5798$n272_1 -.sym 23643 $false -.sym 23644 $false -.sym 23659 $abc$5798$n278_1 -.sym 23660 $abc$5798$n272_1 -.sym 23661 $false -.sym 23662 $false -.sym 23671 $abc$5798$n265 -.sym 23672 $abc$5798$n270 -.sym 23673 $false -.sym 23674 $false -.sym 23683 $abc$5798$n6 -.sym 23684 $false -.sym 23685 $false -.sym 23686 $false -.sym 23687 $abc$5798$n226 -.sym 23688 CLK$2$2 -.sym 23689 $false -.sym 23692 I2C.RECEIVED_BYTE[2] -.sym 23770 $abc$5798$n6 -.sym 23771 $false -.sym 23772 $false -.sym 23773 $false -.sym 23810 $abc$5798$n234 -.sym 23811 CLK$2$2 -.sym 23812 $false -.sym 23820 I2C.RECEIVED_BYTE[0] -.sym 23917 I2C.is_read -.sym 23918 $0\UART_WR[0:0]$2 -.sym 23919 $false -.sym 23920 $false -.sym 23929 $abc$5798$n6 -.sym 23930 $false -.sym 23931 $false -.sym 23932 $false -.sym 23933 $abc$5798$n195 -.sym 23934 CLK$2$2 -.sym 23935 $false -.sym 25556 SCL$2 -.sym 25709 I2C.SDA_IN -.sym 25777 $true -.sym 25814 LED1$2$2 -.sym 25815 $false -.sym 25816 LED1$2 -.sym 25817 $false -.sym 25818 $false -.sym 25820 $auto$alumacc.cc:470:replace_alu$1333.C[2] -.sym 25822 $false -.sym 25823 LED2$2 -.sym 25826 $auto$alumacc.cc:470:replace_alu$1333.C[3] -.sym 25827 $false -.sym 25828 $false -.sym 25829 LED3$2 -.sym 25830 $auto$alumacc.cc:470:replace_alu$1333.C[2] -.sym 25832 $auto$alumacc.cc:470:replace_alu$1333.C[4] -.sym 25833 $false -.sym 25834 $false -.sym 25835 LED4$2 -.sym 25836 $auto$alumacc.cc:470:replace_alu$1333.C[3] -.sym 25838 $auto$alumacc.cc:470:replace_alu$1333.C[5] -.sym 25839 $false -.sym 25840 $false -.sym 25841 ram_adress[4] -.sym 25842 $auto$alumacc.cc:470:replace_alu$1333.C[4] -.sym 25844 $auto$alumacc.cc:470:replace_alu$1333.C[6] -.sym 25845 $false -.sym 25846 $false -.sym 25847 ram_adress[5] -.sym 25848 $auto$alumacc.cc:470:replace_alu$1333.C[5] -.sym 25850 $auto$alumacc.cc:470:replace_alu$1333.C[7] -.sym 25851 $false -.sym 25852 $false -.sym 25853 ram_adress[6] -.sym 25854 $auto$alumacc.cc:470:replace_alu$1333.C[6] -.sym 25857 $false -.sym 25858 $false -.sym 25859 ram_adress[7] -.sym 25860 $auto$alumacc.cc:470:replace_alu$1333.C[7] -.sym 25976 RAM.r_data[4] -.sym 25977 $false -.sym 25978 $false -.sym 25979 $false -.sym 26016 $abc$5798$n141$2 -.sym 26017 CLK$2$2 -.sym 26018 $false -.sym 26137 $abc$5798$n6 -.sym 26138 $false -.sym 26139 $false -.sym 26140 $false -.sym 26171 $abc$5798$n203 -.sym 26172 CLK$2$2 -.sym 26173 $false -.sym 26322 $abc$5798$n6 -.sym 26323 $false -.sym 26324 $false -.sym 26325 $false -.sym 26326 $abc$5798$n185 -.sym 26327 CLK$2$2 -.sym 26328 $false -.sym 27370 I2C.is_read -.sym 27429 $abc$5798$n141 -.sym 27430 $false -.sym 27432 I2C.SDA_DIR -.sym 27463 I2C.i2c_state_machine -.sym 27519 LED4$2 -.sym 27522 LED3$2 -.sym 27549 LED2$2 -.sym 27552 LED1$2 diff --git a/i2c_flash/hardware.bin b/i2c_flash/hardware.bin deleted file mode 100644 index 1684a49..0000000 Binary files a/i2c_flash/hardware.bin and /dev/null differ diff --git a/i2c_flash/hardware.blif b/i2c_flash/hardware.blif deleted file mode 100644 index b16690b..0000000 --- a/i2c_flash/hardware.blif +++ /dev/null @@ -1,1161 +0,0 @@ -# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) - -.model top -.inputs CLK SCL SDA COM_RX -.outputs LED1 LED2 LED3 LED4 LED5 SDA ACK COM_TX COM_DCD COM_DSR COM_RTS -.names $false -.names $true -1 -.names $undef -.gate SB_LUT4 I0=$abc$5798$n225 I1=$abc$5798$n553 I2=$abc$5798$n230 I3=UART.tx_activity O=$abc$5798$n5 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$5798$n226_1 I1=$abc$5798$n229 I2=$abc$5798$n582 I3=$abc$5798$n568 O=$abc$5798$n225 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=UART.tx_data[4] I1=UART.tx_data[6] I2=$abc$5798$n227 I3=$false O=$abc$5798$n226_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$5798$n594 I1=$abc$5798$n595 I2=$false I3=$false O=$abc$5798$n227 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$5798$n594 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=UART.tx_data[0] I1=UART.tx_data[2] I2=$abc$5798$n227 I3=$false O=$abc$5798$n229 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$5798$n231 I1=$abc$5798$n232 I2=$abc$5798$n568 I3=$abc$5798$n582 O=$abc$5798$n230 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010001100000000 -.gate SB_LUT4 I0=UART.tx_data[5] I1=UART.tx_data[7] I2=$abc$5798$n227 I3=$false O=$abc$5798$n231 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=UART.tx_data[1] I1=UART.tx_data[3] I2=$abc$5798$n227 I3=$false O=$abc$5798$n232 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=I2C.SDAF I1=I2C.SDAD I2=$abc$5798$n269 I3=$abc$5798$n235 O=$abc$5798$n7 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010100110011 -.gate SB_LUT4 I0=I2C.sda_cnt[0] I1=I2C.sda_cnt[1] I2=$false I3=$false O=$abc$5798$n269 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$5798$n268 I1=$abc$5798$n271 I2=$abc$5798$n272 I3=$false O=$abc$5798$n235 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C.SCLF I1=I2C.SCLD I2=$abc$5798$n276 I3=$abc$5798$n238 O=$abc$5798$n9 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010100110011 -.gate SB_LUT4 I0=I2C.scl_cnt[0] I1=I2C.scl_cnt[1] I2=$false I3=$false O=$abc$5798$n276 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$5798$n275 I1=$abc$5798$n278 I2=$abc$5798$n279 I3=$false O=$abc$5798$n238 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C.sda_cnt[0] I1=I2C.sda_cnt[1] I2=I2C.sda_cnt[2] I3=I2C.sda_cnt[3] O=$abc$5798$n25 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.scl_cnt[0] I1=I2C.scl_cnt[1] I2=I2C.scl_cnt[2] I3=I2C.scl_cnt[3] O=$abc$5798$n27 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$5798$n242 I2=$abc$5798$n243 I3=$false O=$abc$5798$n29 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110001 -.gate SB_LUT4 I0=$abc$5798$n9 I1=$abc$5798$n18 I2=$abc$5798$n7 I3=$false O=$abc$5798$n242 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$5798$n7 I1=$abc$5798$n9 I2=$abc$5798$n18 I3=$false O=$abc$5798$n243 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$5798$n98 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$5798$n101 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$5798$n104 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$5798$n105 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[8] I2=$false I3=$false O=$abc$5798$n110 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$0\UART_WR[0:0] I1=I2C.is_read I2=$false I3=$false O=$abc$5798$n141 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_old I1=I2C.wr I2=$false I3=$false O=$0\UART_WR[0:0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.is_read I1=$0\UART_WR[0:0] I2=$false I3=$false O=$0\RAM_W[0:0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.wr I1=wr_old I2=$false I3=$false O=$abc$5798$n152 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=LED1 I1=$abc$5798$n254 I2=I2C.wr I3=wr_old O=$abc$5798$n156 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001011000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$5798$n255 I2=$false I3=$false O=$abc$5798$n254 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n256 I1=$abc$5798$n259 I2=I2C.byte_counter[1] I3=$false O=$abc$5798$n255 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$5798$n257 I1=$abc$5798$n258_1 I2=$false I3=$false O=$abc$5798$n256 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$5798$n257 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$5798$n258_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[8] I2=$false I3=$false O=$abc$5798$n259 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$5798$n254 I1=I2C.wr I2=wr_old I3=$false O=$abc$5798$n158 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00011000 -.gate SB_LUT4 I0=$abc$5798$n255 I1=$0\RAM_W[0:0] I2=I2C.wr I3=wr_old O=$abc$5798$n166 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=I2C.SDAD I1=I2C.SDAF I2=$abc$5798$n25 I3=$false O=$abc$5798$n168 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01101111 -.gate SB_LUT4 I0=I2C.SCLD I1=I2C.SCLF I2=$abc$5798$n27 I3=$false O=$abc$5798$n170 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$5798$n265 I1=$abc$5798$n270 I2=$false I3=$false O=$abc$5798$n185 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n79 I1=$abc$5798$n269_1 I2=$abc$5798$n266 I3=$false O=$abc$5798$n265 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$abc$5798$n171 I3=$abc$5798$n268_1 O=$abc$5798$n266 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.is_read I2=$false I3=$false O=$abc$5798$n267 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n9 I1=$abc$5798$n20 I2=$false I3=$false O=$abc$5798$n268_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n71 I1=$abc$5798$n92 I2=$abc$5798$n584 I3=$false O=$abc$5798$n269_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n77 I2=I2C.i2c_bit_counter[0] I3=I2C.i2c_bit_counter[1] O=$abc$5798$n270 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$5798$n265 I1=$abc$5798$n272_1 I2=$false I3=$false O=$abc$5798$n195 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=I2C.i2c_bit_counter[1] I3=$abc$5798$n77 O=$abc$5798$n272_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$5798$n265 I1=$abc$5798$n274 I2=$false I3=$false O=$abc$5798$n203 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=I2C.i2c_bit_counter[1] I3=$abc$5798$n77 O=$abc$5798$n274 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011101011 -.gate SB_LUT4 I0=$abc$5798$n265 I1=$abc$5798$n276_1 I2=$false I3=$false O=$abc$5798$n211 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=I2C.i2c_bit_counter[1] I3=$abc$5798$n77 O=$abc$5798$n276_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110101100000000 -.gate SB_LUT4 I0=$abc$5798$n278_1 I1=$abc$5798$n270 I2=$false I3=$false O=$abc$5798$n219 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n266 I1=$abc$5798$n269_1 I2=$abc$5798$n79 I3=$false O=$abc$5798$n278_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$5798$n278_1 I1=$abc$5798$n272_1 I2=$false I3=$false O=$abc$5798$n226 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n278_1 I1=$abc$5798$n274 I2=$false I3=$false O=$abc$5798$n234 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n278_1 I1=$abc$5798$n276_1 I2=$false I3=$false O=$abc$5798$n241 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n283_1 I1=UART.tx_activity I2=$false I3=$false O=$abc$5798$n246 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=$false I3=$false O=$abc$5798$n283_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_activity I1=$abc$5798$n283_1 I2=$false I3=$false O=$abc$5798$n247 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n288_1 I1=$abc$5798$n286_1 I2=$abc$5798$n283_1 I3=UART.tx_activity O=$abc$5798$n251 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100010011110000 -.gate SB_LUT4 I0=$abc$5798$n549 I1=$abc$5798$n288 I2=$abc$5798$n291 I3=$abc$5798$n292 O=$abc$5798$n286_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$5798$n549 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_bit_counter[3] O=$abc$5798$n288_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$5798$n258 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$5798$n260 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$5798$n262 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$5798$n263 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=RAM.last_we I1=RAM_W I2=$false I3=$false O=$abc$5798$n317 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[0] I1=$abc$5798$n318 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[1] I1=LED2 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[2] I1=$abc$5798$n323 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[3] I1=$abc$5798$n325 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[4] I1=$abc$5798$n328 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[5] I1=$abc$5798$n330 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[6] I1=$abc$5798$n333 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[7] I1=$abc$5798$n336 I2=$0\RAM_W[0:0] I3=$false O=$0\ram_adress[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=RAM.r_data[0] I1=I2C.RECEIVED_BYTE[0] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=RAM.r_data[1] I1=I2C.RECEIVED_BYTE[1] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=RAM.r_data[2] I1=I2C.RECEIVED_BYTE[2] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=RAM.r_data[3] I1=I2C.RECEIVED_BYTE[3] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=RAM.r_data[4] I1=I2C.RECEIVED_BYTE[4] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=RAM.r_data[5] I1=I2C.RECEIVED_BYTE[5] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=RAM.r_data[6] I1=I2C.RECEIVED_BYTE[6] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=RAM.r_data[7] I1=I2C.RECEIVED_BYTE[7] I2=$abc$5798$n141 I3=$false O=$0\UART_TX_DATA[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$5798$n363 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$5798$n365 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$5798$n367 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[3] I2=$false I3=$false O=$abc$5798$n609 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$5798$n315 I1=$abc$5798$n336_1 I2=$abc$5798$n320_1 I3=$abc$5798$n326_1 O=$abc$5798$n378 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000011111111 -.gate SB_LUT4 I0=$abc$5798$n316_1 I1=$abc$5798$n317_1 I2=$abc$5798$n318_1 I3=$abc$5798$n319_1 O=$abc$5798$n315 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$5798$n14 I1=I2C_TX[0] I2=$abc$5798$n79 I3=$abc$5798$n270 O=$abc$5798$n316_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101110000000000 -.gate SB_LUT4 I0=$abc$5798$n22 I1=I2C_TX[5] I2=$abc$5798$n79 I3=$abc$5798$n272_1 O=$abc$5798$n317_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100010100000000 -.gate SB_LUT4 I0=I2C_TX[7] I1=I2C_TX[3] I2=$abc$5798$n79 I3=$abc$5798$n276_1 O=$abc$5798$n318_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$5798$n12 I1=I2C_TX[6] I2=$abc$5798$n79 I3=$abc$5798$n274 O=$abc$5798$n319_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100010100000000 -.gate SB_LUT4 I0=$abc$5798$n322_1 I1=$abc$5798$n321 I2=$false I3=$false O=$abc$5798$n320_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$false I3=$false O=$abc$5798$n321 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n324_1 I1=$abc$5798$n323_1 I2=$abc$5798$n325_1 I3=$abc$5798$n171 O=$abc$5798$n322_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111001100000011 -.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n243 I2=I2C.SDA_DIR I3=$false O=$abc$5798$n323_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.is_ack I2=$false I3=$false O=$abc$5798$n324_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n20 I1=$abc$5798$n9 I2=$false I3=$false O=$abc$5798$n325_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n328_1 I1=$abc$5798$n327_1 I2=I2C.i2c_state_machine I3=$abc$5798$n323_1 O=$abc$5798$n326_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$false I3=$false O=$abc$5798$n327_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$5798$n329_1 I1=$abc$5798$n323_1 I2=$abc$5798$n330_1 I3=$abc$5798$n418 O=$abc$5798$n328_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=$abc$5798$n325_1 I1=$abc$5798$n171 I2=$false I3=$false O=$abc$5798$n329_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n331_1 I1=$abc$5798$n333_1 I2=$false I3=$false O=$abc$5798$n330_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$5798$n18 I1=$abc$5798$n9 I2=$abc$5798$n7 I3=$abc$5798$n332_1 O=$abc$5798$n331_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$5798$n259 I2=$abc$5798$n258_1 I3=$abc$5798$n257 O=$abc$5798$n332_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$5798$n334_1 I1=I2C.RECEIVED_BYTE[3] I2=I2C.RECEIVED_BYTE[5] I3=I2C.RECEIVED_BYTE[6] O=$abc$5798$n333_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.RECEIVED_BYTE[1] I1=I2C.RECEIVED_BYTE[2] I2=I2C.RECEIVED_BYTE[4] I3=I2C.RECEIVED_BYTE[7] O=$abc$5798$n334_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$5798$n171 I1=$abc$5798$n325_1 I2=$false I3=$false O=$abc$5798$n336_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n338_1 I1=$abc$5798$n267 I2=$false I3=$false O=$abc$5798$n380 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n331_1 I2=$abc$5798$n418 I3=I2C.RECEIVED_BYTE[0] O=$abc$5798$n338_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$5798$n340_1 I1=$abc$5798$n363 I2=$abc$5798$n343_1 I3=$abc$5798$n344_1 O=$abc$5798$n382 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101000000 -.gate SB_LUT4 I0=$abc$5798$n341_1 I1=$abc$5798$n336_1 I2=$abc$5798$n321 I3=$false O=$abc$5798$n340_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$5798$n342_1 I1=$abc$5798$n171 I2=$false I3=$false O=$abc$5798$n341_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n268_1 I2=I2C.is_ack I3=$false O=$abc$5798$n342_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$5798$n324_1 I1=$abc$5798$n329_1 I2=$abc$5798$n327_1 I3=$abc$5798$n266 O=$abc$5798$n343_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=$abc$5798$n345_1 I1=$abc$5798$n171 I2=$abc$5798$n77 I3=$false O=$abc$5798$n344_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$abc$5798$n9 I3=$abc$5798$n20 O=$abc$5798$n345_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111010111111 -.gate SB_LUT4 I0=$abc$5798$n340_1 I1=$abc$5798$n365 I2=$abc$5798$n343_1 I3=$abc$5798$n347_1 O=$abc$5798$n384 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101000000 -.gate SB_LUT4 I0=$abc$5798$n345_1 I1=$abc$5798$n348_1 I2=$abc$5798$n171 I3=$false O=$abc$5798$n347_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$5798$n242 I1=I2C.i2c_bit_counter[0] I2=I2C.i2c_bit_counter[1] I3=$false O=$abc$5798$n348_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=$abc$5798$n340_1 I1=$abc$5798$n367 I2=$abc$5798$n343_1 I3=$abc$5798$n350_1 O=$abc$5798$n386 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101000000 -.gate SB_LUT4 I0=$abc$5798$n345_1 I1=$abc$5798$n171 I2=$abc$5798$n79 I3=$false O=$abc$5798$n350_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$abc$5798$n354_1 I3=$false O=$abc$5798$n353 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$5798$n325_1 I1=I2C.is_ack I2=I2C.i2c_bit_counter[3] I3=$abc$5798$n242 O=$abc$5798$n354_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$5798$n321 I1=$abc$5798$n341_1 I2=$abc$5798$n359_1 I3=$false O=$abc$5798$n358_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n267 I2=$abc$5798$n418 I3=$false O=$abc$5798$n359_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$5798$n492 I1=$abc$5798$n422 I2=$abc$5798$n260 I3=$abc$5798$n358_1 O=$abc$5798$n396 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000011101110 -.gate SB_LUT4 I0=I2C.byte_counter[6] I1=I2C.byte_counter[7] I2=I2C.byte_counter[8] I3=I2C.byte_counter[1] O=$abc$5798$n369_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n493 I2=$abc$5798$n262 I3=$abc$5798$n358_1 O=$abc$5798$n398 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n494 I2=$abc$5798$n263 I3=$abc$5798$n358_1 O=$abc$5798$n400 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n495 I2=$abc$5798$n104 I3=$abc$5798$n358_1 O=$abc$5798$n402 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n496 I2=$abc$5798$n105 I3=$abc$5798$n358_1 O=$abc$5798$n404 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$5798$n422 I1=$abc$5798$n497 I2=$abc$5798$n110 I3=$abc$5798$n358_1 O=$abc$5798$n406 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$5798$n376 I1=$abc$5798$n378_1 I2=$false I3=$false O=$abc$5798$n408 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$5798$n330_1 I1=$abc$5798$n418 I2=$abc$5798$n377 I3=$abc$5798$n327_1 O=$abc$5798$n376 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$5798$n329_1 I1=$abc$5798$n324_1 I2=$false I3=$false O=$abc$5798$n377 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$5798$n325_1 I1=$abc$5798$n171 I2=$abc$5798$n321 I3=$abc$5798$n423 O=$abc$5798$n378_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=$abc$5798$n381 I1=$abc$5798$n382_1 I2=$abc$5798$n385 I3=$abc$5798$n387 O=$abc$5798$n410 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111111110010 -.gate SB_LUT4 I0=$abc$5798$n321 I1=$abc$5798$n171 I2=$false I3=$false O=$abc$5798$n381 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n383 I1=$abc$5798$n384_1 I2=$abc$5798$n342_1 I3=$false O=$abc$5798$n382_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$5798$n323_1 I1=$abc$5798$n7 I2=$false I3=$false O=$abc$5798$n383 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n243 I2=I2C.wr I3=$false O=$abc$5798$n384_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$5798$n171 I1=$abc$5798$n325_1 I2=$abc$5798$n386_1 I3=$abc$5798$n384_1 O=$abc$5798$n385 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=$abc$5798$n329_1 I1=$abc$5798$n324_1 I2=$abc$5798$n267 I3=$abc$5798$n29 O=$abc$5798$n386_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011111000 -.gate SB_LUT4 I0=$abc$5798$n596 I1=$abc$5798$n341_1 I2=$abc$5798$n327_1 I3=$false O=$abc$5798$n387 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$5798$n341_1 I1=$abc$5798$n383 I2=$abc$5798$n389 I3=$abc$5798$n267 O=$abc$5798$n415 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111011100001111 -.gate SB_LUT4 I0=$abc$5798$n330_1 I1=$abc$5798$n418 I2=$false I3=$false O=$abc$5798$n389 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$5798$n288_1 I1=$abc$5798$n286_1 I2=$abc$5798$n283_1 I3=UART.tx_activity O=$abc$5798$n546 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111011111110000 -.gate SB_LUT4 I0=$abc$5798$n286_1 I1=$abc$5798$n288 I2=$false I3=$false O=$abc$5798$n548 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$5798$n286_1 I1=$abc$5798$n291 I2=$false I3=$false O=$abc$5798$n550 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$5798$n286_1 I1=$abc$5798$n292 I2=$false I3=$false O=$abc$5798$n551 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=UART.tx_activity I1=I2C.i2c_state_machine I2=$false I3=$false O=COM_DSR -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=RAM.r_data[2] I1=$false I2=$false I3=$false O=$abc$5798$n1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=RAM.r_data[4] I1=$false I2=$false I3=$false O=$abc$5798$n3 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n7 I1=$false I2=$false I3=$false O=$abc$5798$n6 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n9 I1=$false I2=$false I3=$false O=$abc$5798$n8 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=RAM.r_data[1] I1=$false I2=$false I3=$false O=$abc$5798$n11 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n16 I1=$false I2=$false I3=$false O=COM_TX -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$5798$n31 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n609 I1=$false I2=$false I3=$false O=$abc$5798$n369 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n258 I1=$false I2=$false I3=$false O=$abc$5798$n583 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n365 I1=$false I2=$false I3=$false O=$abc$5798$n585 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n594 I1=$false I2=$false I3=$false O=$abc$5798$n593 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n101 I1=$false I2=$false I3=$false O=$abc$5798$n599 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n260 I1=$false I2=$false I3=$false O=$abc$5798$n600 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n263 I1=$false I2=$false I3=$false O=$abc$5798$n601 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n104 I1=$false I2=$false I3=$false O=$abc$5798$n602 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n105 I1=$false I2=$false I3=$false O=$abc$5798$n603 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n110 I1=$false I2=$false I3=$false O=$abc$5798$n604 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n262 I1=$false I2=$false I3=$false O=$abc$5798$n605 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n595 I1=$false I2=$false I3=$false O=$abc$5798$n606 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n363 I1=$false I2=$false I3=$false O=$abc$5798$n607 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n367 I1=$false I2=$false I3=$false O=$abc$5798$n608 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n610 I1=$false I2=$false I3=$false O=$abc$5798$n611 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$5798$n612 I1=$false I2=$false I3=$false O=$abc$5798$n613 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.is_ack I1=$abc$5798$n171 I2=$abc$5798$n325_1 I3=$false O=$abc$5798$n418 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$5798$n489 I1=$abc$5798$n98 I2=$abc$5798$n420 I3=$false O=$abc$5798$n390 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$5798$n321 I1=$abc$5798$n341_1 I2=$abc$5798$n359_1 I3=$abc$5798$n422 O=$abc$5798$n420 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011111000 -.gate SB_LUT4 I0=$abc$5798$n491 I1=$abc$5798$n101 I2=$abc$5798$n420 I3=$false O=$abc$5798$n394 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$5798$n260 I1=$abc$5798$n257 I2=$abc$5798$n369_1 I3=$abc$5798$n258_1 O=$abc$5798$n422 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$5798$n29 I1=$abc$5798$n325_1 I2=$abc$5798$n324_1 I3=$false O=$abc$5798$n423 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$5798$n609 I1=$abc$5798$n71 I2=$abc$5798$n345_1 I3=$false O=$abc$5798$n424 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=$abc$5798$n345_1 I1=$abc$5798$n342_1 I2=$abc$5798$n609 I3=$abc$5798$n321 O=$abc$5798$n425 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011000011110101 -.gate SB_LUT4 I0=$abc$5798$n353 I1=$abc$5798$n425 I2=$abc$5798$n424 I3=$abc$5798$n171 O=$abc$5798$n388 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011101111110000 -.gate SB_LUT4 I0=$abc$5798$n242 I1=$abc$5798$n418 I2=$abc$5798$n341_1 I3=$abc$5798$n267 O=$abc$5798$n427 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$5798$n29 I1=I2C.byte_counter[0] I2=$abc$5798$n427 I3=$abc$5798$n258 O=$abc$5798$n392 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111101000000 -.gate SB_CARRY CI=$abc$5798$n606 CO=$auto$alumacc.cc:470:replace_alu$1306.C[2] I0=$false I1=$abc$5798$n594 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1306.C[2] CO=$auto$alumacc.cc:470:replace_alu$1306.C[3] I0=$false I1=$abc$5798$n611 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1306.C[3] CO=$abc$5798$n553 I0=$false I1=$abc$5798$n613 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$5798$n607 CO=$auto$alumacc.cc:470:replace_alu$1311.C[2] I0=$false I1=$abc$5798$n585 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1311.C[2] CO=$auto$alumacc.cc:470:replace_alu$1311.C[3] I0=$false I1=$abc$5798$n608 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1311.C[3] CO=$abc$5798$n171 I0=$false I1=$abc$5798$n609 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$5798$n583 CO=$auto$alumacc.cc:470:replace_alu$1316.C[3] I0=$false I1=$abc$5798$n599 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[3] CO=$auto$alumacc.cc:470:replace_alu$1316.C[4] I0=$false I1=$abc$5798$n600 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[4] CO=$auto$alumacc.cc:470:replace_alu$1316.C[5] I0=$false I1=$abc$5798$n605 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[5] CO=$auto$alumacc.cc:470:replace_alu$1316.C[6] I0=$false I1=$abc$5798$n601 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[6] CO=$auto$alumacc.cc:470:replace_alu$1316.C[7] I0=$false I1=$abc$5798$n602 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[7] CO=$auto$alumacc.cc:470:replace_alu$1316.C[8] I0=$false I1=$abc$5798$n603 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1316.C[8] CO=$abc$5798$n596 I0=$false I1=$abc$5798$n604 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=$abc$5798$n98 I3=$false O=$abc$5798$n489 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$5798$n98 CO=$auto$alumacc.cc:470:replace_alu$1321.C[2] I0=$false I1=$abc$5798$n258 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n101 I3=$auto$alumacc.cc:470:replace_alu$1321.C[2] O=$abc$5798$n491 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[2] CO=$auto$alumacc.cc:470:replace_alu$1321.C[3] I0=$false I1=$abc$5798$n101 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n260 I3=$auto$alumacc.cc:470:replace_alu$1321.C[3] O=$abc$5798$n492 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[3] CO=$auto$alumacc.cc:470:replace_alu$1321.C[4] I0=$false I1=$abc$5798$n260 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n262 I3=$auto$alumacc.cc:470:replace_alu$1321.C[4] O=$abc$5798$n493 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[4] CO=$auto$alumacc.cc:470:replace_alu$1321.C[5] I0=$false I1=$abc$5798$n262 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n263 I3=$auto$alumacc.cc:470:replace_alu$1321.C[5] O=$abc$5798$n494 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[5] CO=$auto$alumacc.cc:470:replace_alu$1321.C[6] I0=$false I1=$abc$5798$n263 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n104 I3=$auto$alumacc.cc:470:replace_alu$1321.C[6] O=$abc$5798$n495 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[6] CO=$auto$alumacc.cc:470:replace_alu$1321.C[7] I0=$false I1=$abc$5798$n104 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n105 I3=$auto$alumacc.cc:470:replace_alu$1321.C[7] O=$abc$5798$n496 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1321.C[7] CO=$auto$alumacc.cc:470:replace_alu$1321.C[8] I0=$false I1=$abc$5798$n105 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n110 I3=$auto$alumacc.cc:470:replace_alu$1321.C[8] O=$abc$5798$n497 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$5798$n363 I2=$false I3=$true O=$abc$5798$n77 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$5798$n363 CO=$auto$alumacc.cc:470:replace_alu$1324.C[2] I0=$abc$5798$n365 I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$5798$n367 I2=$true I3=$auto$alumacc.cc:470:replace_alu$1324.C[2] O=$abc$5798$n79 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1324.C[2] CO=$auto$alumacc.cc:470:replace_alu$1324.C[3] I0=$abc$5798$n367 I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$5798$n369 I2=$true I3=$auto$alumacc.cc:470:replace_alu$1324.C[3] O=$abc$5798$n71 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1324.C[3] CO=$abc$5798$n584 I0=$abc$5798$n369 I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$5798$n584 O=$abc$5798$n92 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.scl_cnt[0] I2=$false I3=$true O=$abc$5798$n275 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.scl_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$1327.C[2] I0=I2C.scl_cnt[1] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.scl_cnt[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1327.C[2] O=$abc$5798$n278 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1327.C[2] CO=$auto$alumacc.cc:470:replace_alu$1327.C[3] I0=I2C.scl_cnt[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.scl_cnt[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1327.C[3] O=$abc$5798$n279 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.sda_cnt[0] I2=$false I3=$true O=$abc$5798$n268 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.sda_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$1330.C[2] I0=I2C.sda_cnt[1] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.sda_cnt[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1330.C[2] O=$abc$5798$n271 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1330.C[2] CO=$auto$alumacc.cc:470:replace_alu$1330.C[3] I0=I2C.sda_cnt[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.sda_cnt[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1330.C[3] O=$abc$5798$n272 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=LED1 I3=$false O=$abc$5798$n318 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=LED1 CO=$auto$alumacc.cc:470:replace_alu$1333.C[2] I0=$false I1=LED2 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=LED3 I3=$auto$alumacc.cc:470:replace_alu$1333.C[2] O=$abc$5798$n323 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[2] CO=$auto$alumacc.cc:470:replace_alu$1333.C[3] I0=$false I1=LED3 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=LED4 I3=$auto$alumacc.cc:470:replace_alu$1333.C[3] O=$abc$5798$n325 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[3] CO=$auto$alumacc.cc:470:replace_alu$1333.C[4] I0=$false I1=LED4 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ram_adress[4] I3=$auto$alumacc.cc:470:replace_alu$1333.C[4] O=$abc$5798$n328 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[4] CO=$auto$alumacc.cc:470:replace_alu$1333.C[5] I0=$false I1=ram_adress[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ram_adress[5] I3=$auto$alumacc.cc:470:replace_alu$1333.C[5] O=$abc$5798$n330 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[5] CO=$auto$alumacc.cc:470:replace_alu$1333.C[6] I0=$false I1=ram_adress[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ram_adress[6] I3=$auto$alumacc.cc:470:replace_alu$1333.C[6] O=$abc$5798$n333 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1333.C[6] CO=$auto$alumacc.cc:470:replace_alu$1333.C[7] I0=$false I1=ram_adress[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ram_adress[7] I3=$auto$alumacc.cc:470:replace_alu$1333.C[7] O=$abc$5798$n336 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$5798$n288 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$1336.C[2] I0=UART.tx_clk_counter[1] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1336.C[2] O=$abc$5798$n291 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1336.C[2] CO=$auto$alumacc.cc:470:replace_alu$1336.C[3] I0=UART.tx_clk_counter[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1336.C[3] O=$abc$5798$n292 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$5798$n595 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$1339.C[2] I0=UART.tx_bit_counter[1] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1339.C[2] O=$abc$5798$n610 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$1339.C[2] CO=$auto$alumacc.cc:470:replace_alu$1339.C[3] I0=UART.tx_bit_counter[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$1339.C[3] O=$abc$5798$n612 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n606 I3=$true O=$abc$5798$n582 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$5798$n606 CO=$auto$alumacc.cc:470:replace_alu$1342.C[2] I0=$false I1=$abc$5798$n594 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$5798$n611 I3=$auto$alumacc.cc:470:replace_alu$1342.C[2] O=$abc$5798$n568 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_DFFE C=CLK D=RAM.r_data[0] E=$abc$5798$n141 Q=I2C_TX[0] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n11 E=$abc$5798$n141 Q=$abc$5798$n22 -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n1 E=$abc$5798$n141 Q=$abc$5798$n12 -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=RAM.r_data[3] E=$abc$5798$n141 Q=I2C_TX[3] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n3 E=$abc$5798$n141 Q=$abc$5798$n14 -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=RAM.r_data[5] E=$abc$5798$n141 Q=I2C_TX[5] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=RAM.r_data[6] E=$abc$5798$n141 Q=I2C_TX[6] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=RAM.r_data[7] E=$abc$5798$n141 Q=I2C_TX[7] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$0\RAM_W[0:0] E=$abc$5798$n152 Q=UART_WR S=$abc$5798$n141 -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][0] E=$0\UART_WR[0:0] Q=UART_TX_DATA[0] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][1] E=$0\UART_WR[0:0] Q=UART_TX_DATA[1] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][2] E=$0\UART_WR[0:0] Q=UART_TX_DATA[2] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][3] E=$0\UART_WR[0:0] Q=UART_TX_DATA[3] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][4] E=$0\UART_WR[0:0] Q=UART_TX_DATA[4] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][5] E=$0\UART_WR[0:0] Q=UART_TX_DATA[5] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][6] E=$0\UART_WR[0:0] Q=UART_TX_DATA[6] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][7] E=$0\UART_WR[0:0] Q=UART_TX_DATA[7] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=I2C.wr Q=wr_old -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][0] E=$abc$5798$n158 Q=LED1 -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][1] E=$abc$5798$n156 Q=LED2 -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][2] E=$abc$5798$n158 Q=LED3 -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][3] E=$abc$5798$n158 Q=LED4 -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][4] E=$abc$5798$n158 Q=ram_adress[4] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][5] E=$abc$5798$n158 Q=ram_adress[5] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][6] E=$abc$5798$n158 Q=ram_adress[6] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\ram_adress[7:0][7] E=$abc$5798$n158 Q=ram_adress[7] -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\RAM_W[0:0] E=$abc$5798$n166 Q=RAM_W -.attr src "top.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF -.attr src "i2c_slave.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF -.attr src "i2c_slave.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFESS C=CLK D=$abc$5798$n268 E=$abc$5798$n168 Q=I2C.sda_cnt[0] S=$abc$5798$n25 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n269 E=$abc$5798$n168 Q=I2C.sda_cnt[1] S=$abc$5798$n25 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n271 E=$abc$5798$n168 Q=I2C.sda_cnt[2] S=$abc$5798$n25 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$5798$n272 E=$abc$5798$n168 Q=I2C.sda_cnt[3] R=$abc$5798$n25 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n275 E=$abc$5798$n170 Q=I2C.scl_cnt[0] S=$abc$5798$n27 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n276 E=$abc$5798$n170 Q=I2C.scl_cnt[1] S=$abc$5798$n27 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n278 E=$abc$5798$n170 Q=I2C.scl_cnt[2] S=$abc$5798$n27 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$5798$n279 E=$abc$5798$n170 Q=I2C.scl_cnt[3] R=$abc$5798$n27 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$5798$n410 Q=I2C.wr -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n408 Q=I2C.is_ack -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n390 Q=I2C.byte_counter[0] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n392 Q=I2C.byte_counter[1] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n394 Q=I2C.byte_counter[2] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n396 Q=I2C.byte_counter[3] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n398 Q=I2C.byte_counter[4] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n400 Q=I2C.byte_counter[5] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n402 Q=I2C.byte_counter[6] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n404 Q=I2C.byte_counter[7] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n406 Q=I2C.byte_counter[8] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n382 Q=I2C.i2c_bit_counter[0] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n384 Q=I2C.i2c_bit_counter[1] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n386 Q=I2C.i2c_bit_counter[2] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n388 Q=I2C.i2c_bit_counter[3] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n380 Q=I2C.is_read -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$abc$5798$n415 Q=I2C.i2c_state_machine R=$abc$5798$n29 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n7 Q=$abc$5798$n18 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n9 Q=$abc$5798$n20 -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n6 Q=I2C.SDAD -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n8 Q=I2C.SCLD -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$5798$n378 Q=I2C.SDA_DIR -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n185 Q=I2C.RECEIVED_BYTE[0] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n195 Q=I2C.RECEIVED_BYTE[1] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n203 Q=I2C.RECEIVED_BYTE[2] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n211 Q=I2C.RECEIVED_BYTE[3] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n219 Q=I2C.RECEIVED_BYTE[4] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n226 Q=I2C.RECEIVED_BYTE[5] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n234 Q=I2C.RECEIVED_BYTE[6] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$5798$n6 E=$abc$5798$n241 Q=I2C.RECEIVED_BYTE[7] -.attr src "i2c_slave.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$5798$n546 Q=UART.tx_activity -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$5798$n5 E=$abc$5798$n251 Q=$abc$5798$n16 -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_TX_DATA[0] E=$abc$5798$n247 Q=UART.tx_data[0] -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_TX_DATA[1] E=$abc$5798$n247 Q=UART.tx_data[1] -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_TX_DATA[2] E=$abc$5798$n247 Q=UART.tx_data[2] -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_TX_DATA[3] E=$abc$5798$n247 Q=UART.tx_data[3] -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_TX_DATA[4] E=$abc$5798$n247 Q=UART.tx_data[4] -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_TX_DATA[5] E=$abc$5798$n247 Q=UART.tx_data[5] -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_TX_DATA[6] E=$abc$5798$n247 Q=UART.tx_data[6] -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_TX_DATA[7] E=$abc$5798$n247 Q=UART.tx_data[7] -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n548 E=$abc$5798$n246 Q=UART.tx_clk_counter[0] S=$abc$5798$n31 -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$5798$n549 E=$abc$5798$n246 Q=UART.tx_clk_counter[1] R=$abc$5798$n31 -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n550 E=$abc$5798$n246 Q=UART.tx_clk_counter[2] S=$abc$5798$n31 -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n551 E=$abc$5798$n246 Q=UART.tx_clk_counter[3] S=$abc$5798$n31 -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n595 E=$abc$5798$n251 Q=UART.tx_bit_counter[0] S=$abc$5798$n31 -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$5798$n593 E=$abc$5798$n251 Q=UART.tx_bit_counter[1] R=$abc$5798$n31 -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$5798$n610 E=$abc$5798$n251 Q=UART.tx_bit_counter[2] R=$abc$5798$n31 -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$5798$n612 E=$abc$5798$n251 Q=UART.tx_bit_counter[3] S=$abc$5798$n31 -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=UART_WR Q=UART.TX_sig_last -.attr src "uart.v:17|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=RAM_W Q=RAM.last_we -.attr src "ram.v:8|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA -.attr src "i2c_slave.v:163" -.param PIN_TYPE 101001 -.param PULLUP 0 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=LED1 RADDR[1]=LED2 RADDR[2]=LED3 RADDR[3]=LED4 RADDR[4]=ram_adress[4] RADDR[5]=ram_adress[5] RADDR[6]=ram_adress[6] RADDR[7]=ram_adress[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=RAM.r_data[0] RDATA[1]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=RAM.r_data[1] RDATA[3]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=RAM.r_data[2] RDATA[5]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=RAM.r_data[3] RDATA[7]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=RAM.r_data[4] RDATA[9]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=RAM.r_data[5] RDATA[11]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=RAM.r_data[6] RDATA[13]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=RAM.r_data[7] RDATA[15]=$techmap1352\RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=LED1 WADDR[1]=LED2 WADDR[2]=LED3 WADDR[3]=LED4 WADDR[4]=ram_adress[4] WADDR[5]=ram_adress[5] WADDR[6]=ram_adress[6] WADDR[7]=ram_adress[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$abc$5798$n317 WDATA[0]=I2C.RECEIVED_BYTE[0] WDATA[1]=$undef WDATA[2]=I2C.RECEIVED_BYTE[1] WDATA[3]=$undef WDATA[4]=I2C.RECEIVED_BYTE[2] WDATA[5]=$undef WDATA[6]=I2C.RECEIVED_BYTE[3] WDATA[7]=$undef WDATA[8]=I2C.RECEIVED_BYTE[4] WDATA[9]=$undef WDATA[10]=I2C.RECEIVED_BYTE[5] WDATA[11]=$undef WDATA[12]=I2C.RECEIVED_BYTE[6] WDATA[13]=$undef WDATA[14]=I2C.RECEIVED_BYTE[7] WDATA[15]=$undef WE=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" -.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 -.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx -.param READ_MODE 00000000000000000000000000000001 -.param WRITE_MODE 00000000000000000000000000000001 -.names I2C.is_read ACK -1 1 -.names $undef COM_DCD -1 1 -.names I2C.is_read COM_RTS -1 1 -.names I2C_TX[0] I2C.BYTE_TO_TRANSMIT[0] -1 1 -.names I2C_TX[3] I2C.BYTE_TO_TRANSMIT[3] -1 1 -.names I2C_TX[5] I2C.BYTE_TO_TRANSMIT[5] -1 1 -.names I2C_TX[6] I2C.BYTE_TO_TRANSMIT[6] -1 1 -.names I2C_TX[7] I2C.BYTE_TO_TRANSMIT[7] -1 1 -.names CLK I2C.CLK -1 1 -.names I2C.byte_counter[0] I2C.COUNTER[0] -1 1 -.names I2C.byte_counter[1] I2C.COUNTER[1] -1 1 -.names I2C.byte_counter[2] I2C.COUNTER[2] -1 1 -.names I2C.byte_counter[3] I2C.COUNTER[3] -1 1 -.names I2C.byte_counter[4] I2C.COUNTER[4] -1 1 -.names I2C.byte_counter[5] I2C.COUNTER[5] -1 1 -.names I2C.byte_counter[6] I2C.COUNTER[6] -1 1 -.names I2C.byte_counter[7] I2C.COUNTER[7] -1 1 -.names I2C.byte_counter[8] I2C.COUNTER[8] -1 1 -.names I2C.is_ack I2C.IS_ACK -1 1 -.names I2C.is_read I2C.IS_READ -1 1 -.names I2C.i2c_state_machine I2C.IS_TRANSMISSION -1 1 -.names SCL I2C.SCL -1 1 -.names SDA I2C.SDA -1 1 -.names I2C.wr I2C.WR -1 1 -.names I2C.is_ack I2C_ACK -1 1 -.names I2C.byte_counter[0] I2C_COUNTER[0] -1 1 -.names I2C.byte_counter[1] I2C_COUNTER[1] -1 1 -.names I2C.byte_counter[2] I2C_COUNTER[2] -1 1 -.names I2C.byte_counter[3] I2C_COUNTER[3] -1 1 -.names I2C.byte_counter[4] I2C_COUNTER[4] -1 1 -.names I2C.byte_counter[5] I2C_COUNTER[5] -1 1 -.names I2C.byte_counter[6] I2C_COUNTER[6] -1 1 -.names I2C.byte_counter[7] I2C_COUNTER[7] -1 1 -.names I2C.byte_counter[8] I2C_COUNTER[8] -1 1 -.names $false I2C_COUNTER[9] -1 1 -.names I2C.is_read I2C_READ -1 1 -.names I2C.RECEIVED_BYTE[0] I2C_RX[0] -1 1 -.names I2C.RECEIVED_BYTE[1] I2C_RX[1] -1 1 -.names I2C.RECEIVED_BYTE[2] I2C_RX[2] -1 1 -.names I2C.RECEIVED_BYTE[3] I2C_RX[3] -1 1 -.names I2C.RECEIVED_BYTE[4] I2C_RX[4] -1 1 -.names I2C.RECEIVED_BYTE[5] I2C_RX[5] -1 1 -.names I2C.RECEIVED_BYTE[6] I2C_RX[6] -1 1 -.names I2C.RECEIVED_BYTE[7] I2C_RX[7] -1 1 -.names I2C.i2c_state_machine I2C_TRANS -1 1 -.names I2C.BYTE_TO_TRANSMIT[1] I2C_TX[1] -1 1 -.names I2C.BYTE_TO_TRANSMIT[2] I2C_TX[2] -1 1 -.names I2C.BYTE_TO_TRANSMIT[4] I2C_TX[4] -1 1 -.names I2C.wr I2C_WR -1 1 -.names I2C.i2c_state_machine LED5 -1 1 -.names LED1 RAM.addr[0] -1 1 -.names LED2 RAM.addr[1] -1 1 -.names LED3 RAM.addr[2] -1 1 -.names LED4 RAM.addr[3] -1 1 -.names ram_adress[4] RAM.addr[4] -1 1 -.names ram_adress[5] RAM.addr[5] -1 1 -.names ram_adress[6] RAM.addr[6] -1 1 -.names ram_adress[7] RAM.addr[7] -1 1 -.names $false RAM.addr[8] -1 1 -.names CLK RAM.clk -1 1 -.names RAM.r_data[0] RAM.rdata[0] -1 1 -.names RAM.r_data[1] RAM.rdata[1] -1 1 -.names RAM.r_data[2] RAM.rdata[2] -1 1 -.names RAM.r_data[3] RAM.rdata[3] -1 1 -.names RAM.r_data[4] RAM.rdata[4] -1 1 -.names RAM.r_data[5] RAM.rdata[5] -1 1 -.names RAM.r_data[6] RAM.rdata[6] -1 1 -.names RAM.r_data[7] RAM.rdata[7] -1 1 -.names I2C.RECEIVED_BYTE[0] RAM.wdata[0] -1 1 -.names I2C.RECEIVED_BYTE[1] RAM.wdata[1] -1 1 -.names I2C.RECEIVED_BYTE[2] RAM.wdata[2] -1 1 -.names I2C.RECEIVED_BYTE[3] RAM.wdata[3] -1 1 -.names I2C.RECEIVED_BYTE[4] RAM.wdata[4] -1 1 -.names I2C.RECEIVED_BYTE[5] RAM.wdata[5] -1 1 -.names I2C.RECEIVED_BYTE[6] RAM.wdata[6] -1 1 -.names I2C.RECEIVED_BYTE[7] RAM.wdata[7] -1 1 -.names RAM_W RAM.wen -1 1 -.names RAM.r_data[0] RAM_RD[0] -1 1 -.names RAM.r_data[1] RAM_RD[1] -1 1 -.names RAM.r_data[2] RAM_RD[2] -1 1 -.names RAM.r_data[3] RAM_RD[3] -1 1 -.names RAM.r_data[4] RAM_RD[4] -1 1 -.names RAM.r_data[5] RAM_RD[5] -1 1 -.names RAM.r_data[6] RAM_RD[6] -1 1 -.names RAM.r_data[7] RAM_RD[7] -1 1 -.names CLK UART.CLK -1 1 -.names UART.tx_activity UART.TX_ACTIVITY -1 1 -.names UART_TX_DATA[0] UART.TX_BYTE[0] -1 1 -.names UART_TX_DATA[1] UART.TX_BYTE[1] -1 1 -.names UART_TX_DATA[2] UART.TX_BYTE[2] -1 1 -.names UART_TX_DATA[3] UART.TX_BYTE[3] -1 1 -.names UART_TX_DATA[4] UART.TX_BYTE[4] -1 1 -.names UART_TX_DATA[5] UART.TX_BYTE[5] -1 1 -.names UART_TX_DATA[6] UART.TX_BYTE[6] -1 1 -.names UART_TX_DATA[7] UART.TX_BYTE[7] -1 1 -.names COM_TX UART.TX_LINE -1 1 -.names UART_WR UART.TX_SIGNAL -1 1 -.names COM_TX UART.tx_line -1 1 -.names UART.tx_activity UART_ACTIVE -1 1 -.names COM_TX UART_TX_LINE -1 1 -.names LED1 ram_adress[0] -1 1 -.names LED2 ram_adress[1] -1 1 -.names LED3 ram_adress[2] -1 1 -.names LED4 ram_adress[3] -1 1 -.end diff --git a/i2c_flash/i2c_slave.v b/i2c_flash/i2c_slave.v deleted file mode 100644 index d639f05..0000000 --- a/i2c_flash/i2c_slave.v +++ /dev/null @@ -1,173 +0,0 @@ -module i2c_slave (input CLK, - input SCL, inout SDA, - output IS_TRANSMISSION, output IS_READ, output IS_ACK, output WR, //output ACK_MASTER_CTRL, - output reg [7:0] RECEIVED_BYTE, input [7:0] BYTE_TO_TRANSMIT, - output [(MAX_I2C_TRANSACTION_EXP2-1):0] COUNTER); -// ALL OPERATIONS WITH MEMORY ARE IN POSEDGE CLK, IN NEGEDGE - ONLY SCL AND SDA LATCH -// COUNTER = 0 - ADRESS RECEIVED, COUNTER >=1 - DATA TRANSMISSION -// RECEIVED BYTES MUST READ WHEN WR POSEDGE, ADRESS NOT READING ###AND BYTE COUNTER >=1 (BYTE COUNTER = 0 - ADRESS) -// BYTES TO TRANSMIT MUST WRITE WHEN WR POSEDGE, BYTE COUNTER CAN BE ZERO -// (FIRST BYTE TRANSMITTED AFTER ADRESS). -// LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (DECAUSE MASTER STOPS TRANSMIT) - - parameter I2C_ADRESS = 7'h34; - parameter MAX_I2C_TRANSACTION_EXP2 = 9; // !!! - FOR LIMIT BYTES TO TX/RX (WITH ADRESS) - - reg SDA_IN, SDA_DIR, SDA_OUT; - initial begin - SDA_OUT = 0; - end - - reg SCLD, SDAD; - - reg SCL_LAST, SDA_LAST; - reg i2c_state_machine; - initial begin - SCL_LAST = 1; SDA_LAST = 1; i2c_state_machine = 0; - end - reg is_read; - reg [3:0] i2c_bit_counter; - //reg [7:0] received_byte; - reg [7:0] byte_to_transmit; - reg [(MAX_I2C_TRANSACTION_EXP2-1):0] byte_counter; - //reg is_for_me; - reg is_ack; - reg wr;//reg ack_master_ctrl; - - // FILTER - reg SCLF, SDAF; - reg [3:0] scl_cnt, sda_cnt; - - always@(negedge CLK) begin - SCLF = SCL; - SDAF = SDA_IN; - end - - always@(posedge CLK) begin - if (scl_cnt != 0) begin - scl_cnt = scl_cnt - 1; - if (scl_cnt == 0) begin - if (SCLD != SCLF) - SCLD = SCLF; - end - end - else begin - if (SCLD != SCLF) - scl_cnt = 3'd7; - end - if (sda_cnt != 0) begin - sda_cnt = sda_cnt - 1; - if (sda_cnt == 0) begin - if (SDAD != SDAF) - SDAD = SDAF; - end - end - else begin - if (SDAD != SDAF) - sda_cnt = 3'd7; - end - // END OF FILTER - - //SDA_IN = SDA; // FOR IVERILOG - if ((SDAD == 0) && (SDA_LAST == 1) && (SCLD == 1)) begin - i2c_state_machine = 1; - i2c_bit_counter = 4'd8; - byte_counter = 9'd0; - is_read = 0; - //is_for_me = 1; // RESETS TO ZERO WHEN ADRESS CHECKING - SDA_DIR = 0; - is_ack = 0; - //ack_master_ctrl = 1; - wr = 0; - end - if ((SDAD == 1) && (SDA_LAST == 0) && (SCLD == 1)) begin - i2c_state_machine = 0; - SDA_DIR = 0; - wr = 0; - end - if (i2c_state_machine/* && is_for_me*/) begin - if (!is_read) begin - if (i2c_bit_counter > 0) begin - if ((SCL_LAST == 0) && (SCLD == 1)) begin - RECEIVED_BYTE[i2c_bit_counter-1] = SDAD; - i2c_bit_counter = i2c_bit_counter - 1; - end - end - else begin - if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin - if (byte_counter == 0) begin - if (RECEIVED_BYTE[7:1] != I2C_ADRESS) - i2c_state_machine = 0; //is_for_me = 0; - is_read = RECEIVED_BYTE[0]; - end - else begin - // EMIT SIGNAL OF BYTE RECEIVING - end - if (byte_counter != (2^MAX_I2C_TRANSACTION_EXP2 - 1)) - byte_counter = byte_counter + 1; - SDA_DIR = i2c_state_machine; //is_for_me; - is_ack = i2c_state_machine; //1; - //if (is_read) begin - // i2c_bit_counter = 8; - //end - end - else if ((SCL_LAST == 0) && (SCLD == 1) && (is_ack == 1) && (byte_counter > 1)) - wr = 1; - else if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 1)) begin - is_ack = 0; - SDA_DIR = 0; - i2c_bit_counter = 4'd8; - wr = 0; - end - end - end - else begin // IS_READ - if (i2c_bit_counter > 0) begin - if ((SCL_LAST == 1) && (SCLD == 0)) begin - wr = 0; - SDA_DIR = (BYTE_TO_TRANSMIT[i2c_bit_counter-1] ^ 1) /*& is_for_me & ack_master_ctrl*/; - i2c_bit_counter = i2c_bit_counter - 1; - is_ack = 0; - end - end - else begin - if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin - SDA_DIR = 0; - is_ack = 1; - end - else if ((SCL_LAST == 0) && (SCLD == 1) && (is_ack == 1)) begin - i2c_bit_counter = 8; - i2c_state_machine = (SDAD ^ 1) | SDA_DIR; //ack_master_ctrl = SDAD+1; // MAYBE TRANSMIT BYTE REPEAT - wr = (SDAD ^ 1) | SDA_DIR; - if (byte_counter != (2^MAX_I2C_TRANSACTION_EXP2 - 1)) - byte_counter = byte_counter + 1; - // EMIT SIGNAL OF BYTE TO TRANSMIT - end - end - end - end - SCL_LAST <= SCLD; - SDA_LAST <= SDAD; - //SDA_OUT = (SDA_DIR ^ 1) & SDA; // FOR IVERILOG - end - - assign IS_TRANSMISSION = i2c_state_machine; - //assign SDA = SDA_DIR ? 1'b0 : 1'bz; - assign IS_ACK = is_ack; - assign IS_READ = is_read; - assign WR = wr;//assign ACK_MASTER_CTRL = ack_master_ctrl; - //assign RECEIVED_BYTE = received_byte; - //assign BYTE_TO_TRANSMIT = byte_to_transmit; - assign COUNTER = byte_counter; - - SB_IO #( - .PIN_TYPE(6'b 1010_01), - .PULLUP(1'b 0) - ) led_io ( - .PACKAGE_PIN(SDA), - .OUTPUT_ENABLE(SDA_DIR), - .D_OUT_0(SDA_OUT), - .D_IN_0(SDA_IN) - ); - -endmodule diff --git a/i2c_flash/inouts.pcf b/i2c_flash/inouts.pcf deleted file mode 100644 index b1d92eb..0000000 --- a/i2c_flash/inouts.pcf +++ /dev/null @@ -1,26 +0,0 @@ -set_io LED1 99 -set_io LED2 98 -set_io LED3 97 -set_io LED4 96 -set_io LED5 95 - -#set_io SCLM 78 # J2, 1 -#set_io SDAM 87 # J2, 7 -set_io ACK 81 # J2, 4 - -#set_io SCLTGL01 80 # J2, 3 -#set_io SDAOUTM 79 # J2, 2 -#set_io SCLTGL 79 # J2, 8 - -set_io CLK 21 -set_io SCL 90 # J2, 9 -set_io SDA 91 # J2, 10 - # GND - J2, 11 - -set_io COM_TX 8 -set_io COM_RX 9 -set_io COM_DCD 1 -set_io COM_DSR 2 -set_io COM_RTS 4 # IS CTS IN FT2232 SIDE - -#set_io SDA_CTRL 88 # J2, 8 diff --git a/i2c_flash/top.v b/i2c_flash/top.v deleted file mode 100644 index 0b92f5c..0000000 --- a/i2c_flash/top.v +++ /dev/null @@ -1,112 +0,0 @@ - -module top (input CLK, output LED1, LED2, LED3, LED4, LED5, - input SCL, inout SDA, output ACK, - input COM_RX, output COM_TX, COM_DCD, COM_DSR, COM_RTS); - - reg [7:0] I2C_TX; // TRANSMITTED TO MASTER - initial begin - I2C_TX = 22; - end - wire [7:0] I2C_RX; // RECEIVED FROM MASTER - wire [7:0] RAM_RD; - wire I2C_TRANS, I2C_READ, I2C_ACK, I2C_ACK_MSTR_CTRL, I2C_WR; - wire [9:0] I2C_COUNTER; - i2c_slave I2C (CLK, SCL, SDA, I2C_TRANS, I2C_READ, I2C_ACK, I2C_WR, //I2C_ACK_MSTR_CTRL, - I2C_RX, I2C_TX, I2C_COUNTER); - - reg UART_WR, UART_DTR, UART_RTS, UART_DCD; - reg [7:0] UART_TX_DATA; - wire UART_ACTIVE, UART_TX_LINE; - reg [23:0] uart_counter; - initial begin - UART_WR = 0; - UART_TX = 1; - UART_RTS = 1; - UART_DTR = 0; - UART_DCD = 0; - end - uart UART (CLK, UART_WR, UART_TX_DATA, UART_ACTIVE, UART_TX_LINE); - - reg wr_old; - reg [7:0] ram_adress; - reg RAM_W; - initial begin - ram_adress = 0; - RAM_W = 0; - end - ram RAM (CLK, RAM_W, ram_adress, I2C_RX, RAM_RD); - - - - always @ (posedge CLK) begin - if ((wr_old == 0) && (I2C_WR == 1) && I2C_READ) begin - //I2C_TX = I2C_TX + 5; - // READ - I2C_TX = RAM_RD; // READ FROM CURRENT ADRESS - //ram_adress <= ram_adress + 1; // AND INCREMENT ADRESS (ASSIGNED IN THE NEXT TACT) - UART_WR = 1; - UART_TX_DATA = I2C_TX; - end - else if ((wr_old == 0) && (I2C_WR == 1) && (I2C_READ == 0)) begin - // RAM MODULE WRITES TO RAM IN THE CURRENT ADRESS - if (I2C_COUNTER == 2) - ram_adress = I2C_RX; - else begin - RAM_W = 1; - //ram_adress <= ram_adress + 1; // ONLY INCREMENT ADRESS IN THE NEXT TACT - end - UART_WR = 1; - UART_TX_DATA = I2C_RX; - end - else if ((wr_old == 1) && (I2C_WR == 0)) begin - RAM_W = 0; - UART_WR = 0; - if ((I2C_COUNTER != 2) || (I2C_READ == 1)) - ram_adress <= ram_adress + 1; // ONLY INCREMENT ADRESS IN THE NEXT TACT - end - wr_old = I2C_WR; -/* - uart_counter = uart_counter + 1; - if (uart_counter == 12000000) begin - uart_counter = 0; - UART_TX_DATA = UART_TX_DATA + 1; - UART_WR = 1; - UART_RTS = UART_RTS ^ 1; - if (UART_RTS) - UART_DTR = UART_DTR ^ 1; - if (UART_DTR == 1) - UART_DCD = UART_DCD ^ 1; - end - else if (uart_counter == 5) - UART_WR = 0;*/ - end - - assign I2C_W = I2C_WR & (I2C_READ ^ 1); - - assign LED5 = I2C_TRANS; - //assign LED5 = COM_RX; - assign LED1 = ram_adress[0];//I2C_RX[0]; - assign LED2 = ram_adress[1]; - assign LED3 = ram_adress[2]; - assign LED4 = ram_adress[3]; - assign ACK = I2C_READ;//I2C_WR; //I2C_ACK; - - assign COM_TX = UART_TX_LINE;//COM_RX; - //assign COM_RTS = I2C_READ; - assign COM_RTS = I2C_READ;//UART_RTS; - assign COM_DSR = I2C_TRANS | UART_ACTIVE;//UART_DTR; - //assign COM_DCD = UART_DCD; - -/* reg [24:0] counter; - - always @ (posedge CLK) begin - counter = counter + 1; - end - - assign LED1 = ~counter[24] & ~counter[23]; - assign LED2 = ~counter[24] & counter[23]; - assign LED3 = counter[24] & ~counter[23]; - assign LED4 = counter[24] & counter[23]; - assign LED5 = counter[23];*/ - -endmodule //top diff --git a/i2c_flash/uart.v b/i2c_flash/uart.v deleted file mode 100644 index 33abf9e..0000000 --- a/i2c_flash/uart.v +++ /dev/null @@ -1,48 +0,0 @@ - -module uart ( input CLK, input TX_SIGNAL, input [7:0] TX_BYTE, - output TX_ACTIVITY, output TX_LINE); - -parameter CLK_DIV = 13; -reg TX_sig_last; -reg [3:0] tx_bit_counter; -reg [3:0] tx_clk_counter; // MUST CONTAIN CLK DIV -reg [7:0] tx_data; -reg tx_activity; -reg tx_line; -initial begin - TX_sig_last = 0; - tx_line = 1; -end - -always @ (posedge CLK) begin - if (tx_activity) begin - tx_clk_counter = tx_clk_counter - 1; - if (tx_clk_counter == 0) begin - tx_clk_counter = CLK_DIV; - if (tx_bit_counter == 0) - tx_activity = 0; - else begin - tx_bit_counter = tx_bit_counter - 1; - if (tx_bit_counter > 0) - tx_line = tx_data[8-tx_bit_counter]; - else - tx_line = 1; // STOP_BIT - end - end - end - else begin - if ((TX_SIGNAL == 1) && (TX_sig_last == 0)) begin - tx_data = TX_BYTE; - tx_activity = 1; - tx_bit_counter = 9; // NO PARITY, STOP 1 BIT - tx_clk_counter = CLK_DIV; - tx_line = 0; // START BIT - end - end - TX_sig_last = TX_SIGNAL; -end - -assign TX_LINE = tx_line; -assign TX_ACTIVITY = tx_activity; - -endmodule diff --git a/i2c_keyboard/.sconsign.dblite b/i2c_keyboard/.sconsign.dblite new file mode 100644 index 0000000..5707d2c Binary files /dev/null and b/i2c_keyboard/.sconsign.dblite differ diff --git a/i2c_keyboard/Makefile b/i2c_keyboard/Makefile new file mode 100644 index 0000000..b32dbaf --- /dev/null +++ b/i2c_keyboard/Makefile @@ -0,0 +1,9 @@ + +rot.bin: top.v inouts.pcf + yosys -q -p "synth_ice40 -blif i2c_kbd_alt.blif" top.v i2c_slave.v matrix_kbd.v ram.v simple_filter.v uart.v descriptors.v + arachne-pnr -p inouts.pcf i2c_kbd_alt.blif -o i2c_kbd_alt.txt + icebox_explain i2c_kbd_alt.txt > i2c_kbd_alt.ex + icepack i2c_kbd_alt.txt i2c_kbd_alt.bin + +clean: + rm -f i2c_kbd_alt.blif i2c_kbd_alt.txt i2c_kbd_alt.ex i2c_kbd_alt.bin diff --git a/i2c_flash/apio.ini b/i2c_keyboard/apio.ini similarity index 100% rename from i2c_flash/apio.ini rename to i2c_keyboard/apio.ini diff --git a/i2c_keyboard/descriptors.v b/i2c_keyboard/descriptors.v new file mode 100644 index 0000000..3b010c5 --- /dev/null +++ b/i2c_keyboard/descriptors.v @@ -0,0 +1,150 @@ +module descriptors (input CLK, input RESET, input RD_REQUEST, input [1:0] DESC_TYPE, input [7:0] ADR, output reg [7:0] VAL/*, input [63:0] kbd_report*/); + +parameter HID_REPORT_DESC_LEN = 63; +//reg [(8*30-1):0] i2c_hid_desc;// = 'h_1E_00__00_01__46_00__02_00__03_00__0A_00__04_00__03_00__05_00__06_00__9F_04__01_01__00_01__00_00_00_00; +//reg [(8*HID_REPORT_DESC_LEN-1):0] hid_report_desc; + +parameter READ_ADRESS_OFFSET = 2; + +reg last_rd_request = 0; + +always @ (posedge CLK) begin + if (RESET == 0) begin + //i2c_hid_desc <= 'h_1E_00__00_01__50_00__02_00__03_00__0A_00__04_00__03_00__05_00__06_00__9F_04__01_01__00_01__00_00_00_00; + //hid_report_desc <= 'h__05_01__09_06__A1_01__05_07__85_01___19_E0__29_E7__15_00__25_01__75_01__95_08__81_02___95_01__75_08__81_01___95_05__75_01__05_05__85_01__19_01__29_05__91_02___95_01__75_03__91_03___95_06__75_08__15_00__25_65__05_07__19_00__29_65__81_00__C0; + //i2c_hid_desc [207:200] <= HID_REPORT_DESC_LEN[7:0]; + //i2c_hid_desc [199:192] <= HID_REPORT_DESC_LEN[15:8]; + last_rd_request <= 0; + end + else begin + if ((last_rd_request == 0) && (RD_REQUEST == 1)) begin + if (DESC_TYPE == 1) begin + case (ADR) 2: VAL <= 8'h1E; 3: VAL <= 0; // 2-3 - DESCR LEN (30), + 4: VAL <= 0; 5: VAL <= 1; // 4-5 - bcdVersion + 6: VAL <= HID_REPORT_DESC_LEN[7:0]; 7: VAL <= HID_REPORT_DESC_LEN[15:8]; + 8: VAL <= 2; 9: VAL <= 0; // 8-9 - REPORT DESC ADR + 10: VAL <= 3; 11: VAL <= 0; // 10-11 - INPUT REG ADR + 12: VAL <= 10; 13: VAL <= 0; // 12-13 - INPUT REG LEN + 14: VAL <= 4; 15: VAL <= 0; // 14-15 - OUT REG ADR + 16: VAL <= 3; 17: VAL <= 0; // 16-17 - OUT REG LEN + 18: VAL <= 5; 19: VAL <= 0; // 18-19 - CMD REG ADR + 20: VAL <= 6; 21: VAL <= 0; // 20-21 - DATA REG ADR + 22: VAL <= 8'h9F; 23: VAL <= 4; // 22-23 - VENDOR ID + 24: VAL <= 1; 25: VAL <= 1; // 24-25 - DEVICE ID + 26: VAL <= 0; 27: VAL <= 1; // 26-27 - VERSION + 28: VAL <= 0; 29: VAL <= 0; 30: VAL <= 0; 31: VAL <= 0; // 28-31 - RSVD + default: VAL <= 0; + endcase + end + else if (DESC_TYPE == 2) begin + case (ADR) 2: VAL <= 8'h05; 3: VAL <= 8'h01; + 4: VAL <= 8'h09; 5: VAL <= 8'h06; + 6: VAL <= 8'hA1; 7: VAL <= 8'h01; + 8: VAL <= 8'h05; 9: VAL <= 8'h07; + //10: VAL <= 8'h85; 11: VAL <= 8'h01; + // MODIFIERS DESC + 10: VAL <= 8'h19; 11: VAL <= 8'hE0; + 12: VAL <= 8'h29; 13: VAL <= 8'hE7; + 14: VAL <= 8'h15; 15: VAL <= 8'h00; + 16: VAL <= 8'h25; 17: VAL <= 8'h01; + 18: VAL <= 8'h75; 19: VAL <= 8'h01; + 20: VAL <= 8'h95; 21: VAL <= 8'h08; + 22: VAL <= 8'h81; 23: VAL <= 8'h02; + // RESERVED BYTE + 24: VAL <= 8'h95; 25: VAL <= 8'h01; + 26: VAL <= 8'h75; 27: VAL <= 8'h08; + 28: VAL <= 8'h81; 29: VAL <= 8'h01; + // LEDS + 30: VAL <= 8'h95; 31: VAL <= 8'h05; + 32: VAL <= 8'h75; 33: VAL <= 8'h01; + 34: VAL <= 8'h05; 35: VAL <= 8'h08; + 36: VAL <= 8'h19; 37: VAL <= 8'h01; + 38: VAL <= 8'h29; 39: VAL <= 8'h05; + 40: VAL <= 8'h91; 41: VAL <= 8'h02; + // RESERVED 3 BITS + 42: VAL <= 8'h95; 43: VAL <= 8'h01; + 44: VAL <= 8'h75; 45: VAL <= 8'h03; + 46: VAL <= 8'h91; 47: VAL <= 8'h03; + // SIX KEYS (NON-MODIFIERS) + 48: VAL <= 8'h95; 49: VAL <= 8'h06; + 50: VAL <= 8'h75; 51: VAL <= 8'h08; + 52: VAL <= 8'h15; 53: VAL <= 8'h00; + 54: VAL <= 8'h25; 55: VAL <= 8'h65; + 56: VAL <= 8'h05; 57: VAL <= 8'h07; + 58: VAL <= 8'h19; 59: VAL <= 8'h00; + 60: VAL <= 8'h29; 61: VAL <= 8'h65; + 62: VAL <= 8'h81; 63: VAL <= 8'h00; + // END COLLECTION + 64: VAL <= 8'hC0; + default: VAL <= 0; + endcase + end + /*if (READ_TYPE == 1) begin + if ((READ_ADRESS < READ_ADRESS_OFFSET) || (READ_ADRESS > (READ_ADRESS_OFFSET + 30 - 1))) + VAL <= 0; + else + VAL <= i2c_hid_desc[ (8 * (30 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 7) : (8 * (30 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 0) ]; + end*/ + /*else if (READ_TYPE == 2) begin + if ((READ_ADRESS < READ_ADRESS_OFFSET) || (READ_ADRESS > (READ_ADRESS_OFFSET + HID_REPORT_DESC_LEN - 1))) + VAL <= 0; + else + VAL <= hid_report_desc[ (8 * (HID_REPORT_DESC_LEN - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 7) : (8 * (HID_REPORT_DESC_LEN - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 0) ]; + end*/ + /*else if (READ_TYPE == 3) begin + if ((READ_ADRESS < READ_ADRESS_OFFSET) || (READ_ADRESS > (READ_ADRESS_OFFSET + 10 - 1))) + VAL <= 0; + else if (READ_ADRESS == READ_ADRESS_OFFSET) + VAL <= 10; + else if (READ_ADRESS == (READ_ADRESS_OFFSET + 1)) + VAL <= 0; + else + VAL <= kbd_report[ (8 * (10 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 7) : (8 * (10 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 0) ]; + end*/ + end + last_rd_request <= RD_REQUEST; + end +end + +endmodule + +//static const uint8 hid_descriptor_keyboard[] = { +//****/ 0x05, 0x01, /* USAGE_PAGE (Generic Desktop) */ 2 +//****/ 0x09, 0x06, /* USAGE (Keyboard) */ 4 +//****/ 0xa1, 0x01, /* COLLECTION (Application) */ 6 +//******/ 0x05, 0x07, /* USAGE_PAGE (Keyboard) */ 8 +//******/ 0x85, 0x01, /* REPORT_ID (1) */ 10 + /* Ctrl, Shift and other modifier keys, 8 in total */ +//******/ 0x19, 0xe0, /* USAGE_MINIMUM (kbd LeftControl) */ 12 +//******/ 0x29, 0xe7, /* USAGE_MAXIMUM (kbd Right GUI) */ 14 +//******/ 0x15, 0x00, /* LOGICAL_MINIMUM (0) */ 16 +//******/ 0x25, 0x01, /* LOGICAL_MAXIMUM (1) */ 18 +//******/ 0x75, 0x01, /* REPORT_SIZE (1) */ 20 +//******/ 0x95, 0x08, /* REPORT_COUNT (8) */ 22 +//******/ 0x81, 0x02, /* INPUT (Data,Var,Abs) */ 24 +/* Reserved byte */ +//******/ 0x95, 0x01, /* REPORT_COUNT (1) */ 26 + //******/ 0x75, 0x08, /* REPORT_SIZE (8) */ 28 +//******/ 0x81, 0x01, /* INPUT (Cnst,Ary,Abs) */ 30 +/* LEDs for num lock etc */ +//******/ 0x95, 0x05, /* REPORT_COUNT (5) */ 32 +//******/ 0x75, 0x01, /* REPORT_SIZE (1) */ 34 +//******/ 0x05, 0x08, /* USAGE_PAGE (LEDs) */ 36 +//******/ 0x85, 0x01, /* REPORT_ID (1) */ 38 +//******/ 0x19, 0x01, /* USAGE_MINIMUM (Num Lock) */ 40 +//******/ 0x29, 0x05, /* USAGE_MAXIMUM (Kana) */ 42 +//******/ 0x91, 0x02, /* OUTPUT (Data,Var,Abs) */ 44 + /* Reserved 3 bits */ +//******/ 0x95, 0x01, /* REPORT_COUNT (1) */ 46 +//******/ 0x75, 0x03, /* REPORT_SIZE (3) */ 48 +//******/ 0x91, 0x03, /* OUTPUT (Cnst,Var,Abs) */ 50 +/* Slots for 6 keys that can be pressed down at the same time */ +//******/ 0x95, 0x06, /* REPORT_COUNT (6) */ 52 +//******/ 0x75, 0x08, /* REPORT_SIZE (8) */ 54 +//******/ 0x15, 0x00, /* LOGICAL_MINIMUM (0) */ 56 +//******/ 0x25, 0x65, /* LOGICAL_MAXIMUM (101) */Bluegiga Technologies Oy 58 + //******/ 0x05, 0x07, /* USAGE_PAGE (Keyboard) */ 60 +//******/ 0x19, 0x00, /* USAGE_MINIMUM (Reserved (no event indicated)) */ 62 +//******/ 0x29, 0x65, /* USAGE_MAXIMUM (Keyboard Application) */ 64 +//******/ 0x81, 0x00, /* INPUT (Data,Ary,Abs) */ 66 +//****/ 0xc0 } 67 diff --git a/i2c_keyboard/hardware.asc b/i2c_keyboard/hardware.asc new file mode 100644 index 0000000..234ee76 --- /dev/null +++ b/i2c_keyboard/hardware.asc @@ -0,0 +1,9411 @@ +.comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) +.device 1k +.io_tile 1 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 0 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000110000000100 +000000001000000100 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 0 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000010000 +000000000000000100 +000000000000001000 +000100000000000000 +000000000000000000 +000010000000000000 +000100010000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000001000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000011000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000000000000110010001100001000000001000000000 +000000000000000000000010000000001001000000000000000000 +111000000000000001000011000000001001001100111000000000 +000000000000000000000011100000001100110011000000000000 +000000000000000001100000000000001001001100111000000000 +000000000000000000000000000000001111110011000000000000 +000000000000000001100011000000001001001100110000000000 +000000000000000000000011100000001111110011000000000000 +110000000000000000000000001011111011100000000000000010 +000000000000000000000000001011111010000000000000000001 +000000000000000001100110011101000000000000000100000000 +000000000000000000000010001001000000000001000000000000 +000000000000000000000000001001000000000000000100000000 +000000000000000000000000001101100000000001000000000000 +000000000000000000000110011001000000000000000100000000 +000000000000000000000010001001100000000001000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000010000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000100000000001100010000000000001000000001000000000 +000000000000000000000110110000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000001 +000000000000000111000000000000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000000000111110011100000000000001000000000 +000000000000000000000110000000100000000000000000001000 +111000000000001001100110010000000000000000001000000000 +000000000000000001000010000000001001000000000000000000 +110000000000000000000000000000001000001100111100000001 +000000000000000000000000000000001001110011000010000000 +000000000000000000000000000000001000001100111101000000 +000000000000000000000000000000001101110011000000100000 +000000000000000000000110000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000010100000001001001100111110000001 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111110000000 +000000000000000000000000000000001001110011000000000000 +011000000000000000000000000000001001001100111100100000 +000000000000000000000000000000001001110011000000000000 +.logic_tile 6 1 +000000000000000011000110010001011011100000000000000000 +000000000000010000100010001001111010000000000000000000 +111000000000001001000010010001100001000000000000000000 +000000000000000001000010101101001101000000010000000000 +010000000000000111100010001011101110010000000000000000 +110000000000000000100110011101111111000000000000000000 +000000000000001101000010100101011000010000000000000000 +000000000000000101100110110001011101000000000000000000 +010000000000000111100111010101101101000000000000000000 +000000000000000000100011101011001111100000000000000000 +000000000000000000000110001101100001000000010000000001 +000000000000000000000000000001101001000000110000000000 +010000000001000000000010111011100001000000100000000000 +110000000000100000000111101101101000000000000000000000 +010000000000010000000111101000000000000010000110000000 +000000000000000000000000001011000000000000000000000000 +.logic_tile 7 1 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000011001000000000000000000100000001 +000000000000000000000011101001000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 1 +000000000000000000000000010101101101000100000000000001 +000000000000001011000010001101101100000000000000000000 +111000000000000000000110001001001011000100000000000000 +000000000000000111000000001111101001000000000000000000 +110000000000000011100011100000000000000000000100000000 +010000000000001111100000000001000000000010000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000010100101000000000010000000100000 +000000000000000001100000011000000000000000000100000000 +000000000000000000000011100111000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000100000000000000000001000000000000000000100000000 +000000000000000000000010010001000000000010000000000000 +000000000000000001000000010000000000000000000100000000 +000000000000000000000010001111000000000010000000000000 +.ramb_tile 10 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000010000000000000000000000000000 +000000000000000111000011110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000110000001 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000100000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000001000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000101000100 +000000000000000000000000001111000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000011010000000000000000000000000000 +.logic_tile 2 2 +000000000000000000000000000000000000000000000000000000 +000010000000000000000010000000000000000000000000000000 +111000000000000111100000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000011010000000000000000000000000000 +110000000000000000000000000001100000001100110001000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011011001000000000010000000000100 +000000000000000000000000001000000000000000000100000001 +000000000000000000000000000001000000000010000000000000 +000100000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +000000000000000000000000000101000000000000001000000000 +000000000000000000000011010000100000000000000000001000 +111000000000000000000000000101000001000000001000000000 +000000000000001101000000000000001110000000000000000000 +000000000000000101000000000101101001001100111000000000 +000000000000000000100010110000001110110011000000000100 +000000000000000001100000000101101001001100111000000000 +000000000000001101000000000000001110110011000000000100 +000000000000000000000000000000001000111100000000000100 +000000000000000000000000000000000000111100000000000000 +000000000000000011100000000000000000000010000000000000 +000000000000000000100000000111000000000000000000000000 +000000000000001000010000001000000000000010000000000000 +000000000000001011000000000001000000000000000000000000 +000000000000000001000000001001000000000000000110100000 +000000000000000001000000000011100000000001000000000000 +.logic_tile 5 2 +000000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000000010000 +111000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000001000000 +110001000000100000000000000000001000001100111100000000 +000010000001010000000000000000001001110011000000000000 +001000000000000000000000000000001000001100111110000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100100000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000010000000 +000001000000000000000000000000001001001100111110000000 +000010000000000000000000000000001001110011000000000000 +010000000000000000000000000000001001001100110110000000 +000000000000000000000000000000001001110011000000000000 +.logic_tile 6 2 +000000000000101001000110010111011011010000000000000001 +000000000001011111000010100001111000000000000000000100 +111000000000001101100110000101000000000001000000000010 +000000000000000001000000000001000000000000000000000000 +110000000000001011000011000000000000000000000000000000 +000000000000000101100100000000000000000000000000000000 +000000000000010001100111100101101010010000000000000000 +000000000000100000000110101011111010000000000000000000 +000000000000000111100111101001000000000001000000000000 +000000000001010111100000000101100000000000000000000000 +000010100000001000000111100111001001010000000000000000 +000001000000001001000100000011111101000000000000000000 +000000000000000000000111111101011000000100000000000000 +000000000000000000000110010011001011000000000000000000 +010000000001010000000000000011000001001100110100000000 +000100000000100000000000000000001001110011000000000010 +.logic_tile 7 2 +000000000000000000000000000111100000001100110000000000 +000000000000000000000011100000001011110011000000100000 +111000000000001000000111111011111011000000000000000000 +000001000000000101000011000011111010100000000000000110 +010000000000000000000010100101000000000001010000000000 +010000000000001101000100001111001101000001100000000000 +000000000000001000000111101101111000010000000000000110 +000000000000000101000000000011101100000000000000000001 +000010100000000001100011101101111000000000000010000000 +000000000000000000100110110111011110100000000000000001 +000000000000000111000000011001111010000000000000000101 +000000000000000000100010010011011100100000000000000000 +000000000000000001100110101000000000000000000100000000 +000000000000010000100000001001000000000010000010000000 +000000000000000111000000000000000000000000000101000000 +000000000000001001100000001011000000000010000000000000 +.logic_tile 8 2 +000000000000000001000000000011000000000000001000000000 +000000000000000000000010110000100000000000000000001000 +000000000000001000000000010000000000000000001000000000 +000000000000001011000011110000001000000000000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001001110011000000000001 +000010000000000000000000000000001000001100111000000000 +000000000000001101000000000000001110110011000000000000 +000000000000000000000010100000001001001100111010000000 +000000000100000000000100000000001001110011000000000000 +000000100000000011100000000000001001001100111000000000 +000001000000000000000000000000001010110011000000000000 +000000000001000000000000000000001001001100111000000000 +000000000000001101000000000000001000110011000000000010 +000000000001000000000000000000001001001100110000000000 +000000001010000000000000000000001100110011000000000000 +.logic_tile 9 2 +000001000000000000000010001000000000000000000101000000 +000010000000001001000000001101000000000010000000000000 +111000000000000000000000000000000000000000000000000000 +000001000000000000000011110000000000000000000000000000 +110000000000000000000000001000000000000000000101000000 +010000000000000000000000001001000000000010000000000000 +001000000000000000000010001000000000000000000110000001 +000000000000000000000100000011000000000010000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000010010000000000000000000000000000 +000000000000000111100000000000000000000000000100100000 +000000000000000000100000001001000000000010000000000000 +000010000000100000000000000000000000000000000101000000 +000001000001000000000000000001000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000001000000010000000000000000000000000000 +000000100000100000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +.logic_tile 11 2 +000000000000000111100111101001001011000100000000000000 +000000000000001011100111100001001001000000000000000000 +111000000000000000000111100000000000000000000000000000 +000000000000000011000111000000000000000000000000000000 +010000000001000000000000001011011001010000000000000000 +010000000000000011000000001011111010000000000000000100 +000000000000010001100000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000001000000111100000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000110011001001101000100000000000000 +000000000000000000000011111101001101000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +.logic_tile 12 2 +100010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +111000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000110100000 +000000000000000000000000001111000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000001000000000000000000100100000 +000000000000000000000000000001000000000010000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000010 +000000000000000000000000000011000000000010000000000010 +.logic_tile 2 3 +000000000000000111000010100001000000000000001000000000 +000000000000000000100100000000100000000000000000001000 +000000000000001011000010000001100000000000001000000000 +000000000000001111100110110000001101000000000000000000 +000000000000000101000110100000000000000000001000000000 +000000000000000000100100000000001010000000000000000000 +000000000000010000000000000000000001000000001000000000 +000000000000100000000000000000001001000000000000000000 +000000000000000000000111000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +.ramb_tile 3 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 3 +000000000000001001100000001111100000000000000000000010 +000000000000001111000010101101101000000000110000100000 +111000000000000001100110001011100000000001000000000000 +000000000000001001000010111101100000000000000000000010 +000000000000000000000000010001100000000001000000000000 +000000000000001101000010000001100000000000000000000000 +000000000000000000000110010000000000000010000000000000 +000000000000001001000011111101000000000000000000000010 +000000000000001000000000010001100000000001000000000000 +000000000000000001000010000001000000000000000000000000 +000100000000001000000000011011101000111001010100000000 +000000000000000001000010000101011010110000000000000000 +000000000000000000000000001001001010101101010100000000 +000000000000000000000000000001001100001100000000000000 +000000000000000000000000011011011000111001010100000000 +000000000000000000000010000101111010110000000000000000 +.logic_tile 5 3 +000000000000000000000000001000000000000010000001000000 +000000000000000000000000001101000000000000000001000000 +111000100000000000000111001101100000000001000010000001 +000001000000000000000100000101100000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000110001000000000000010000100100000 +000000000110001001000000001001000000000000000000000001 +000000000001011101100000000111100000000001010110000010 +000000000000000101000000000011001111000010010001000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000111100000000000000000000000000000 +000001000000000000000100000000000000000000000000000000 +000000000000001000000000000101000000000001000100000001 +000000000000000001000000001101001100000011000000000011 +.logic_tile 6 3 +000001000000000000000000010111101111101000110000000000 +000010100000000000000010001111111010111100110000000000 +111000001110001111100110111001011001001100000000000000 +000000000000000101000011000001111001001101010000000000 +010000000000000000000010001111000000000000000000000000 +110000000000000000000100001011100000000001000000000000 +000000000000000001100000011011000000000011000000000000 +000000000000000000000011001101100000000010000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000111000010110000000000000000000000000000 +000001000000000000000000001001100000000001000000100000 +000000100000000000000010100111000000000000000000000001 +000000000000000000000011100000000000000000000100000100 +000000000000000000000010110011000000000010001000000000 +010100000000000000000000000000000000000000000000000000 +100100000000000011000010100000000000000000000000000000 +.logic_tile 7 3 +000000000000001111000110110011100000000001000001000000 +000000000000001011000010100001000000000000000000000000 +111010100000001111100110001001000000000000000000000000 +000000000000000001000010110001100000000001000000000000 +000000000000101001100110001001100001000010000000000000 +000000000000001011000010101001101000000000010000000000 +000110000000100011100110000001100000000001000000000000 +000101000001000000000110110101000000000000000000000000 +000010100001000000000000011101101000110001010101000101 +000001001010100000000010000101111011110011110000000000 +000010000000000001100000000111100001000001010101000100 +000000000000000000000000001101001000000010010000000100 +000000000000000000000000001001000000000001010100000011 +000000000000000101000000001101001010000001100000000100 +000000000000100001100000000011000000000010010110000001 +000000000001010000000000000111101001000010100000100000 +.logic_tile 8 3 +000000000000001101100000010000000000000010000000000000 +000000001110001111000010001011000000000000000000000000 +111000000000000000000110001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000101100000010001100000000001000000000000 +000000000000001001000010000001100000000000000000000000 +000000000000001101000000000000000000000010000000000000 +000000000000000001000011011101000000000000000000000000 +000000000000000000000000000111100000000001000000000000 +000000000000000000000010110001100000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000100000000000000000000001101100000000001010100000010 +000000000000000000000000000101001010000001100000000000 +000000000000000000000000010001000000000001010100000001 +000000000000000000000010000101101000000001100010000010 +.logic_tile 9 3 +000000000000001101100110110011100000000000001000000000 +000000000000000101000011110000000000000000000000001000 +000001000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000101100000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000001100000101000000000000000001000000001000000000 +000001000000000000100000000000001111000000000000000000 +000000000110000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000001000111100000000000100 +000000000000000000000010110000000000111100000000000000 +.ramb_tile 10 3 +000001000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +.logic_tile 11 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000001011010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000111000011100000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000101000000000000000001000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 3 +000000100000000111100000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +111000000000000000000000000011000000000001010100000010 +000000000000000000000000001011001000000001100000000000 +110000000000000111100000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000011100000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000001111000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001101100000000001010110000000 +000010000000000000000000000101101010000001100000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.io_tile 13 3 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000000000000011000011100011000000000000001000000000 +000000000000000000000011100000000000000000000000001000 +111000000000000111000000000111000000000000001000000000 +000000000000000000100000000000001001000000000000000000 +010000000000000000000000000000000001000000001000000000 +010000000000000000000000000000001101000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000101100000000000000001000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000000000000000010000000001000000001000000000 +000000000000000000000010100000001000000000000000000000 +000000000000000111000000000000001000111100000000000100 +001000000000000000000011010000000000111100000000000001 +000000000000000000000011000000000000000000000100000011 +000000000000000000000100001111000000000010000000000001 +.logic_tile 2 4 +000000000000000111100010010000001000111100000000000001 +000000000000000000100011100000000000111100000000010000 +111000000000010000000111111000000000000010000000000000 +000000000000000000000111111001000000000000000000000000 +000000000000001000000010010000000000000000000000000000 +001000000000000011000011110000000000000000000000000000 +000010101110000000000000000000000000000010000000000000 +000001001100000000000000001001000000000000000000000000 +000000000110100000000000000111101011000010000000000000 +000000000001010111000000001101101001000000000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +001000001010000000000000000011000000000000000000000000 +000000000000000000000000000001000000000001010100000000 +000000000000000000000011011101101000000010010010000000 +.ramt_tile 3 4 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +.logic_tile 4 4 +000000000001000111100000010011000000000010000000000000 +000000000000100000100011001111000000000000000000000000 +111000000000001111100111010111011000001011010000000000 +000000000110000001100111011011001000001011100001000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +000000000000001000010000001000000000000010000001000011 +000000000000000001000000001001000000000000000000000000 +000000000000000111100110101001100000000000000000000000 +000000000000000000100000001011000000000001000000100000 +000000000000000001000011111001100000000001100000000000 +000000000000000000100111100101101101000001010001000000 +000000000000000101100110100101011100001100110010000010 +001000000000000000000000000000100000110011000000000010 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001011000000000010000001000000 +.logic_tile 5 4 +010000000010011000000000001101100000000000000000000000 +000000000000000001000000000001100000000001000000000000 +111000000000001111000011101111111011110001010000000000 +000000000000000001000110111101111111110000000000000000 +000000000000000000000010110111111001101000000000000000 +000000000000000000000011000001111011100100000000000000 +000000000101101001100010001101101010101111110000000000 +000000000001110001000110110111111011111110110000000000 +000000000110000000000000000011100000000001000000000100 +000000000000000000000000000111100000000000000000000100 +000000000000000000000110001101111001010111000000000000 +001000001010000000000000001001111010111111000000000000 +000000000000000001000010001101000000000000010000000000 +001000000000000000000100000001101011000000000000100100 +000000101010000000000110011101101111110011110100000000 +000000001000001111000010000001011101110010100000000000 +.logic_tile 6 4 +010000000000101000000000000000000000000000000000000000 +000000000001011011000011000000000000000000000000000000 +111000000000001000000110000011101100100011110001000000 +000000000000000111000100000101011011000011110000000000 +010001000000001000010000001001101101000000000000000000 +011000000000001111000011110001101011100000000010100000 +000000000000001000000111100000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000001001000000111000000010001111011100000000000000000 +000000100000000111000011110111101011000000000000000001 +000000000000010011100000000000000000000000000000000000 +001000000000000111000000000000000000000000000000000000 +000000000000100111000000010111101110100011110000000000 +001000000000010000000011110011011000000011110000000010 +010000000001000000000000001000000000000000000100000000 +100000100110100111000000000011000000000010001000000000 +.logic_tile 7 4 +000001000010000001000111110001011000100011110000000000 +000000001110001001000111011001001000000011110000000010 +111000100000000111000011111101100000000001010000000000 +000000001010000101100110011011101011000001100000000000 +110000001110000111000000010101100000000001000000100000 +111000000000010000000011100011100000000000000000000010 +000010001110001001100110000000000000000000000000000000 +000000001110000001000010100000000000000000000000000000 +000000000000000000000011101011000000000000010000000000 +000000000000000111000000001001101010000000000000000000 +000010100000100000000110100111111001100000000000000000 +000000001001000000000000000111001010000000000000000000 +000000000000001000000111101001000000000001000000000000 +001010000000000101000100000101100000000000000000000000 +010010000000010101000000001000000000000000000100000000 +100001000000100000100000001001000000000010001000000000 +.logic_tile 8 4 +000000000000000111100000001011100000000001000000000000 +000000000000001111100011000001100000000000000000000010 +111010000000001111100000000111000001000011010001000000 +000001001110000111000000001101101000000011000000000000 +011001000000001000000000010001000000000001000000000000 +011000100000000001000010000001000000000000000000000001 +000000000001010000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000010000000000000 +000010000000000000000111110101000000000000000000000000 +000010100000000000000111001000000000000010000000000000 +001000000000000000000100001011000000000000000000000000 +010000000000000000000000001000000000000000000100000000 +100000000000000000000000000101000000000010001000000000 +.logic_tile 9 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +111010100000100000000000001011111111100011110001000000 +000000001100000000000000001101101010000011110000000000 +010000000000000000000110100000000000000000000000000000 +011000001010000000000100000000000000000000000000000000 +000001000110100000000000011011111111100011110001000000 +000010000001001101000011101101101110000011110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000001101000000000010001000100000 +010000100000000111000000000000000000000000000000000000 +100000000010000111100000000000000000000000000000000000 +.ramt_tile 10 4 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000100000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000001110100000000000000000000000000000 +000011100000000000000000000000000000000000 +000011000000000000000000000000000000000000 +.logic_tile 11 4 +000001000000000111100000000001000000000000001000000000 +000010000000000001100011010000000000000000000000001000 +111000000000000111100000000111000001000000001000000000 +000000000000000000000000000000101110000000000000000000 +010000000000000000000000000011000000000000001000000000 +011000000000000000000000000000100000000000000000000000 +000000000000000000000000000001000000000000001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100001100000000000001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000100 +000000000000001000000010000000000000000000000000000000 +001000000000000101000100000000000000000000000000000000 +010000000010100000000000000000000000000000000100000000 +100000000001000001000000000111000000000010001010000000 +.logic_tile 12 4 +100000000000000111000000000000000000000000000101000000 +000000000000000000100000000111000000000010000000100100 +111001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000011000000000010000011000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000010000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000100100000 +001000000000000000000000001011000000000010000000100100 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +.io_tile 13 4 +000000000000001000 +000100000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +001000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000011000111100101000000000001000000000000 +000000000000001111000100000101100000000000000000100000 +111010000000000111000010100011100000000001000000000000 +000001000000000000100100000001000000000000000010000000 +010000000000000011000110000000000000000000000000000000 +111000000000000000000010000000000000000000000000000000 +000000000000000000000000001111000000000001000000000000 +000000000000000000000000000001100000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000010000001000000000000010000000000 +001000000000000000000100000101101000000000000001000000 +000100000001010000000000001000000000000000000100000010 +000000000000100000000000001001000000000010000000000001 +.logic_tile 2 5 +000000000001000011000010100001000000000000001000000000 +000000000000100000100010000000100000000000000000001000 +000000000000000111000111100000000000000000001000000000 +000000000000000000100110100000001011000000000000000000 +000100100000000101000000010001100000000000001000000000 +001100000000010011000011100000001010000000000000000000 +000000000000000111000000000101100000000000001000000000 +000000000000000000100000000000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000010100000000000000000000000000001000000001000000000 +000001000000000000000000000000001001000000000000000000 +000010000000000000000000000000000000000000001000000000 +001001000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +.ramb_tile 3 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 5 +000000000001010011100111000000000000000000000000000000 +000000000000100001000000000000000000000000000000000000 +000000000000000000000011101000000000000010000000000000 +000000001110000000000100001001000000000000000001000000 +001000000000000111100000000000000000000010000001000000 +001000000000001001100000000101000000000000000001000000 +000000000000000011100110000111100000000000000011000000 +000000001010000000000011110001000000000001000000000000 +000000000000000000000000001001000000000001000010000000 +000000000000000000000000000111000000000000000001000000 +000001000000000000000000000101111010010000000000000000 +000000000001010000000000001001101110000000000000000000 +000000000000001000000000000101101010001100110000000000 +001000000000000101000000000000101000110011000000000101 +000000100000000001100000000000011010001100110000000000 +000001000000000000000000000000001010110011000000000000 +.logic_tile 5 5 +000000000000000011100000001111011111000000000000000000 +000000000000001001000000000011011101100000000000000000 +000001000000000000000111111101101101000011100000000000 +000000000000000000000110000101001111000011110000000000 +000000000000000000000110000011000000000000000000100000 +001000000000000000000000001101000000000001000000000000 +000000000000010001100000010001101101101000000000000000 +000000000000100101000010000001111110011000000000000000 +000000000000001001100000001101101110000000110000000000 +000000000000000001000011000011011000000001110000100000 +000000000000000000000010011011100000000001000000000001 +000000000000000111000011001101000000000000000000000100 +000000000000000000000000001011100000000010000000000000 +001000000000000111000010001111100000000000000000000000 +000000000100000000000111011000000000000010000000100101 +000000000000000111000110111001000000000000000000000000 +.logic_tile 6 5 +001000001010000001000000011101100000000001010000000000 +000000000000000111000010001001001110000001100000000000 +111000000000000000000010110000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +010000000000011011000111001001100000000010100000000000 +111000000000100001000110001101101110000010010000000000 +000000000000000111100010100001000000000001100000000000 +000000000000000111000000000101101000000001010000000000 +000000000000000011100000000011011101100011110000000000 +000000000000000000100000000101001101000011110000100000 +000000000000100001000000000101100000000001000000000110 +001000000101110000100011101101000000000000000000000000 +000000000000000001000000000000000000000000000100000000 +001000000000000000100000000001000000000010001000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 7 5 +000000001110001101000000000101101101010100000000000000 +000000000000000111000011010101111111110000000000000000 +111010000001011011100110000001100000000001100000000100 +010001000000100001100000000001001100000001010000000000 +010000000000001001100110100101101010110000000000000000 +011000000000000111000011000101111111000000000000000000 +000000001111000111100010100101001101000100000000000000 +000000000000100000100110000101101000100000000000000000 +000000000000001011100011101001100001000010100000000000 +000000000000000011000000001101101100000010010000000000 +000000000000000000000000000001011111100011110000100000 +000000001010000111000000001011001111000011110000000000 +000000000000001101100011100011001111000001110000000000 +001000000000000001100000000111101010000011110000000000 +010000000000000011100010001000000000000000000100000000 +100000000110000000100010001101000000000010001000000000 +.logic_tile 8 5 +010000000000000111000110110101111001100011110000000000 +000000000000000001100010101111011011000010110001000000 +111001000000001111000011110101111111110000000000000000 +000000100100000111100011011011101010000000000000000000 +010000000010000101100010010001000001000000110000000000 +111000000000000000000011000101001001000000000000100000 +000000000001001011000011101011111010110000000000000000 +000010100000100011000111101011001011000000000001000000 +000000100000001000000110110111101000111101000001000000 +000000000000000101000010001011111000111111000000000101 +000000000101000000000111000111001000111101110001000000 +000011001010001001000000001111011001111111110010000001 +000000000000001001100110101101101110100011110000000000 +001001000000001111000011000001101100000011110001000000 +010000100010000101100000011000000000000000000101000000 +100001000000000000000011100001000000000010001000000000 +.logic_tile 9 5 +010000000000000011100000010011000000000010000000000000 +000000000000000000000010001011100000000000000011000000 +111010000000000000000011000001100001000010100000000000 +000011000000001111000011000001001100000010010000000000 +010010100000101001100000000011011001100011110000000000 +011001000000001111000000000111011001000011110000000000 +000010100000000000000110011101000001000001100000000000 +000000000000000000000010001101001101000001010000000000 +000000000000000001000011000011000000000000000010000100 +000000000000000000100111101011100000000001000000000000 +000000000000000111000011100111000000000000000000000000 +000000000100000000000100001111100000000011000000000100 +000000000000000111000011001111100001000001010000000000 +001000000000000000100111101101001110000001100000000000 +010000000000000111000000000000000000000000000100000000 +100000000010001001000000000101000000000010001000000000 +.ramb_tile 10 5 +000000001000000000000000000000000000000000 +000000010000010000000000000000000000000000 +000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 5 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000001100000000000000000111100000000001000000100000 +000000000000000000000000000011000000000000000000000001 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000101100000000000000000000010000100000100 +001000000000000000100000000001000000000000000000000000 +.logic_tile 12 5 +000000000000000000010000000111100000000000001000000000 +000000000000000000000011000000100000000000000000001000 +000000000100000000000000000001000000000000001000000000 +000000000110000000000000000000100000000000000000000000 +000000000000000000000000000011100000000000001000000000 +001000000000000011000000000000000000000000000000000000 +000000100000100000000000000011000000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000001111000110100101000000000000001000000000 +000000000000001111100111110000000000000000000000000000 +000000001110000011100000000011100000000000001000000000 +000000000000000000100011110000101100000000000000000000 +000010000000000000000000000011000000000000001000000000 +001001000000000000000000000000100000000000000000000000 +000000000000000000000000010011000000000000001000000000 +001010100000000000000011010000000000000000000000000000 +.io_tile 13 5 +000000000000010000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000100000000000000010010011100000000000001000000000 +000001000000000000000010000000100000000000000000001000 +111000000000000000000000000011100000000000001000000000 +000000100000000000000000000000101101000000000000000000 +110000000000000000010000000011101000001100110000000000 +010000000000000000000000000000001111110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000011101100001100110000000000 +000000000000000000000011110000110000110011000000000000 +000000000000000101100110111111101001111110000000000000 +000000000000000000000010100011111111111100000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010010000000000000000110110000000000000000000101000010 +100001000000000000000010101001000000000010001100000000 +.logic_tile 2 6 +000000000000001111000000011001001000010000000000000001 +000000000000001111000010001001001000000000000000010000 +111010000000001101100110011011101001111011110000000000 +000000000000000101000011111001111100111111110001000000 +110000000000000011000110010011000000000011000000000000 +010000000000000000000010000101100000000000000000000000 +000000000000001101100110011011100000000011000000000000 +000000000000000101000010001001100000000000000000000000 +000000000000000000000111011101011010000010000000000000 +000000000000000000000011100101101011000000000000000010 +000000000000000001100000011000000000000000000100000000 +000000000000000000000010000001000000000010000100000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000100000000 +010000000000000000000000001101111001111110000100000000 +100000001010000000000000000011101011111100000100000000 +.ramt_tile 3 6 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000010000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000000000111100000000000001000000000 +000000000000000000000010010000000000000000000000001000 +111000000000001111100000000011000001000000001000000000 +000000000000000111000000000000101100000000000000000000 +010000000010000000000110000001101000001100110000000001 +110000000000001101000000000000001110110011000000000000 +000000000000000011000000001001100000000001000000000000 +000000000000000101000000000101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000001000000000111001000110011110000000000 +000000000000000000000000000101111110110010100000000000 +000000000000001001000011101000000000000000000100000010 +000000000000000101000000000101000000000010000001000100 +000010100000001000000000000000000000000000000000000000 +000001000000001011000000000000000000000000000000000000 +.logic_tile 5 6 +000000000000001000000111100011000000000010000000000000 +000000000000000011000000000001000000000011000000000000 +111000000000001001100000010101100001000010010000000000 +000010100000000011000010000011001011000001010000100000 +001000000000001001000010111111011100110011110000000000 +000000000000000011100110001001111010010010100000000000 +000000000000101101100010001111001011011100000000000000 +000000000001000011000010100111011010111100000000000100 +000000000000000101000010111001011011101011110000000001 +000000000000000000000010100011101011011011110000000000 +000000000000001000000111000101101111101000010000000000 +000000000000000101000000000101101011111000010000000000 +000000000000000011100000011011111001111100000000000000 +000000000000000000100010100001101011011100000000000000 +000000000000101000000000000011000000000010010100000000 +000000000001000101000000001101101101000001010010000001 +.logic_tile 6 6 +000000000000000111100010100000000000000000000000000000 +000000000000001001100011010000000000000000000000000000 +111000000001010011100110100000000000000000000000000000 +000000000000100111000010000000000000000000000000000000 +010000000000101000000111001101011000011100000000000000 +010000000001000111000100000011011000111000000000000000 +000000000000000011100010000001100000000000000001000000 +000000000000000000100100000001100000000001000000000000 +000000000000000000000010001011001011101100000000000000 +000000001010000000000100000011101111001100000000000000 +010000000000000000000010001001111010100011110000100000 +110000000000001111000100001011011110000011110000000000 +000000000000001000000000001001001110000100000000000000 +000000000000001011000000000101101011100000000000100000 +010000000000000000000010101000000000000000000100000000 +100000000100000000000100000001000000000010001000000000 +.logic_tile 7 6 +000000000000001001000000011101100000000010000000000000 +000000000000001111000010001001001001000011000001000001 +111000000000001011100000000111101100100011110000000000 +000010000000000001100010100011001101000011110001000000 +110000000000001011000010110111011000110000000000000000 +010000000000001111100110001111101000010100000000000000 +000000000000000011100010001111011010000100000000000000 +000000000000001011100010100011101010100000000000000001 +000000000000001011100010010001011111010100000000000000 +000000000000000011000011101101011011110000000000000000 +001000000001000011100000011011101010111000000000000000 +000000000000100000100010001101101011110000000000000100 +000100000000000000000110011001100000000010000000100000 +000100000100000000000111110001000000000000000000000000 +010000000010000011100000001000000000000000000100000000 +100000000000001001100011000111000000000010001000000000 +.logic_tile 8 6 +000000000000001011100110000011111000110000000000000000 +000000000110000001100110111101011101000000000000000000 +000000000000000001100010000111111000110000000000000000 +000000000001011101000100001001001111000000000000000100 +000000000000000001100110011011011011000100000000000000 +000000000000001001000110001101011100100000000000000000 +000000000000000001000010010011111011110000000000000000 +000000000000000000100111000011001011000000000000000100 +000001000000000001100110001001001111100011110000100000 +000000101100000111100011101111001000110011110000000001 +000010100000000111000010001111001100000100000000000000 +000001000000000111000000001011101010100000000000000000 +000000001010001000000010100011101100111101110000000000 +000000000000000101000111101001111000111100110000000100 +000000000000010111000111001101011110111101110011000000 +000000000000000000000100000001011011111111110000000100 +.logic_tile 9 6 +000000000001011111100010100111001100111100110000000000 +000000000000101011100011100011101110010100100000000000 +111010100000001101100111100001100000000011000000000001 +000000000010000111100110011001001010000011110000000000 +010000000000001111100111100101100000000001000011000000 +010000001100000011100100000001100000000000000010000000 +000000001010000101100111010001101110110000000000000000 +000000000000000101100110101001001010000000000000000000 +000000000010010001100010001001111000000100000000000001 +000000000000101011000000001101011010100000000000000000 +000000000000000000000000001101101010000100000000000000 +000000000000000000000000000101011000100000000000000000 +000100000000000001000000001000000000000000000100000000 +000000000000000000100010111001000000000010000000000010 +000000000000100000000000000000000000000000000100000000 +000000000110000000000000001011000000000010000000000010 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +000001001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +.logic_tile 11 6 +000000000000000000000010010111000000000000000000000000 +000000000000001011000111001001000000000001000001000000 +111100000000000101100110010000000000000000000000000000 +000000000000000000100011010000000000000000000000000000 +110000000000000000000000011001100000000000000000000000 +100000000000000000000010001011100000000011000000000000 +000000000000000011000011010101100000000001000000000011 +000000000000000000000110001001000000000000000001000000 +000000000000000011000000001101111111010111110000000000 +000000000000000000100000001111101001100111110000000100 +000000000001010000000000000101101110000100000000000000 +000000000000100000000010011101101100100000000000000000 +000000000000001101000000000000000000000000000100000000 +000000000000000101000000000001000000000010000000000000 +010000000000000000000110101000000000000000000100000100 +100000000000001001000000000001000000000010000000000000 +.logic_tile 12 6 +000000000000000000000110100000001000111100000000000000 +000100000010000000000000000000000000111100000000010000 +111011000010000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000001001110000000000000000000000000000000000000000000 +000000000010000111100000010000000000000000000000000000 +000000000100000000100011110000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000010000001001000000000010000010000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000010000000000000000000000000100000010 +100001001010000000000000000011000000000010000000000000 +.io_tile 13 6 +000000000000000000 +010000000000000000 +000000000000000000 +000000000001100001 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000000000000000000111100000000011000000000000 +000000000000001101000010110111101010000011110000100000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010001000000000101000110010111011110000100100000000000 +110010100000000000100010000001111110000000000000000000 +000000000000000111100010100101100000000000010000000000 +000000000000000000000000001011001110000000000000000000 +000100000000000000000111000101000000000000000000100000 +000100000000000000000100001001100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000010001101100000001000000000000000000100000010 +000000000000000011100010101101000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +.logic_tile 2 7 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000001001000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +010000000000000111000110001101000000000000000001000000 +110000000110000101000000000001000000000001000010000000 +000000000000000000000000000011100000000000000000000000 +000000000000000000000000000111101000000000010000000000 +000000000000000000000000000001100000000000000000000000 +000000000000001111000000000011001010000000010010000000 +000000000000000000000110010000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000001100000000000000000111000000000000000000000000 +000000000000001111000000000101000000000001000001100001 +000010000001000000000000001000000000000000000100000010 +000001000000100000000000001011000000000010000000000011 +.ramb_tile 3 7 +000001000000000000000000000000000000000000 +000010010000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 7 +000000000000000111100000001011011001010100000000000000 +000000000000001011100000001101101100011000000000000000 +111001000000001111100000010001100001000000010000000010 +000000100000000011000010000001101010000000000000000000 +110000000000000111100111101011011010000000000000000010 +110000000000001011100110101111001000100000000000000100 +000000000000001000000111011101000000000000000000000000 +000000000000000011000110000101101010000000010000100000 +000000000000000011100011001101100000000000000010000000 +000000000000000000000100000001101010000000010000000000 +000000000000001000000111101101001010000000000000000000 +000000000000000011000100000101101011100000000001000000 +000000000000000011100111100101000001000000100000000000 +000000000000000000000000000111101111000000000000000000 +010000000000000000000010001000000000000000000101000100 +100000000000000000000000001011000000000010001100000010 +.logic_tile 5 7 +000000000000001111000010010011001111110000000000000000 +000000000000000001000110001101011110111000000000000000 +111000100010000000000111001001100000000010000000000100 +000001000000001101000011111111100000000000000000000000 +001000000000000000000010011101000000000000000000000000 +000000000000000000000011000101100000000001000000000000 +000100000000001011100000000011100000000000000000000100 +000001000000000001000000001011100000000001000000000000 +000000001000000101100110100001000000000011000000000000 +000000000000000000000000000111101000000001000000000000 +000000000000000000000111100001000000000000000000000000 +000000000000000000000110100001100000000001000000000010 +000010100000000101100110011011111110111100010100100000 +000001000000000000000010110001111001111100000001100100 +000000000000000001100000001111000001000011000100000000 +000000000000000000000010101001101010000011010000100000 +.logic_tile 6 7 +000000000001010000000000010000000000000000000000000000 +000001000000100000000011000000000000000000000000000000 +111000000000000000000000000111111011011100000000000000 +010000000000000000000000001101111111111000000000000000 +010000000001000111100000001111100000000011010000000101 +010000001100000000100011001111101010000011110000000010 +001000100001000000000110100111101101100011110001100001 +000000000000000000000000001101111111000001110000000000 +000000001110000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000010000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000111001000000000000000000100000000 +000000000000000000000110001011000000000010000000100001 +.logic_tile 7 7 +000000000000000111000000000000000000000000000000000000 +000000001000000000100011100000000000000000000000000000 +111000000000001101000000011011100000000011010000000000 +000000000000001111100010001101001011000011000000000010 +110000000000000000000000000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +000000000000000001100110011101100000000001100000000000 +000100000000000111000010000001001000000001010000000010 +000000000000000000000000011111000000000011010000100000 +000000000000000000000011111001101001000011000000000000 +000001100000001011000110101101100001000010100000000000 +000011100000010101000000001011101010000010010000000000 +000000000000000000000000011011100000000001000000000000 +000000000000000001000011000001000000000000000000000000 +010000100000000000000000000000000000000000000100000000 +100001000000110000000000000111000000000010001000000000 +.logic_tile 8 7 +000000000000000001000010100111101001110000000000000000 +000000000000000000100111001101011110000000000000000000 +111010000000000000000111001111100000000011010001000000 +000000000000000000000000001101101000000011000000000000 +010100000000000000000110100111100001000000110000000000 +010000000000001001000000001101001110000000000000000000 +000000000000000011100000011011111111110000000000000100 +000000000000000000100010101001011100000000000000000000 +000001000000000000000000000001000000000000000010000100 +000010100000000000000011101011100000000001000000000010 +000000100000000011100000001101100000000011010000000000 +000001001110000001000000001101001000000011000000000010 +000000000010000000000000000111101011110000000010000000 +000000000000000000000000001101001110000000000000000000 +010000000000000011100010001000000000000000000100000000 +100000000000000001000000000111000000000010001000000000 +.logic_tile 9 7 +000000000000001101000010111111001000111101110011000011 +000000000000000101000010100101111000111111110000000001 +111000000000010101000111100011100000000000100000000000 +000000000000101001000110111111001011000000000010000000 +110000000000001000000010111011011010101100000000000000 +110000000000000101000010001001111001111100000000000000 +000000000000000101000111001101100000000001000000000010 +000000000000000101000110000111100000000000000000100000 +000000000000000000000010110101111010111011110010000001 +000000001100000000000111111011011110111111110010000000 +000010000000000000000111101011001010000010000010000010 +000000000110000001000100000001111010000000000000000000 +000001000000000111100011111001000000000010110001000110 +000000000000000000100011110001001110000000110000000010 +010000000001011000000111101111100001000001010100000000 +100000000000101011000000001011101001000001100000000010 +.ramb_tile 10 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 7 +000000000000000111100110010101100000000001000000000000 +000000000000000000000010001001100000000000000000000110 +111000001110001101000010101101001100101000000000000010 +000000000000100001000010101011111000011000000000000000 +110000000000000000000111101111101011000010000000000000 +100000000000001111000000001101101111000000000000000000 +000000000000000111000010111001111101110011000000000000 +000000000110000011000110000011101010000000000000000000 +000110100001010011000110101011001100110011000000000000 +000001000000100000100010011011101111000000000000000000 +000000100000001001000010000001111011011100000000000000 +000001000000000101000010001001001000111100000000000001 +000001000000001000000111100001111111000010000000000000 +000010100000000101000100001101101001000000000000000000 +010010000000001000000110010000000000000000000100000010 +100001000000000101000010100111000000000010000000000000 +.logic_tile 12 7 +000000000000000000000011011001100000000001000000000010 +000000000000000000000010000111000000000000000000000000 +111000000101011111000011011001101010110011000000000000 +000000000000001011000010000011111001000000000000000000 +110000000000000000000010001001100000000001000000000000 +100000001110000011000100000101100000000000000000000100 +000000000000000111000000000000000000000000000110000000 +000000000000000000010000001011000000000010000000000000 +000010000000000000000110000000000000000000000100000000 +000001000000000000000100001001000000000010000001000000 +000010100000000111100000000000000000000000000101000001 +000000000000000000100000000101000000000010000000000000 +000000100000000000010000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000100 +010000000000010011100000000000000000000000000000000000 +100000000010000000100000000000000000000000000000000000 +.io_tile 13 7 +000000000000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000010000000010010 +000011110000010000 +001000000000000000 +000000000000000000 +000000000000000000 +100100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001000 +001100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +010011010000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000000000000000000000110001001100000000011000000000000 +000000000000000000000000000101100000000000000000000000 +111000000000000001100110001101100001000010000000000000 +000000000000000000000000000001101010000000000000000000 +010000000000101001100111110001000000000001000000000000 +110000000001010001000010000101100000000011000000000000 +000000000000001001100110000101111110001100110000000000 +000000000000000001000000000000010000110011000000000000 +000000000000000000000000000111000000000001000100000000 +000000000000000000000000000101100000000011000100000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000100000010 +000000000000100000000000010000000000000000000000000000 +000000000001010000000010100000000000000000000000000000 +010000000000000000000110100000000000000000000101000000 +100000000000000000000100000101000000000010000100000000 +.logic_tile 2 8 +000000000000000000000111010001000000000000001000000000 +000000000000000001000111000000100000000000000000001000 +111000000000011011100110100101000001000000001000000000 +000000001010000011100100000000001000000000000000000000 +110000001110001011000000000101101001001100110000000000 +110000000000001111000010000000001010110011000000000000 +000000101010001011100010000001100000000010000000100000 +000001000000000101100000000011000000000000000000100000 +000001000000000111000000000001100001000011000011000000 +000010100100000000100000000001101011000011010000000001 +000000000001010000000000000000000000000000000000000000 +000000000000101111000000000000000000000000000000000000 +000000000000000000000111100101001011000010000001000000 +000000000000000000000000001001011100000000000000000000 +000000100000000000000000011000000000000000000100000010 +000000000000000000000010011111000000000010000000000000 +.ramt_tile 3 8 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000001110000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +111000000101000000000000000101000000000001000000000000 +000000000000100000000000000111000000000000000000100001 +110001000001000011000000001101101011000010000000000000 +010010000000000011000011110101111111000000000001000000 +000000000100011001100111110011011101100000000000000000 +000000000000000011000010000101001101000000000000000000 +000000000000001000000000001000000000000000000100000000 +000000000000000111000011101101000000000010000000100000 +000000000000000000000010001000000000000000000100000000 +000000000000001111000111110101000000000010000000000000 +000000000000001000000000001000000000000000000100000000 +000000000000000101000000001011000000000010000000000000 +000000000000100000000110001000000000000000000100000000 +000000001110001111000000000111000000000010000000000000 +.logic_tile 5 8 +000000000000001101000010011011000001000000100000000001 +000000000000000111000010000111001011000000000000000000 +111000000001010000000000011101100001000000010000000010 +010010101000100000000011011011001100000000000000000000 +000001001110000000000110100111000001000000000000100000 +000000100000000000000010101101001111000000010000000000 +000000000001000011000010010101100001000000010000000000 +000000001010000000000010001001001100000000000000000000 +000000000000000111100000000111000001000000000000000000 +000000000000001001000000001111001111000000010000000000 +000000000000010111110111101011000001000010000000000010 +000000000000101001000000000111001011000011000000000000 +000000000000001000000010011111000000000000000000000000 +000000000000000101000110100011101000000000010000000000 +010000000000001111000111100001011000111100100110000000 +100000000100000101100000001101111000111100110100000010 +.logic_tile 6 8 +000000000000000000000010000111011000000010000000000000 +000000000000000000000111001101011100000000000000100000 +111000000000000000000111101111000000000011000001000000 +000010000000100000010000001011101011000011010000000000 +010000001110000111100010000011100000000000000000000001 +010000000000000000100010100101000000000001000000000001 +000000000001000000000110000101000000000000000001000010 +000000001100000000000000001001100000000001000000000001 +000000000000000000000111111001000000000000100010000001 +000000000000001001000110001111101101000000000000000100 +000000000000000111100110000101100000000001000000000010 +000000000000010000100000001011100000000000000000000000 +000000000000000000000111101101011110111100000000000000 +000000000000000001000100001101111111011100000000000100 +000000000000000000000010010000000000000000000100000000 +000000000000000000000010011101000000000010000000000000 +.logic_tile 7 8 +000000001010000111100000001001011110000100000000000010 +000000000000001001100011101001001001000000000001000000 +111000000000001000000111010011000000000000110001000100 +000000000000100001000011010101101011000000100011000010 +110000000000000000000010010000000000000000000000000000 +110000000000001001000111000000000000000000000000000000 +000000000000101000000110011011101000000010000001000000 +000000000001010011000011110011011000000000000000100100 +000000001100000111000000010111000000000000110000100000 +000000000000000000100010101101101000000000100000000000 +000000000000000001000000001101100001000001010000000000 +000000000000010111000000001101101010000001100000000000 +000001000000000000000010011001100000000011000001100000 +000000100000000000000110100001100000000010000000000001 +010000000001010000000000000000000000000000000100000000 +100000000000000111000000000011000000000010001000000000 +.logic_tile 8 8 +000001000000000011110000011001100000000001000001000000 +000000000000001001100011110011000000000000000000000000 +111000100000001001000010010001000000000000000000000011 +000001001110000011100011000101100000000001000000000010 +110000001100000011100010111011000001000010000000000000 +010000000000001001100011011001101000000000000000000001 +000000000000000001100110011011000000000000000000000000 +000001000000000011000011011001000000000011000010100010 +000000000000001000000111110111011011001100000000000000 +000000000000001011000110100001101101001000000000000000 +000000100000001000000000010101100000000001010100000010 +000001001010000001000011000001001011000001100000000000 +011001000000000000000000000111100000000001010100100000 +000000000000000000000011100101101011000001100000000000 +010000100000000000000000010101100001000001010100000000 +100001000000000000000010000001101011000001100000000001 +.logic_tile 9 8 +000101000011011011100010101101001100101000000000000010 +000100000000001111000111100101101001011000000000000000 +111000000100110111100111111011100000000001000011000001 +000000000001110001000111000001000000000000000000000000 +110000000000100111100111010101000000000000000000000001 +010000000001010011100010111101000000000001000010000001 +010000100000000011000011011001101011110011000010000000 +000100001000001001000011100011101001000000000000000000 +000001000000001111000000000001000001000000100000100010 +000010000000001101100011100001101011000000000000000000 +000011000000000111100000001011000000000001000000000010 +000000001010000001000000001101000000000000000000000000 +000000001110000111100000001101100000000001100000000010 +000000000000000000000000000001001110000000110000000000 +010000100001010000000000000000000000000000000100000000 +100001001110100000000000000001000000000010001001000000 +.ramt_tile 10 8 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +.logic_tile 11 8 +000000000000000111100111100011011100000100010000000000 +000000000000000001100110001001111000100010000000000000 +111001000000000001000000010101101101000000000000000000 +000010000000001001100011001111001111100000000000000000 +110000000000000001100111100011100000000000000000000000 +100000000000000000000111011011000000000011000000000000 +000000100001010111100010001101011000000010000000000000 +000001000010101001100000000011111101000000000001000000 +000010100001011111000110110101101011011100000000000000 +000001000000100001100011100101011100111000000001000000 +000000000000001101100111000111011110010111110010000000 +000000000000001111000100001001011100100111110000000000 +001000000000000001000000011001011111000010000001000000 +000000000000000000000010000001001011000000000000000000 +010000000000001000000010011000000000000000000101000010 +100000000000000101000011110001000000000010000000000000 +.logic_tile 12 8 +000000100000000011000011101001001110110011000000000000 +000001001110000000100010101101101101000000000001000000 +111000100001000000000000000101111001010111110000000000 +000000000000000111000000001101001110100111110000100000 +110010100000000000000000011000000000000000000110000000 +110001000000000101000010000111000000000010000000000000 +000010000001000101000110000000000000000000000110000000 +000000001000000000000000000101000000000010000000000000 +000000000001001000000011010000000000000000000100000010 +000000000000101111000110001001000000000010000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000001011000000000010000000000000 +000000000000000000000111100000000000000000000110000000 +000000000000001111000000000011000000000010000000000000 +010000101101001111100000000000000000000000000110000000 +100000001010000111000000000001000000000010000000000000 +.io_tile 13 8 +000000000000000010 +000000000000000000 +000001111000000000 +010000001000000001 +000000000000000101 +000000000011001000 +001100000000000000 +000000000000000000 +000000000000000000 +000010110000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000001000 +000100000000000000 +000000000000000000 +000010000000000000 +000111010000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000010000000000001100010110000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +111000000000000000000010011001101010010100000000000000 +000000000000001101000111101001011100011000000000000000 +111000000000000000000111101111001001010100000000000000 +010000000000000000000100000101011100011000000000000000 +000000000000000111100011100000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000111100000001001011110000101000010000000 +000000000000000000000011101001001001000110000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000100001000000000001000000000000000000100000010 +000000000000000011000000001101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000001001100010011001000000000000100000000000 +000000000000001111000011111101001011000000000000000010 +000000000000000101000000011001100000000001000000000000 +000000000000000000100011110011100000000000000000000001 +000000000000001011000111010111000000000000100000000000 +000000000000000001000110000001001010000000000000000000 +000010100100000011000111001011001111101011110000000000 +000000000000000000000000000001111110011011110001000000 +001010101110000011100000010011100001000000100000000010 +000001000000000111100011100011101010000000110000000000 +000000000000001101100010001111100000000001000000000010 +000000000000000101000100000011000000000000000000000001 +000000000001000000000110101101001101111100000000000000 +000000000000001001000100000011001010011100000000000010 +000001000000001001000000000001000000000000100000000000 +000000000000001101100000001101101001000000000000000010 +.logic_tile 5 9 +000000000000000111100010100001101001000011100000000000 +000000000000000101100000000001011111000011000000000100 +000010100000001001100000000001001110011100000000000000 +000000000100001101000000000101001100001100000000000001 +000000000000001000000110001001001011000110100000000000 +000000000000001001000100000101001111001111110000000001 +000000000000010001100000010111011110000110100001000000 +000000000000000001000011101111111010001111110000000000 +000000000000000111000000011101100001000000010000000010 +000000000000000000100010100101101111000000110000000000 +000000000000100111000011111101000001000000000000000000 +000000001001000000100010100011101101000000010000000000 +000000001100000011000111111101101101001111110000000000 +000000000000000000000010101011001010000110100000100100 +000010100000000000000111011011011000001111110000000001 +000001000000000000010110101111101100000110100000000100 +.logic_tile 6 9 +000000001110000000000110010111011001000110100000000000 +000000000000000000000110001001101111001111110000000010 +000010100000000011100110000101101010001100000000000010 +000001000000000000000000000111001010101100000000000000 +000000000000001001000110000101000000000001000000000000 +000000000000001001000010010111100000000000000000000000 +000000000000000001100000001111000000000000100000000000 +000000000000000101000000001111101100000000000000000000 +000000000000000101100110000011111001000100000000000000 +000010100000000111000000001101111101001100000000000100 +000010100000000000000010110011000000000010000000000000 +000000000000000000010010101101001101000011000000000001 +000001000000001101100111101001000000000010000000000000 +000010100000000101000010101111100000000000000000000000 +000000000000000000000010101101000000000011100010000000 +000000000000000000000010010101101001000011000000000000 +.logic_tile 7 9 +000000000000000001100010110001101100000100000001000000 +000000000000000101000010001011011010000000000000100000 +000010101100001011100110000101100000000000000000000100 +000001000000000111000000001011000000000001000000000000 +000010100000000001000000000101100000000001000000000000 +000001000000000000000000001011100000000000000000000000 +000000000000101011100011000001000000000001000001000000 +000000000000001111000110001001100000000000000000100100 +000000000000101000000111111111011000111011110000000001 +000000000001001101000011111101111100111111110000000000 +000010100000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000100000000001000000000010101100000000000010000000000 +000100000000001001000011100001001001000000000000000000 +000000000000100000000000000001011000110001010000000000 +000001000000000000000000000001101110110000000000000000 +.logic_tile 8 9 +000000000010000001000011100011101110110000000000000001 +000000000000001111100110110111101010110001010000000000 +000000100001011001100011110011001010001001000000000000 +000100000000000111000010000001111001000101000000000000 +000000000000000001000010001111100000000001000001000010 +000010100100001101100000000111000000000000000000000001 +000010001110000000000000001001001101110000000000000000 +000001000000000000000011010001001111110001010001000000 +000000000000000011000000010101100000000001000000100000 +000000000000001011000011111001100000000000000000000000 +000000000000000000000010010111101010001001000000000000 +000000001010000101000111010011111001000101000000000000 +000000000110000001100000001111000001000000000000000000 +000000000000001011000011000001101100000000010000000000 +000010100000000011100111101001111100001100000000100000 +000000000000010001100011001001111010001000000000000000 +.logic_tile 9 9 +000000000000100011100000001111101111101000000000000000 +000000000000011011100011000111001000011000000000000000 +000000000000101111010011111111101000101000000000000000 +000000000000010111000011001011111000011000000000000000 +000000000000001001100010000101100001000010000000000000 +000000000000110001000111000111101010000000000000000100 +000000000000001001100111110001100001000000000000000000 +000000000100000001000110000011101001000000010000000000 +000000000000001011000110100001111101000110000000000000 +000000000000000111000100000001001011001010000000000000 +000000001001010111000010000111001100101000000000000000 +000000000000100000000100001011101000011000000000000000 +000010001100001011000111000111001011101000000000000000 +000000000000000111100110001101111000011000000000000000 +000000000001110111000010001101000000000010000000000000 +000000000001001111100100000101001010000000000000100000 +.ramb_tile 10 9 +000000001100000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001100000000000000000000000000000 +000000000001100000000000000000000000000000 +.logic_tile 11 9 +000000000000000001000000010111011110101000000000000000 +000000000000001001000011110011111101011000000000000000 +111000000010001000000110000101111100001101010000000100 +000000000000001011000000000101001000001111110000000000 +010000000000001111100010000011111100000010000000000000 +010000000000000001100000000001011010000000000000000000 +000000000010101001110110001011000000000001000001000000 +000000000001000001000000001111100000000000000000000000 +000000000000000111000000000111100001000000100000000000 +000000000110000111000011111101001101000000000000000000 +000000000000001101100110100101001101001001000000000000 +000010000000000111000010010011011111000101000000000000 +000000000000000000000000001001100001000010100000100000 +000000000000001001000011000001101100000010010000000000 +010000000000000000000000010101100001000001010100000000 +100000000000001001000011100111101010000001100000000000 +.logic_tile 12 9 +000000000000001000000110010001001101011111110000000000 +000000000000000001000011000101101000111111110000000000 +111000000000000111010110000001000001000000100000100000 +000010001000000011000000001101001000000000110000100000 +010000000000000101000000000011011000110011000001000000 +010000000000000000100011000111111100000000000000000000 +000010000001010011100000011011011011110011000000000000 +000000000000001011100010001111101011000000000000000000 +000000100000001011100010011000000000000010000000000000 +000000000000001111100011001111000000000000000000000000 +000000100001000011100000001000000000000000000100000000 +000001000000000011100000000011000000000010000001000010 +000010100000000111000000001000000000000000000110000000 +000001001100000000100000000011000000000010000000000000 +010000000000000000000111101000000000000000000100000000 +100000000110000000000111000101000000000010000001000000 +.io_tile 13 9 +000001111000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000001100 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000010000000000000 +000010010000000001 +000000000000000010 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111000000000000001110110011111011001000010000000000000 +000000000000000000000010000001111001000000000000000000 +010000000000000000000111100000000000000000000000000000 +110000000000000000010100000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001111000000000010000000000000 +000000000000000101100000010000000000000000000000000000 +000000000000000000100011100000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +000000000000000000000110000000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 +000100000000000000000000000000000000000000000100000000 +000000000000000000000011000001000000000010000000000000 +.logic_tile 2 10 +000000000000000111100111110000000000000000000000000000 +000000000000000000100111110000000000000000000000000000 +111000000000001111000110001001000000000000000001000000 +000000000000000011100011100101100000000001000000000000 +010000000000000001100010101001111100000000000000000000 +110000000010000000000100000011011100100000000010000000 +000000100000000000000000010000000000000000000000000000 +000001000000000001000010110000000000000000000000000000 +000000000000001000000000001001100001000010100000000000 +000000000000001011000000000001101101000010010000000100 +001000000000000000000010000001011001110000000100100000 +000000000000001001000010011001111010111001010000000000 +000000000000000000000000000101100000000001000100000000 +000000000000000000000000000101100000000000000000000000 +110000000000000000000000000101000000000000000100000000 +000000000000000000000000000001000000000001000000000000 +.ramt_tile 3 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000010000111010111111001101101100000000000000000 +000000000000001001000111110001001100000000000010000000 +111000100000000111100010100101000000000010000000100000 +000001000000000101100011001011000000000000000001000000 +010000000001000101000110001111000000000000000000000000 +010000000000000000000010010101000000000001000000100000 +000000000001000011110011000011000000000001000010000000 +000000000000100000010100001001100000000000000000000000 +000000000000000101100110010101001111010000000000000000 +000000000000001111000011010011111011110000000000000000 +000000000000000001000000001111100000000010100010000000 +000010000000001001000000001111001000000010010000000000 +000000000000000000000000010001111100110000000100000000 +000000000000000011000011100001101011111001010010000000 +110000000000000111100000011001100000000000000100000000 +000000000000001001100010100111100000000001000000100000 +.logic_tile 5 10 +000000000000000011100011001111011111000010000000000100 +000000000000000001000110001101111111000011000001000000 +111000000000011011000000000011001111000011110000000000 +000100000000100011100011011011101000000011100000100000 +110000000000011101000111111001111110000010000000000000 +110000001010000011010010000011001100000011000000000000 +000000001000001001100010011111101011000100000000000000 +000000000000000011000011111101001111001100000000000001 +000000000000001001100010010111000001000000010000000000 +000000000000000101100011001001001001000000000000000000 +000001000010010000000000000001001010011111110000000000 +000010000000101111000000001101001000111111110000000000 +000000000000001111100011110001001011111111000100000000 +000000000000001111100011001101101000111110000000000000 +000000000000001111000110101101100000000000110100000000 +000000000000000001100111000101001100000001110001000000 +.logic_tile 6 10 +000000000000001001100011011111011100111000000000000001 +000010100000000011100010000111011110110000000000000100 +000001000000000000000110001011100000000010000001000000 +000000000000101001000000001011101010000011000000000000 +000000001110000111000110000011000000000010000000000000 +000001000000001111000011000001000000000000000000000000 +000000000000001001100110001101100000000000000010000000 +000000000001000101000010101101100000000001000000000000 +000000000001001101100000010001001110001100000000000000 +000000001000000101000010101001111011000100000000000000 +001000000000000000000110110001101101000110100000000000 +000100000000000000000010100011011001001111110000000000 +000000000000001101100000010101101011010000000000100000 +000000000000000101000010010101011010000000000000000000 +000000000000000011100000000011000000000001000000000000 +000000000000000000000000000001000000000000000000000000 +.logic_tile 7 10 +000000000000001000000011101011100000000000000010000000 +000000000000000001000010101011100000000001000000000000 +000000000000001000000000000001000000000000000010000000 +000000000000000001000011101011101001000000010000000000 +000000000000100111100011101101100000000000000001000000 +000000000000000000100010101001000000000001000000000100 +000000000010101011100000010101011000010000000000000000 +000000000110000001000011011011101011000000000000000000 +000000000000000000000011100111000001000000100000000000 +000000000000000000000000000101101100000000000000000000 +000000000000000000000010001101100000000001000010000000 +000110000000000111000110011101000000000000000000000000 +000000000000000000000011100001000000000000100000000000 +000000000000000000000011101111101000000000000000100000 +000000000000000000000000001001100000000001000000000000 +000000000000000000000000000101100000000000000011000001 +.logic_tile 8 10 +000000000000000011000010000001101000011100000000000000 +000001000000001111100010111001111101111100000000000000 +000010000000001101100110011111000000000000100000000000 +000001001100000001100010000101101101000000000010000000 +000000100000000000000110000111100001000000100000000000 +000001000000000000000100001111001001000000110000000010 +000000000000001000000000001111101101000000000000000000 +000000000000000001000011110001001111100000000000000000 +000000000001001000000111011111000001000000000000000000 +000000001110000011000010100111001101000000010000000000 +000000000000000000000000001111000000000000000000000000 +000000000000001001000011000111100000000001000000100011 +000000000000101000000110000001100001000010000000000001 +000000000000000011000000001101001000000011000000000000 +000000000000000101100000001001011100000100000000000000 +000000000000000011000011001101001000100000000000000000 +.logic_tile 9 10 +000100000000001011100011111001011100111100000000000000 +000100000000001111000011111011001011011100000000100000 +111000100000000001000110011001011100001001000000000000 +000001000100000011100011111001001001000101000000000001 +110000000000000011100010101011001100110011000000000000 +010000000000000011000100000001011100000000000000000000 +001001000100000011100110001001000000000000000001000000 +000000000000000011100010001101100000000011000000000000 +000000100000001011100011010101101111000010000000000000 +000001000000101101100011011001001001000000000000000000 +000000000000001111000000011101000000000010000000000000 +000000000000000011100010111111100000000000000000000000 +000000000000001000000000000001100001000001010101000001 +000000000000001101000000000101001010000001100000000000 +010010000000000000000000010101100000000001010100000000 +100000000000000000000010110001101010000001100000000000 +.ramt_tile 10 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 10 +000000000010001111100000001001000001000010100000000000 +000000000100001011100010011001001100000010010001000000 +111000000000000000000000010011000000000001000001000000 +000000000000000111000011001101000000000000000000000000 +010000000000000001000010000011100000000000100001000000 +100000000000000011000110101101001001000000000000000000 +000000000000000000000000000111111000001001000000000000 +000000000000000000000000000101001110000101000000000000 +000000000000001000000000000000000000000000000100100100 +000000000000000101000000000001000000000010000000000000 +000000000000010001000010001000000000000000000100000000 +000100000000001001100100001111000000000010000001000100 +000000000000000000000000000000000000000000000101000000 +000000000000001001000000000101000000000010000000000000 +010000000000000101100000001000000000000000000100100001 +100000000000000000000011001011000000000010000000100000 +.logic_tile 12 10 +000000000000000011100111011101111011000010000000000000 +000010000000000000100011010101101011000000000000000000 +111001000100001001100011101111011101110011000000000000 +000000000100000111010000000001111011000000000000000000 +010000000001010011100000001011011001110011000000000000 +010000000000000000000000001101011100000000000000100000 +000001000001111001100110010000000000000000000000000000 +000010000001010001000010000000000000000000000000000000 +000000000001010011100000000000000000000000000110000000 +000000000000100000100000000101000000000010000000000000 +000000000001011000000000010000000000000000000100000000 +000000000000000001000011011001000000000010000000000000 +000000000000000000000111001000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +010000000000000000000000000000000000000000000100000100 +100000000000000000000000000001000000000010000000000000 +.io_tile 13 10 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000000000000100000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 11 +000100000000001101000000010001111011000010000000000000 +000000000000000001000010001001001001000000000000000000 +111000000000000001100000010001000000000001000000000000 +000000000000000000000010000001000000000000000000000000 +010000000000000000000111100000000000000000000100000000 +110000000000000000000100000111000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000100000000000010000000000000000000100000000 +000000000001010000000010111011000000000010000000000000 +000000000000001000000000001000000000000000000100000000 +000000000000001101000000000111000000000010000000000000 +000100000000000101100000001000000000000000000100000000 +000100000000000000100000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +.logic_tile 2 11 +000000000000000000000000010101100001000010100000100000 +000000000000000000000011101101101010000010010000100000 +111000100010001111100000000011100000000010100000000000 +000001000000001011100000001101001110000010010000000100 +010000000000000011100111100000000000000000000000000000 +010000000000000000100011010000000000000000000000000000 +000000000000000001000111000101000000000001000000000000 +000000000000000111100110100011000000000000000000100001 +000000000001010111100000001000000000000000000100000000 +000000000000000000000000000001000000000010000010000000 +000000000000000000000000001000000000000000000100000000 +000000000000001001000000000001000000000010000000000001 +000000000000000000000000001000000000000000000100000000 +000000000000000111000000001101000000000010000000000010 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000010 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000001001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 11 +000000001110000011000110000011001010010011110000000000 +000000000000000000000010001111111100000011110010000000 +111000000000000111000000000101000001000010100010000000 +000000000000000101000000001001101000000010010000000000 +010000000001001011100111000111100001000000100000000000 +010000000100000111100010100111101011000000000000000000 +000000000000000011100010001011100001000010010100000000 +000000000000000000000000001111001101000010100000000000 +000000000000000000000111010111101110111100100100000000 +000000000000000111000010001011001010111100110000000000 +000001000000001000000110111011011110111100110100000000 +000000000000000101000110101111001011111100100000000000 +000000000000001111100010001101000001000010100100000000 +000000000000000101100000000001001111000010010000000000 +000001000000001101100110110011111011000111110100100000 +000000100000001101000110110001101101001111110000000000 +.logic_tile 5 11 +000000000001010000000111110001111110000011100000000000 +000000000000100000000110001111001010000011110000000000 +111000000000001011100000010001111110000000000000000000 +000000000000000011000010000011101010100000000000000000 +110000000000001001100111000101100000000010000000000000 +010000000000001011000110011101000000000000000000000000 +000000000010000000000010011001000000000000000000000100 +000000000000000101000011001011101011000000010000000000 +000000000000000111100010011101001000100000000000000000 +000000000000000000000011001011011001000000000000000000 +000010000000001000000111010101111101000011100000000000 +000001000000001011000110101101101111000011110000000000 +000000000000000101100110101101100000000001000000000000 +000000000000000000000000000001100000000000000000000000 +110000000000001101100000010111000000000011100100000000 +010000000000001011000010101011001001000011110001000000 +.logic_tile 6 11 +000000000000001101000000011111101101100000000000000000 +000000000110000011000011010101001101000000000001000000 +111000000001000111000000001011100000000010000000000000 +000000000000000000000000000001000000000000000000000000 +010000000000000001000000010101000000000000010000100000 +010000000000000111100010010101001110000000000000000000 +000010000000000001100000010111100001000000000000000000 +000000000110000101000010001111001011000000010000000000 +000000000000010001100000010001000000000001000000000000 +000000000000100000000011001011000000000000000000000000 +000010100000001000000010001001000000000001000000000000 +000000000000001001000011000101000000000000000000000000 +000000000000000001000010010101000001000000110000000000 +000000001100000000100010001111101101000000100000000000 +000001000010001101100000001000000000000000000100000100 +000010100000000001000000001011000000000010000000000000 +.logic_tile 7 11 +000000000110000011100000010000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 +111000000000000101000000010001101111101011110000000000 +000000000000001111000010000101001111011011110000000000 +110000001010000001110010101101101100110011110000000000 +000000000000001001000010101011111010010010100000000000 +000000000000000000000000010001101011000011000001000000 +000000000000000000000011011011111000000001000000000000 +000000000000000001000011000000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +000010000000000001000000010001101000001100000000000000 +000001000000000000100011000001111011101100000000000000 +000000000000000111000000000111101101100111110000000000 +000000000000000000000000000001101000111111110000000000 +000000000000000000000000011011000000000011000100000000 +000010000000000000000011000011000000000010000000000001 +.logic_tile 8 11 +000000000000100111000011101001100000000000000000000000 +000000000001010001000011100101000000000001000000000010 +111000000000000001100110000001101011110110100000000000 +000000000000000111000010011001001010101101010000000000 +010000000001011101000000001101001011001100110000000000 +100000000010000011100010001011011011000000000000000000 +001000000000001001000011111101111110000010000000000100 +000000000000000011100011011011111000000000000000000000 +000000000000001111000010000011011000110011000000000001 +000000000000000011000100001001011000000000000000000000 +000000100000000001000010011101101100010111110000000000 +000010000000000000000111001111101101100111110000000000 +000000000000000001100000001101101110000000000000000100 +000000000000000001000000001001101110100000000001000100 +010000000000000000000110011000000000000000000100000000 +100000000000000000000010100011000000000010000000000000 +.logic_tile 9 11 +000000000000100111100111100001101101101100000000000001 +000000000000001011000110000001001010001100000010000000 +111001000001000101100110001011111011000010000000000000 +000000000000001001100011000011111010000000000001000000 +010010000000000000000111001101011011010010000000000000 +110001000000001101000011000011011000000000000000000000 +000011100001001011100111110001100000000001000000000000 +000011000000101111000110001111100000000000000000000000 +000000000000000111000000010001101010110000000000100000 +000000000000000000100010001111111101000000000000000000 +000011000000001001100000010000000000000000000101000010 +000001000000001011000010000001000000000010000000000000 +000010000000000000000010010000000000000000000100000000 +000000000000000000000111101001000000000010000000000000 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000001001000000000010000010000000 +.ramb_tile 10 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001100000000000000000000000000000000000 +000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000001000000000000000111000101100000000000000000000000 +000010100000000000000010111001000000000001000000000010 +111000000000001001000111010101001011001101010000000100 +000000000000000011100111001111101011001111110000000000 +110000000000000001000010111001111001010111110000000000 +010000000000000000100010001011101001100111110000000000 +000000000000001000000010010111111000001111110001000000 +000000000000000001000110000011001101000110100000000000 +000000000000000001000010100001011001010111110000000000 +000000000110000000100011110111101001100111110000000000 +000000000000001011000010111011101111000010000000100000 +000000000000000111000011101111011101000000000000000000 +000000000000000111100010101101000000000000000000000000 +000000000000000000100011001101100000000001000000000000 +010000000000000001000000011000000000000000000110000000 +100000000000000000100011100011000000000010000001000100 +.logic_tile 12 11 +000000000000000111000011111011101010110011000000000000 +000000000000000111000011010111111010010010000000000000 +111000001110000111100010111011111010110000000001000000 +000000000000100111000111010011101010000000000000000000 +110000000001011011000000011011011000001001000000000000 +110000001010000001000011001101101100000101000000000000 +000000000100001001100110000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000001011000111001001000001000000100000000000 +000000000000001011000100001001001101000000000000000000 +000001000000000011000110110001011001110011000000000000 +000000000000000000000111000011101001010010000000000000 +000001000000000000000011100101000000000001000000000110 +000010100000000000000100000001100000000000000001000000 +010000000010000111000000000101100001000001010100000000 +100010000000000000000000001001101010000001100000000000 +.io_tile 13 11 +000000111000000010 +000100001000000000 +000010000000000000 +000001110000000001 +000000000000000010 +000000000000110000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 12 +000000000000000010 +000100000000000000 +000000000000000000 +000000000001100001 +000000000000110010 +000000000000110000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000011000000000 +000000000000000000 +.logic_tile 1 12 +000000000000000101000010010001000001000000010001000000 +000000000000000000000011110101101001000000000000000000 +111000000000000000000000011101000000000000000001000000 +000000000000000000000010001101101000000000010000000000 +010000000000000101000010000000000000000000000000000000 +010000000000000000000100000000000000000000000000000000 +000000000000000000000000010000000000000000000110000000 +000000000000000000000010000011000000000010000000000000 +000000000000000001000000000000000000000000000100100000 +000000000000000000000011001011000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000001000000000000000000100000000 +001100000000000000000000000111000000000010000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 2 12 +000000000000101101100011011011001001000100000001000000 +000000000001001111000110111111111001000000000000000000 +111000000000001011110110000111011110010000000010000100 +000000000000000111000010101001111000000000000000000000 +110000000000001101100111011101000000000001010000000000 +010000000000000011000011010101101100000001100000000001 +000000000000000001100000000111101010000000000001000000 +000000000000001011000000001011101001100000000000000000 +000000000000001000000111111001100000000001010000000100 +001000001100000111000011101011101100000001100000000000 +000000000000000011100000010101000001000001100100000000 +000000000000000000100010000101101100000001011000000000 +001001000000100000000111100101100001000001100100000000 +001000101101000000000000000001001110000001011000000000 +010000000110000011100110101001000001000001100100000000 +010000000000000000100100000001101100000001011000000000 +.ramt_tile 3 12 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 12 +000000000000100111100010000000000000000000000000000000 +000000000000010000100111110000000000000000000000000000 +111000000000000001100011001001000001000000100000000000 +000100000000001011000010100011101001000000000000000100 +110000000000000000000011001011100001000010100000000001 +110000000000000000000011001001101011000010010000000000 +000000000000000101000010101001100000000010100000100000 +000000000000000101000100000101001101000010010000000000 +000000000001000000000111001001100001000010100000000010 +001010100000101001000100000101001101000010010001000000 +000000000010100111100110000101000000000001000100000000 +000000000000010000100010011001100000000000000000000000 +000000000000000000000111100101000000000001000100100000 +001000000000000000000000000011100000000000000000000000 +110000001110000000000000000011000000000000000100000000 +000000000000000000000000000001000000000001000000000000 +.logic_tile 5 12 +000000001100101011100010000001000000000010000000000000 +000000000000010001000010000111100000000000000000000000 +111000101110001011100011010111101101000010000001000000 +000000001010000011100011100111101111000000000000000000 +010000000000001001100111100001011010100000000000000000 +110000000000001111000100000101011000000000000000000000 +000000000000000101000110011101100000000010100000000100 +000000000000001011100011100101101010000010010000000000 +000000000000001001100111101011011001010000000000000000 +000000000000000111100011111011111001000000000000000010 +000000000000001001000111110011000001000001100100000000 +000000000000001111000010100011101000000001011001000000 +000000000001000001000000000011100001000001100100100000 +001000000000001111100000001001101000000001011000000000 +010000000000000000000110111011100001000001100100000000 +110000000000000000000010100011001000000001011000000000 +.logic_tile 6 12 +000001000000000000000110010101101100000000000000000000 +000010000000000000000010101101101001100000000000000000 +111010100000001001100111101111101010010000000000000000 +000000000000000111010010011101111011000000000000000000 +110000000000101011100010011101101000100000000000000000 +110000000000010101100110100101011010000000000000000100 +000000000000001011000000000011100001000000100000000000 +000000000000000001100010011011101101000000000000000000 +000000000000000111100111101001101011010000000000000000 +000000000000000000000000001001001000000000000000000000 +000000000000000000000110011000000000000000000100000001 +000000000000000000000010101001000000000010000000000000 +000000000000000000000011101000000000000000000100000000 +001000000000000000000000000111000000000010000000000000 +000000000000000101100110111000000000000000000100000000 +000000000000000000100011011111000000000010000000000000 +.logic_tile 7 12 +000000000000001001100110000000000000000000000000000000 +000000000000001111000010110000000000000000000000000000 +111000000000101001100010001001000000000000000000000000 +000000000000000101000111001101101001000000010000000000 +110000000000100000000000001001000001000000010000000000 +110000000001010000000000000001001001000000000000000000 +000000001010000111100000011011100000000010000000000000 +000000000000000000000010000001000000000000000001000000 +000000000000000000000011101000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000001000000000001000000000000000000100000000 +001001000110000001000000001001000000000010000000000000 +000000000000000000000010000000000000000000000100000000 +001000000000000000000100000001000000000010000001000000 +000000000000000000000000000000000000000000000100000100 +000100000000000000000000001011000000000010000001000000 +.logic_tile 8 12 +000000000000000011100000001001101110000100010000000000 +000000000000000001000011100111101000100010000000000000 +111000000000001101100011011111001100100000000000000000 +000000000000000111100010000001101111000000000000000000 +011000000000000000000000011011111001000010000000000000 +011000001000000000000011111001011000000000000000000000 +000000000000100011100010100101111011010111110000000000 +001000001011010001100000001101101010100111110000000000 +000001001100001011100000010111100000000001000000000010 +000010100000000001000010001011000000000000000000000000 +000000000000000001100000000000000000000000000100000000 +000000000000000000000010010001000000000010000000000000 +000000000000000000000110111000000000000000000100000001 +001000001010001001000010101011000000000010000000000000 +011000000000001001100000000000000000000000000100100001 +100000000000000101000000000011000000000010000000000000 +.logic_tile 9 12 +000001000000000111010111110001100000000000000000000000 +000000000000000001000111010111100000000011000000000000 +111010100000000000000011011001000001000010100000000000 +000000000000001101000011001011001110000010010000000000 +010001000000101001100010001101001111110011000000000000 +011010100001011111000010111001001011000000000000000000 +000000000000000111000111010011011100010010000000000000 +000000000000000000000011100011111000110011000000000000 +000001000001010101100010010101011100000010000000000000 +000010100000000011100011101111011011000000000000000000 +000000000000000111000111000101011011000110000000000010 +000010000000000000100011111101001100001010000000000000 +000100000000001011100011100011011111001101010000100000 +001110000000001011100000000011101000001111110000000000 +010000000000000000000110000111100001000001010100000000 +100000000000001101000000001001101011000001100000000000 +.ramt_tile 10 12 +000001000010000000000000000000000000000000 +000000100000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +000000000110000111000111010001001100110000000000000000 +000000000000001101100010011001101000110001010010000000 +111000000110001001000110000101101101100001000001000000 +000000000000000111100000000001011001110011000000000000 +010000000000000000000010001011101111001001000000000000 +111000000000000000000110000001001110000101000000000000 +000000000000000101100000000111000000000000000000000000 +001000000000000000100000001111101011000000010001000000 +000000000000001111100000010000000000000000000100000010 +000000000000001011000011110011000000000010000001000000 +000000000000000001100010001000000000000000000100000000 +000110100001010000000011111011000000000010000010000000 +000000000000000000000011111000000000000000000100100000 +001000000000001111000111111011000000000010000000100000 +010000000000000001000000001000000000000000000100000000 +100000000000000000000000000001000000000010000010100000 +.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000001000000000000000000100000100 +011000000000000000000000001111000000000010000000100100 +000000001110000000000000000000000000000000000100000100 +000000000000000000000000000011000000000010000000000000 +000010000000000011100000010000000000000000000000000000 +000001000000000000000011100000000000000000000000000000 +000000000000000011100000000000000000000000000110000110 +000000000110000000000000000111000000000010000000000000 +000000000000001000000111100000000000000000000100100100 +001000000000001111000100001111000000000010000000000000 +010000000001000000000110101000000000000000000100000000 +100000001010000000000100000011000000000010000000000000 +.io_tile 13 12 +000000000000000010 +000011110000000000 +000001011000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 13 +000010000000000010 +000101010000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000100000001100000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 13 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +.logic_tile 2 13 +000000100000100000000000000000000000000000000100000000 +001001000001001111000000000001000000000010000000100000 +111000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000010 +010000000000000000000000000000000000000000000100000000 +001000000000001111000000000001000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000101000000000000000000000000000000000000000000000000 +001100000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.ramb_tile 3 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000100000000 +000000000000000000000000001111000000000010000000100000 +000000000000000000000000000000000000000000000100000100 +000000000000000000000010101011000000000010000000000000 +000010100000000000000000000000000000000000000100000001 +000001000000000000000000001111000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000010101011000000000010000000000100 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000001111000000000010000000000000 +.logic_tile 5 13 +000000000001011000000000000000000000000000000000000000 +001000000000010011000010000000000000000000000000000000 +111000000000000000000000011101100000000001000000000000 +000000000000000000000010111111000000000000000000000001 +010000000000001000000111001101100000000010100000000100 +111000000000000011000100001101001100000010010000000000 +000000000000010001100110000101100000000000000000000000 +000000000000001011000010000011000000000001000000100000 +000010000000001101100110010011101111000100000000000000 +000000000000001011000110010111111001001100000000000100 +000000000000000111100111111011100000000011000000000100 +000000000100000000100011101101100000000010000000000000 +000000000001000001100000010011100000000001100100100000 +001000000000100000100010101011001000000001011000000000 +010000000000000000000000000001000000000001100100000000 +110000000000000000000010111011101010000001011000000000 +.logic_tile 6 13 +000001000100000101100000011101000000000000010000000000 +000010000000001001100011100111001000000000000000000100 +111001000001010011100000001111000000000000000000000000 +000000100000000000100000000011000000000001000000000100 +110000000000000101000000010011011001010000000000000000 +111000000000000101000010001001001011000000000000000000 +000000000000000000000010001101000000000010000000000000 +000000100000000000000100001111001011000011000000000000 +000001001010000001000000000011100000000000000010000000 +000000100000000000100000000111000000000001000000000100 +000001000000000001100000000000000000000000000100000000 +000000001100010000000000000001000000000010000000000000 +000100000010000101100010010000000000000000000000000000 +001100000000000000100111110000000000000000000000000000 +000000000000100101100000010000000000000000000100000000 +000000000001010000000010101111000000000010000000000000 +.logic_tile 7 13 +000100000000001001100111111111000000000000000000000000 +000100000000000011000111110001101011000000010000000001 +111000100000001000000000000111100001000000000000000000 +000010000000000111000010101111101010000000010000000000 +110000000000000000000110000101100001000000100000000000 +111000000000000000000010100111001011000000000000000000 +000000000000001001100010101001000000000001000000000000 +000000000000000111000000001011100000000000000000000000 +000000000000000000000000000111101010100000000001000000 +000000000000000000000010011011111011000000000000000000 +000000000000000000000111001001000000000001000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000011100011101001000001000000010000000000 +001000000000000000100011010101001001000000000000000000 +000000000000000000000111000000000000000000000100000000 +000000000000000000000011011001000000000010000010000010 +.logic_tile 8 13 +000001000000001000000000001000000000000010000000000000 +000000100000000011000000001111000000000000000000100001 +111000000000000101000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +010000000000000000000010111001111100001001000000000000 +101000000000000000000011000001001000000101000000000010 +000000000000000000000000000101000000000000000000000100 +001000000000000000000000001011100000000001000000000000 +000000100000000111000110100101001110001100110000000010 +000000000000001111000000000000101100110011000000100011 +000000000000000001000000000000000000000000000100000100 +000000000000000000100000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000001111000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +100000000110000000000100000000000000000000000000000000 +.logic_tile 9 13 +000000000000000000000000001101011001010111110000000000 +000000000000000111000010001011101110100111110000000000 +111000000000001111100010100001011111001001000000000000 +000000000000100101000000001011001000000101000000000000 +010000000000001001100011110001100001000010100000000000 +110000000000000001000011111001101000000010010000000000 +000000000000000000010000001111011111001001000000000000 +000000000000000011000000000101001000000101000000000000 +000000000000100000000010000101000000000000000000000000 +000000000001000000000100000101000000000001000000000000 +000010100000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000111000000000000000000000000000100000000 +001000000110000000100000000000000000000010000000000001 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.ramb_tile 10 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000000000000000101000000000000000000000000 +000000000000000000000010000101100000000001000000000000 +111000000100000001100110011101001101001001000000000000 +010000000000000000000011001001101100000101000000000000 +010000000000000001100111011011011100010111110000000000 +010000000000000000000010001101101100100111110000000000 +000000000000000000000110001001101101001001000000000000 +000000000000000000000100000001101100000101000000000010 +000000000000001000000010100000000000000000000100100000 +000000000000000111000000000000000000000010000000000000 +000000000000000111100000000000000000000000000100000000 +001000000000000000000000000000000000000010000000000000 +000000000001010000000000000000000000000000000100000000 +001000000000000000000000000000000000000010000000100000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000000 +.logic_tile 12 13 +010010100000000000000000010000000000000000000000000000 +000001000000000000000011010000000000000000000000000000 +111000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +011000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +001000000000000000000000000111000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001001100000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000011000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000000111000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000000000000100010 +000000000000010000 +001100000000000000 +000000000000000000 +000000011000000000 +000100001000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.logic_tile 1 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000001111000000000010000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000000111100000001001100001000011000000000001 +000000000000001001100011001001101011000001000000000000 +111000000000000000000110000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +010000000000001000000010000001001010101100000001000001 +110000000000001101000100001001001000111100000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +.ramt_tile 3 14 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000001000000001011100110001111100000000000000000000100 +000000100000000011100000001101100000000001000000000010 +111000001010001000000110010011100001000000100000000000 +000000000000001101000011110001101001000001000000000000 +010000000000001001100110001001000000000001000001000000 +000000000000000011000011111001100000000000000000100010 +000000000000000000000110010001000000000000000001000000 +000000000000000000000011111101000000000001000000000000 +001000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000011101000000000000010000000000 +000000000000000000000010101101001010000000000000000001 +000010001100001000000011100101100001000000000000000000 +000001000000000101000000001101101000000000010000000101 +010000000000000000000000010111000000000011000110000000 +100000000000000000000010100111100000000010000000000000 +.logic_tile 5 14 +000000000000001001100110010001001010110011110000000000 +000000000000000001000110011111111011010010100000000000 +111000000000001001100111100101111011101101000000000000 +000000000000001001000100001001101011101110000000000000 +010000000000000001100110011001011010000100000000000000 +000000000000000000000010010111001000100000000000000001 +000000000010001011100111111111001101100001010000000000 +000000000000001001100110001001101111110011110000000000 +000000000000001000000000001111000000000000100000000000 +000000000000000011000000001101001001000000000000000000 +000000000000001000000110000011100001000000010000000000 +000000000000000001000000001001001101000000110000000000 +000000000000101000000111100101100000000010000100000000 +000000000001000011000100000101000000000011000000100000 +010000000000000001100000011001100000000001000100000000 +100000000000000000000010100001000000000000000000000000 +.logic_tile 6 14 +000010100000000000000110010001000001000011000001000000 +000000000000000000000010000101101110000001000000000000 +111000000000000011100110001101101111010000000001000000 +000000000000000101100100000001011011000000000000000000 +010010100000000001100000001101000000000010000000000000 +010000000000011011000010010111000000000000000000000000 +000000000000001111100010101101100000000000000000000000 +000000000000000001100010010011101011000000010010000000 +000000000000000000000000001101100000000010000000000000 +000000000000000000000000000001100000000011000000000000 +001000000000000001000010101111100001000010000000000000 +000000000110001101100010110101101001000000010000000010 +000100000000000000000110001011101111000100000000000000 +000100000000000000000110001011111100000000000000000000 +011000000000000001110111000111000000000011000100100000 +100000000000000000000100001001100000000010000000000000 +.logic_tile 7 14 +000000000000000111000000000101011110101100000000000000 +000000000000000000000010011111001011001100000000000001 +111000000001000101100000010101000001000000000000000000 +000000000000000000000010100001001000000000010000000000 +010000000001011101010111001001000000000000010000000000 +010000000000101111000100001001001011000000110000000000 +000000000000001011000010111111000001000000100000000001 +000000000000000101100010101101101000000000000000000000 +000011101010000001100111101011101101000010110000000000 +000001000000000000010000001011001011000011010000000000 +000000000000000111100000011111000001000000100000000010 +000000000000000000000011000001101110000000110000000000 +000000000000000000000011001011000001000011000000000000 +000000000001000000000000000101101101000011010000000000 +000000000000001000000000001000000000000000000110000000 +000000001100000101000000000101000000000010000000000000 +.logic_tile 8 14 +000000000000000000000111110101100000000001000001000000 +000000000000000000000111111011000000000000000000000000 +111000000000100001100110100011000001000000000000000100 +000000000000001111000011001101101011000000010000000010 +010001001110000000000110110001000001000010000000000000 +010010100010000000000010101101101001000011000000000000 +000000000000101000000000010000000000000000000000000000 +000010100000000101000010100000000000000000000000000000 +000000000000000000000000011001111011001100000000000000 +000000000010000000000010001011011001101100000000000000 +000000000000000000000000001111111001000100000000000000 +000000000000000000000011111001101111000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000100000000000000001000000000010000000000000 +001000000000000000000111110000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +.logic_tile 9 14 +000000000000010000000000000000000000000000000000000000 +000000000000100000000011000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010100000000000000000011010000000000000000000000000000 +110100000000000000000011110000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000111100000000000000000000000000000 +000000100000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101000000000011000000000001 +000000000000000000000000001001100000000000000000000000 +000000000000000000010000000000000000000000000100100000 +000000000000000000000000001011000000000010000000000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +111000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000001000000000000000000111000000 +000000000000001101000000001001000000000010000000100111 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000001000000000000000000100000010 +000000000000000000000000000101000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000011100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010010000000000000000000000000000000000000000000000000 +100001000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100100000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110101000000000000000000100100100 +000000000000000000000100001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000010000000000000000000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000000000000000000000000000000000000000010000001000011 +000000000000000000000000000000000000000000000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 15 +000000000000001001100010100001111001001010000001000000 +000000000000001101000110111101011000001001000000000000 +111000000000001011100011001001000000000010000000000000 +000000000000001101000100001101101001000000000000000000 +110000000000000000000011001101000000000010000000000000 +110000000000000101000100001011000000000011000000000000 +000000000000000111000000011101100000000000000001000000 +000000000000001101000010001011001000000000110000000000 +000000000000000011100110000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000001010000000011000000000000000000000000000000 +000000000000100000000010000000000000000000000000000000 +000000000000000000000010000101101100001100110000000000 +000000000000000000000000000000000000110011000000000000 +000000000000000000000000000001000000000010000100000001 +000000000000000000000000001011001010000011000010000000 +.ramb_tile 3 15 +000001000000100000000000000000000000000000 +000000110001010000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 15 +000000000000000000000011001101111000000100000000000000 +000000000000000000000010010111001010000000000000000001 +111000000000000001000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +010000000000000111100110100000000000000000000000000000 +010000000000000011100111010000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +000000000000001101000011010000000000000000000000000000 +000000000000000000000000001101101010100100000000000000 +000000000000000000000000000101101011010100000000000000 +000000000000001000000000010011100000000000000010000000 +000000000000000001000011101101100000000001000000000000 +000000000000000000000000001001011111000111110100000000 +000000000000000000000000000001111100000011110000000100 +000000000000000001100000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +.logic_tile 5 15 +000000000000000111100000001011100000000011110000000000 +000000000000000000100000001101101010000011100000000001 +111000000000000000000000010000000000000000000000000000 +000100000000001101000011000000000000000000000000000000 +110000000000000011100010101001011100010010000000000000 +010000000000000000000110111101011010000000000000000001 +000000000000000000000010100101000000000001000100000000 +000000000000001011000000001011100000000000000000000010 +000000000001000000000110001101100000000000110100000001 +000000000000000000000111111001001111000000000010000001 +000000000000000000000110000001100000000001000100000000 +000100000000000000000100001011000000000000000000000000 +000000000000000000000110000101000000000001000100000001 +000000000001010000000100001111100000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 6 15 +000000000000001011100011001000000000000010000000000000 +000010000000001011010100000101000000000000000000000000 +111000000001000000000111011000000000000010000000000000 +000000000000001101000010101001000000000000000000000000 +010000000001000101100111010000001000001100110001000000 +110000000000100000100111010000011010110011000000000000 +000000000000001111100000011001100000000000000000000000 +000000000000000101100010001001000000000001000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001111111001111111110000000000 +000000000000000000000000000101101011011111110000000001 +000000000000000000000000000001011001001000010000000000 +000000000000000000000000000001011001000100010000000000 +000000000000000000000110100000000000000000000100000000 +000000000000000000000000001101000000000010000000000010 +.logic_tile 7 15 +000000000000010001000000010101000000000000001000000000 +000000000000100000100011000000100000000000000000001000 +111000000000000111100000010000000000000000001000000000 +000100000000000000000010000000001100000000000000000000 +010000000000000000000000010000000001000000001000000000 +010000000000000000000010110000001110000000000000000000 +001000000000000000000000000000000001000000001000000000 +000000000000001011000000000000001110000000000000000000 +000000000000000101100000000000001000111100000000100000 +000000000000000000000000000000000000111100000000000000 +000000000000000000000011101000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000000001011010001100110000000000 +000000000000000000000000000000000000110011000000000000 +010000000000001101100000001001100000000001000100000010 +100000000000000101000000001011100000000000000000000000 +.logic_tile 8 15 +000000000000001001100000000011111000001100110001000000 +000000000000000001000010000000110000110011000000000000 +111000000000000000000011011001100000000000000000000000 +000000000000000000000110001011100000000011000000000000 +010000000000001001100010100101100000000000000001000000 +010000000000000001000110110111000000000001000000000000 +000000001110000101000000000001000000000000000000000000 +000000000000001101100000000001000000000011000001100000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000110000000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000011100000000000000000000010000100000000 +000000000000000000000000000101000000000000000000000000 +010000000000000000000000000000000000000000000100000000 +110000000000000000000000000101000000000010001000000000 +.logic_tile 9 15 +000000000000000101100000000101100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +110000000000000000000000000000001001001100110001000000 +110000001000000000000000000000001010110011000000000000 +000000000000000101100000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000010 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +.ramb_tile 10 15 +000010100001000000000000000000000000000000 +000001010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000011001000000000000010000001000000 +000000000000000000000000001001000000000000000000000000 +110000000000000000000000000000000000000000000110000000 +010000000000000000000000000000000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000000000110000101100000000000001000000000 +000000000000000000000010100000000000000000000000001000 +111000000000000000000110000011100000000000001000000000 +000000000000000000000010100000101110000000000000000000 +010000001100000000000000010001101000001100111000000000 +010000000000000000000010000000101100110011000000000000 +000000000000001001100010100101101000001100110000000000 +000000000000000001000000000000001110110011000000000000 +000000000000001000000000001011100000000011000100000000 +000000000000001001000000001001000000000010000000100000 +000000000000000111000000010111100000000011000100000000 +000000000000000000000010001001000000000010000000000000 +000000000000001000000000001101100001000000110100000010 +000000000000001001000000001011001011000000000000000000 +010000000000000111000000000111100000000011000100000000 +100000000000000000000000001101000000000010000000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 16 +000000000000001011100000000011100000000000001000000000 +000000000000001111000011100000100000000000000000001000 +000000000000000000010111000011000000000000001000000000 +000000000000000000000100000000001100000000000000000000 +000000000000000001000000000001001000001100111000000000 +000000000000000001000000000000001110110011000000000000 +000000000000000000000000000101001000001100111000000000 +000000000000000000000000000000001100110011000000000000 +000000000000000111100000000001101000001100111000000000 +000000000000001111100000000000101110110011000000000000 +000000000000000000000010000001001000001100111000000000 +000000000000000000000100000000101100110011000000000000 +000000000000000111100000000101001000001100111000000000 +000000000000000000100000000000001110110011000000000000 +000000000000000000000000000001001000001100110000000000 +000000000000000000000000000000001100110011000000000000 +.logic_tile 6 16 +000000000000000001000000001000000000000010000000000000 +000000000000000000100010001101000000000000000000000000 +111000000000000001100110111000000000000010000000000000 +000000000000000000000010100011000000000000000000000000 +010000000000000000000000001000000000000010000000000000 +010000000000000000000000001011000000000000000000000000 +000000000000000101100110011000000000000010000000000000 +000000000000000000000010000001000000000000000000000000 +000000000110000000000000001001111011000010000000000000 +000000000000000000000010010111011011000000000000000000 +000000000000000001100000010101100000000001000100000000 +000000000000000000100010000001000000000000000000000000 +000000000000000000000000000001100000000001000100000000 +000000000000000000000000001011100000000000000000000000 +010000000000000001100000000001100000000001000100000000 +100000000000000000100000000001100000000000000000000000 +.logic_tile 7 16 +000000000000000101100000010111000000000000001000000000 +000000000000000000000010100000000000000000000000001000 +000000000000000101000000000000000001000000001000000000 +000010000000000000000000000000001000000000000000000000 +000000000000001000000110100000000000000000001000000000 +000000000000000101000000000000001110000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000001001100000000000000000000000001000000000 +000000000000001001100000000000001001000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000001 +.logic_tile 8 16 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000001000000010100001100001000000001000000000 +000000000000001011000010100000101101000000000000000000 +110000000000000000000110010011001001001100111000000000 +110000000000000000000010000000101111110011000000000000 +000000000000000001100010100001101001001100110000000000 +000000000000000000000010100000001101110011000000000000 +000000000000000000000010001101111111000100000000000000 +000000000000000000000000001101111101000000000000000000 +000000000000000000000011111000000000000010000000000000 +000000000000000000000111111111000000000000000000000000 +000000000000000000000010000000000000000000000100000000 +000000000000000000000000001101000000000010001000100000 +010000000000000000000011100000000000000000000100000000 +110000000000000000000110011101000000000010000001000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000001000000000000000000000000010000000000000 +000000000000001111000000000001000000000000000010000000 +111000000000001000000000010000000000000000000000000000 +000000000000000111000011010000000000000000000000000000 +110000000000000000000000001000000000000000000100000000 +010000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000111000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000100000000000001000000000000010000000000000 +000000000001110000000011111101000000000000000000000000 +111000000000000001000111000000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +010000000000001000000000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 +010000000000000111100111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000000001001100000000001000000000000 +110000000000000000000000000001000000000000000000100000 +000000000010000000000000001000000000000000000100000100 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000100000000 +110000000000000000000000000101000000000010000001000000 +.io_tile 13 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000001000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 17 +000000111000000000 +000000001000000000 +000000000000000000 +000000000001100000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000001011000000100 +000000001000000100 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 17 +000010000000000010 +000111110000000000 +000000000000000000 +000000000000000001 +000001010010000001 +000000001001000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 10 17 +000000000000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000000000001000001 +000000000011000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000011000010 +000001010001000000 +000001010000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 11 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 17 +000000000000000000 +000000000000000000 +000000000000100000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.sym 1 $abc$32112$n29$2 +.sym 2 $abc$32112$n435$2 +.sym 3 $0\KBD_FREEZE[0:0]$2 +.sym 4 $abc$32112$n720$2 +.sym 5 $abc$32112$n992$2 +.sym 6 $abc$32112$n839$2 +.sym 7 CLK$2$2 +.sym 8 $abc$32112$n693$2 +.sym 50 I2C.received_byte[2] +.sym 184 I2C.received_byte[1] +.sym 297 $abc$32112$n2168 +.sym 298 I2C.received_byte[0] +.sym 405 $abc$32112$n532 +.sym 406 $abc$32112$n518 +.sym 408 $abc$32112$n562 +.sym 411 $abc$32112$n525 +.sym 412 I2C.received_byte[6] +.sym 521 $abc$32112$n1330 +.sym 523 $abc$32112$n1327 +.sym 524 $abc$32112$n1518 +.sym 526 I2C.FLT_SDA.out +.sym 633 $abc$32112$n859 +.sym 635 $abc$32112$n848_1 +.sym 636 $abc$32112$n555 +.sym 637 $abc$32112$n858 +.sym 639 I2C.received_byte[5] +.sym 746 $abc$32112$n1520 +.sym 747 $abc$32112$n847 +.sym 748 $abc$32112$n1519 +.sym 749 $abc$32112$n1331 +.sym 750 I2C.FLT_SCL.counter[2] +.sym 751 I2C.FLT_SCL.counter[0] +.sym 753 I2C.FLT_SCL.counter[1] +.sym 830 CLK$2 +.sym 836 CLK$2 +.sym 944 $abc$32112$n720 +.sym 975 $abc$32112$n650 +.sym 977 I2C_INPUT_DATA[1][2] +.sym 979 I2C_INPUT_DATA[1][1] +.sym 980 I2C_INPUT_DATA[1][7] +.sym 981 I2C_INPUT_DATA[1][4] +.sym 1088 $abc$32112$n651_1 +.sym 1089 $abc$32112$n649 +.sym 1090 I2C_INPUT_DATA[1][0] +.sym 1092 I2C_INPUT_DATA[1][6] +.sym 1093 I2C_INPUT_DATA[1][3] +.sym 1094 I2C_INPUT_DATA[1][5] +.sym 1202 $abc$32112$n973_1 +.sym 1203 $abc$32112$n648 +.sym 1205 I2C_INPUT_DATA[0][3] +.sym 1206 I2C_INPUT_DATA[0][2] +.sym 1208 I2C_INPUT_DATA[0][1] +.sym 1289 COM_TX$2 +.sym 1323 COM_TX$2 +.sym 1403 I2C.is_read +.sym 1431 COM_DSR$2 +.sym 1432 I2C_HID_DESC.last_rd_request +.sym 1517 COM_DSR$2 +.sym 1522 COM_DCD$2 +.sym 1544 $true$2 +.sym 1880 $abc$32112$n1732 +.sym 1881 $abc$32112$n1734 +.sym 1882 $abc$32112$n1736 +.sym 1883 I2C.FLT_SCL.RESET +.sym 1884 rststate[1] +.sym 1885 rststate[3] +.sym 1886 rststate[2] +.sym 2066 $abc$32112$n1730 +.sym 2067 I2C_INPUT_DATA[0][7] +.sym 2068 I2C_INPUT_DATA[0][4] +.sym 2203 I2C.FLT_SDA.out +.sym 2204 $false +.sym 2205 $false +.sym 2206 $false +.sym 2231 $abc$32112$n532 +.sym 2232 CLK$2$2 +.sym 2233 $false +.sym 2362 I2C.FLT_SDA.out +.sym 2363 $false +.sym 2364 $false +.sym 2365 $false +.sym 2366 $abc$32112$n525 +.sym 2367 CLK$2$2 +.sym 2368 $false +.sym 2369 $abc$32112$n2175 +.sym 2370 $abc$32112$n994 +.sym 2372 $abc$32112$n1011 +.sym 2373 $abc$32112$n652 +.sym 2375 $abc$32112$n1018 +.sym 2376 I2C.i2c_bit_counter[3] +.sym 2417 $true +.sym 2454 $abc$32112$n994$2 +.sym 2455 $false +.sym 2456 $abc$32112$n994 +.sym 2457 $false +.sym 2458 $false +.sym 2460 $auto$alumacc.cc:470:replace_alu$4584.C[4] +.sym 2462 $true$2 +.sym 2463 $abc$32112$n1009 +.sym 2466 $auto$alumacc.cc:470:replace_alu$4584.C[5] +.sym 2468 $false +.sym 2469 $abc$32112$n1018 +.sym 2472 $auto$alumacc.cc:470:replace_alu$4584.C[6] +.sym 2474 $false +.sym 2475 $abc$32112$n1017 +.sym 2478 $auto$alumacc.cc:470:replace_alu$4584.C[7] +.sym 2480 $false +.sym 2481 $abc$32112$n1015 +.sym 2484 $abc$32112$n2168$2 +.sym 2486 $false +.sym 2487 $abc$32112$n1014 +.sym 2494 $abc$32112$n2168$2 +.sym 2497 I2C.FLT_SDA.out +.sym 2498 $false +.sym 2499 $false +.sym 2500 $false +.sym 2501 $abc$32112$n518 +.sym 2502 CLK$2$2 +.sym 2503 $false +.sym 2590 $abc$32112$n685 +.sym 2591 $abc$32112$n696 +.sym 2592 $false +.sym 2593 $false +.sym 2596 $abc$32112$n685 +.sym 2597 $abc$32112$n692 +.sym 2598 $false +.sym 2599 $false +.sym 2608 $abc$32112$n699 +.sym 2609 $abc$32112$n696 +.sym 2610 $false +.sym 2611 $false +.sym 2626 $abc$32112$n685 +.sym 2627 $abc$32112$n693_1 +.sym 2628 $abc$32112$n1051 +.sym 2629 $false +.sym 2632 I2C.FLT_SDA.out +.sym 2633 $false +.sym 2634 $false +.sym 2635 $false +.sym 2636 $abc$32112$n562 +.sym 2637 CLK$2$2 +.sym 2638 $false +.sym 2639 $abc$32112$n1180 +.sym 2640 $abc$32112$n849 +.sym 2641 $abc$32112$n842 +.sym 2642 $abc$32112$n1517 +.sym 2643 $abc$32112$n848 +.sym 2644 I2C.FLT_SDA.counter[2] +.sym 2645 I2C.FLT_SDA.counter[1] +.sym 2646 I2C.FLT_SDA.counter[0] +.sym 2687 $true +.sym 2724 I2C.FLT_SDA.counter[0]$2 +.sym 2725 $false +.sym 2726 I2C.FLT_SDA.counter[0] +.sym 2727 $false +.sym 2728 $false +.sym 2730 $auto$alumacc.cc:470:replace_alu$4622.C[2] +.sym 2732 I2C.FLT_SDA.counter[1] +.sym 2733 $true$2 +.sym 2737 $false +.sym 2738 I2C.FLT_SDA.counter[2] +.sym 2739 $true$2 +.sym 2740 $auto$alumacc.cc:470:replace_alu$4622.C[2] +.sym 2749 $false +.sym 2750 I2C.FLT_SDA.counter[0] +.sym 2751 $false +.sym 2752 $true$2 +.sym 2755 I2C.FLT_SDA.counter[2] +.sym 2756 I2C.FLT_SDA.counter[0] +.sym 2757 I2C.FLT_SDA.counter[1] +.sym 2758 $abc$32112$n1330 +.sym 2767 I2C.SDAF +.sym 2768 $false +.sym 2769 $false +.sym 2770 $false +.sym 2771 $abc$32112$n848 +.sym 2772 CLK$2$2 +.sym 2773 $0\KBD_FREEZE[0:0]$2 +.sym 2776 $abc$32112$n1182 +.sym 2777 $abc$32112$n577 +.sym 2778 $abc$32112$n539 +.sym 2780 $abc$32112$n692 +.sym 2781 I2C.received_byte[7] +.sym 2860 I2C.FLT_SCL.out +.sym 2861 I2C.SCLF +.sym 2862 $abc$32112$n847 +.sym 2863 $false +.sym 2872 $abc$32112$n1520 +.sym 2873 $abc$32112$n1334 +.sym 2874 I2C.FLT_SCL.out +.sym 2875 I2C.SCLF +.sym 2878 $abc$32112$n699 +.sym 2879 $abc$32112$n693_1 +.sym 2880 $abc$32112$n1051 +.sym 2881 $false +.sym 2884 $abc$32112$n1519 +.sym 2885 $abc$32112$n848_1 +.sym 2886 $false +.sym 2887 $false +.sym 2896 I2C.FLT_SDA.out +.sym 2897 $false +.sym 2898 $false +.sym 2899 $false +.sym 2906 $abc$32112$n555 +.sym 2907 CLK$2$2 +.sym 2908 $false +.sym 2911 $abc$32112$n1334 +.sym 2912 $abc$32112$n696 +.sym 2913 $abc$32112$n720_1 +.sym 2915 $abc$32112$n1102 +.sym 2916 I2C_INPUT_DATA[0][5] +.sym 2995 I2C.FLT_SCL.counter[0] +.sym 2996 I2C.FLT_SCL.counter[1] +.sym 2997 $false +.sym 2998 $false +.sym 3001 I2C.FLT_SCL.counter[0] +.sym 3002 I2C.FLT_SCL.counter[1] +.sym 3003 I2C.FLT_SCL.counter[2] +.sym 3004 $false +.sym 3007 $abc$32112$n847 +.sym 3008 $abc$32112$n1331 +.sym 3009 $false +.sym 3010 $false +.sym 3013 $false +.sym 3014 I2C.FLT_SCL.counter[0] +.sym 3015 $false +.sym 3016 $true$2 +.sym 3019 $abc$32112$n847 +.sym 3020 $abc$32112$n1334 +.sym 3021 $false +.sym 3022 $false +.sym 3025 $abc$32112$n1519 +.sym 3026 $false +.sym 3027 $false +.sym 3028 $false +.sym 3037 $abc$32112$n1520 +.sym 3038 $false +.sym 3039 $false +.sym 3040 $false +.sym 3041 $abc$32112$n859 +.sym 3042 CLK$2$2 +.sym 3043 $0\KBD_FREEZE[0:0]$2 +.sym 3045 $abc$32112$n1313_1 +.sym 3046 $abc$32112$n1087 +.sym 3048 $abc$32112$n1314_1 +.sym 3050 I2C_INPUT_DATA[0][0] +.sym 3180 $abc$32112$n1020 +.sym 3181 $abc$32112$n919 +.sym 3183 $abc$32112$n995 +.sym 3184 I2C_TX_REPORT[1] +.sym 3185 I2C_TX_REPORT[6] +.sym 3186 I2C_TX_REPORT[5] +.sym 3271 I2C_INPUT_DATA[1][1] +.sym 3272 I2C_INPUT_DATA[1][2] +.sym 3273 I2C_INPUT_DATA[1][4] +.sym 3274 I2C_INPUT_DATA[1][7] +.sym 3283 I2C.received_byte[2] +.sym 3284 $false +.sym 3285 $false +.sym 3286 $false +.sym 3295 I2C.received_byte[1] +.sym 3296 $false +.sym 3297 $false +.sym 3298 $false +.sym 3301 I2C.received_byte[7] +.sym 3302 $false +.sym 3303 $false +.sym 3304 $false +.sym 3307 I2C.received_byte[4] +.sym 3308 $false +.sym 3309 $false +.sym 3310 $false +.sym 3311 $abc$32112$n2244 +.sym 3312 CLK$2$2 +.sym 3313 $false +.sym 3314 $abc$32112$n997 +.sym 3315 $abc$32112$n991_1 +.sym 3317 $abc$32112$n403 +.sym 3318 I2C_INPUT_DATA[2][6] +.sym 3319 I2C_INPUT_DATA[2][5] +.sym 3320 I2C_INPUT_DATA[2][1] +.sym 3321 I2C_INPUT_DATA[2][3] +.sym 3400 I2C_INPUT_DATA[1][0] +.sym 3401 I2C_INPUT_DATA[1][3] +.sym 3402 I2C_INPUT_DATA[1][5] +.sym 3403 I2C_INPUT_DATA[1][6] +.sym 3406 $abc$32112$n650 +.sym 3407 $abc$32112$n651_1 +.sym 3408 $false +.sym 3409 $false +.sym 3412 I2C.received_byte[0] +.sym 3413 $false +.sym 3414 $false +.sym 3415 $false +.sym 3424 I2C.received_byte[6] +.sym 3425 $false +.sym 3426 $false +.sym 3427 $false +.sym 3430 I2C.received_byte[3] +.sym 3431 $false +.sym 3432 $false +.sym 3433 $false +.sym 3436 I2C.received_byte[5] +.sym 3437 $false +.sym 3438 $false +.sym 3439 $false +.sym 3446 $abc$32112$n2244 +.sym 3447 CLK$2$2 +.sym 3448 $false +.sym 3449 $abc$32112$n672 +.sym 3450 $abc$32112$n974_1 +.sym 3451 $abc$32112$n539_1 +.sym 3452 $abc$32112$n647 +.sym 3453 $abc$32112$n537 +.sym 3454 UART_TX_DATA[5] +.sym 3455 UART_TX_DATA[4] +.sym 3456 UART_TX_DATA[6] +.sym 3535 $abc$32112$n649 +.sym 3536 $abc$32112$n652 +.sym 3537 I2C_INPUT_DATA[0][1] +.sym 3538 $false +.sym 3541 I2C_INPUT_DATA[0][1] +.sym 3542 $abc$32112$n652 +.sym 3543 $abc$32112$n649 +.sym 3544 $false +.sym 3553 I2C.received_byte[3] +.sym 3554 $false +.sym 3555 $false +.sym 3556 $false +.sym 3559 I2C.received_byte[2] +.sym 3560 $false +.sym 3561 $false +.sym 3562 $false +.sym 3571 I2C.received_byte[1] +.sym 3572 $false +.sym 3573 $false +.sym 3574 $false +.sym 3581 $abc$32112$n2246 +.sym 3582 CLK$2$2 +.sym 3583 $false +.sym 3584 I2C_OUT_DESC_MASK[3] +.sym 3585 I2C_OUT_DESC_MASK[5] +.sym 3586 I2C_OUT_DESC_MASK[6] +.sym 3712 $abc$32112$n12 +.sym 3713 $false +.sym 3714 $false +.sym 3715 $false +.sym 3719 $abc$32112$n705 +.sym 3721 $abc$32112$n424 +.sym 3726 UART.TX_sig_last +.sym 3811 $abc$32112$n10 +.sym 3812 $false +.sym 3813 $false +.sym 3814 $false +.sym 3817 I2C.wr +.sym 3818 $false +.sym 3819 $false +.sym 3820 $false +.sym 3851 $true +.sym 3852 CLK$2$2 +.sym 3853 $0\KBD_FREEZE[0:0]$2 +.sym 3854 $abc$32112$n533 +.sym 3855 $abc$32112$n709 +.sym 3856 $abc$32112$n592 +.sym 3857 $abc$32112$n708 +.sym 3860 $abc$32112$n1404 +.sym 3861 UART.tx_activity +.sym 3940 $false +.sym 3941 $false +.sym 3942 $false +.sym 3943 $false +.sym 3991 $abc$32112$n1407 +.sym 3992 $abc$32112$n1408 +.sym 3993 UART.tx_clk_counter[0] +.sym 3994 UART.tx_clk_counter[3] +.sym 3995 UART.tx_clk_counter[1] +.sym 3996 UART.tx_clk_counter[2] +.sym 4274 $false +.sym 4311 $auto$alumacc.cc:470:replace_alu$4596.C[1] +.sym 4313 $0\KBD_FREEZE[0:0]$2 +.sym 4314 rststate[0] +.sym 4317 $auto$alumacc.cc:470:replace_alu$4596.C[2] +.sym 4318 $false +.sym 4319 $false +.sym 4320 rststate[1] +.sym 4321 $auto$alumacc.cc:470:replace_alu$4596.C[1] +.sym 4323 $auto$alumacc.cc:470:replace_alu$4596.C[3] +.sym 4324 $false +.sym 4325 $false +.sym 4326 rststate[2] +.sym 4327 $auto$alumacc.cc:470:replace_alu$4596.C[2] +.sym 4330 $false +.sym 4331 $false +.sym 4332 rststate[3] +.sym 4333 $auto$alumacc.cc:470:replace_alu$4596.C[3] +.sym 4336 rststate[3] +.sym 4337 rststate[2] +.sym 4338 rststate[1] +.sym 4339 rststate[0] +.sym 4342 $abc$32112$n1012 +.sym 4343 $abc$32112$n1732 +.sym 4344 $false +.sym 4345 $false +.sym 4348 $abc$32112$n1012 +.sym 4349 $abc$32112$n1736 +.sym 4350 $false +.sym 4351 $false +.sym 4354 $abc$32112$n1012 +.sym 4355 $abc$32112$n1734 +.sym 4356 $false +.sym 4357 $false +.sym 4358 $true +.sym 4359 CLK$2$2 +.sym 4360 $false +.sym 4493 $false +.sym 4494 $0\KBD_FREEZE[0:0]$2 +.sym 4495 rststate[0] +.sym 4496 $false +.sym 4499 I2C.received_byte[7] +.sym 4500 $false +.sym 4501 $false +.sym 4502 $false +.sym 4505 I2C.received_byte[4] +.sym 4506 $false +.sym 4507 $false +.sym 4508 $false +.sym 4521 $abc$32112$n2246 +.sym 4522 CLK$2$2 +.sym 4523 $false +.sym 4560 $true +.sym 4597 $abc$32112$n1011$2 +.sym 4598 $false +.sym 4599 $abc$32112$n1011 +.sym 4600 $false +.sym 4601 $false +.sym 4603 $auto$alumacc.cc:470:replace_alu$4562.C[2] +.sym 4605 $true$2 +.sym 4606 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.sym 4609 $auto$alumacc.cc:470:replace_alu$4562.C[3] +.sym 4611 $false +.sym 4612 $abc$32112$n994 +.sym 4615 $auto$alumacc.cc:470:replace_alu$4562.C[4] +.sym 4617 $false +.sym 4618 $abc$32112$n1009 +.sym 4621 $auto$alumacc.cc:470:replace_alu$4562.C[5] +.sym 4623 $false +.sym 4624 $abc$32112$n1018 +.sym 4627 $auto$alumacc.cc:470:replace_alu$4562.C[6] +.sym 4629 $false +.sym 4630 $abc$32112$n1017 +.sym 4633 $auto$alumacc.cc:470:replace_alu$4562.C[7] +.sym 4635 $false +.sym 4636 $abc$32112$n1015 +.sym 4639 $abc$32112$n2175$2 +.sym 4641 $false +.sym 4642 $abc$32112$n1014 +.sym 4724 $abc$32112$n2175$2 +.sym 4727 I2C.byte_counter[2] +.sym 4728 $false +.sym 4729 $false +.sym 4730 $false +.sym 4739 I2C.byte_counter[0] +.sym 4740 $false +.sym 4741 $false +.sym 4742 $false +.sym 4745 I2C_INPUT_DATA[0][4] +.sym 4746 I2C_INPUT_DATA[0][5] +.sym 4747 I2C_INPUT_DATA[0][6] +.sym 4748 I2C_INPUT_DATA[0][7] +.sym 4757 I2C.byte_counter[4] +.sym 4758 $false +.sym 4759 $false +.sym 4760 $false +.sym 4763 $abc$32112$n1318 +.sym 4764 $abc$32112$n1320_1 +.sym 4765 $abc$32112$n506 +.sym 4766 $false +.sym 4767 $true +.sym 4768 CLK$2$2 +.sym 4769 $false +.sym 4806 $true +.sym 4843 $abc$32112$n1011$3 +.sym 4844 $false +.sym 4845 $abc$32112$n1011 +.sym 4846 $false +.sym 4847 $false +.sym 4849 $auto$alumacc.cc:470:replace_alu$4573.C[2] +.sym 4851 $false +.sym 4852 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.sym 4855 $auto$alumacc.cc:470:replace_alu$4573.C[3] +.sym 4857 $true$2 +.sym 4858 $abc$32112$n994 +.sym 4861 $auto$alumacc.cc:470:replace_alu$4573.C[4] +.sym 4863 $true$2 +.sym 4864 $abc$32112$n1009 +.sym 4867 $auto$alumacc.cc:470:replace_alu$4573.C[5] +.sym 4869 $false +.sym 4870 $abc$32112$n1018 +.sym 4873 $auto$alumacc.cc:470:replace_alu$4573.C[6] +.sym 4875 $false +.sym 4876 $abc$32112$n1017 +.sym 4879 $auto$alumacc.cc:470:replace_alu$4573.C[7] +.sym 4881 $false +.sym 4882 $abc$32112$n1015 +.sym 4885 $abc$32112$n2178 +.sym 4887 $false +.sym 4888 $abc$32112$n1014 +.sym 4967 $abc$32112$n939 +.sym 4968 I2C.is_read +.sym 4969 $abc$32112$n637 +.sym 4970 $abc$32112$n2178 +.sym 4973 I2C.FLT_SDA.counter[0] +.sym 4974 I2C.FLT_SDA.counter[1] +.sym 4975 I2C.FLT_SDA.counter[2] +.sym 4976 $abc$32112$n842 +.sym 4979 I2C.FLT_SDA.out +.sym 4980 I2C.SDAF +.sym 4981 $false +.sym 4982 $false +.sym 4985 I2C.FLT_SDA.counter[0] +.sym 4986 I2C.FLT_SDA.counter[1] +.sym 4987 $false +.sym 4988 $false +.sym 4991 $abc$32112$n1518 +.sym 4992 $abc$32112$n842 +.sym 4993 $abc$32112$n1517 +.sym 4994 $abc$32112$n1327 +.sym 4997 $abc$32112$n1518 +.sym 4998 $false +.sym 4999 $false +.sym 5000 $false +.sym 5003 $abc$32112$n1517 +.sym 5004 $false +.sym 5005 $false +.sym 5006 $false +.sym 5009 I2C.FLT_SDA.counter[2] +.sym 5010 I2C.FLT_SDA.counter[0] +.sym 5011 I2C.FLT_SDA.counter[1] +.sym 5012 $abc$32112$n1327 +.sym 5013 $abc$32112$n849 +.sym 5014 CLK$2$2 +.sym 5015 $0\KBD_FREEZE[0:0]$2 +.sym 5102 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.sym 5103 $abc$32112$n1180 +.sym 5104 $false +.sym 5105 $false +.sym 5108 $abc$32112$n693_1 +.sym 5109 $abc$32112$n699 +.sym 5110 $abc$32112$n1051 +.sym 5111 $false +.sym 5114 $abc$32112$n693_1 +.sym 5115 $abc$32112$n685 +.sym 5116 $abc$32112$n1051 +.sym 5117 $false +.sym 5126 $abc$32112$n1051 +.sym 5127 $abc$32112$n693_1 +.sym 5128 $false +.sym 5129 $false +.sym 5132 I2C.FLT_SDA.out +.sym 5133 $false +.sym 5134 $false +.sym 5135 $false +.sym 5136 $abc$32112$n577 +.sym 5137 CLK$2$2 +.sym 5138 $false +.sym 5175 $true +.sym 5212 I2C.FLT_SCL.counter[0]$2 +.sym 5213 $false +.sym 5214 I2C.FLT_SCL.counter[0] +.sym 5215 $false +.sym 5216 $false +.sym 5218 $auto$alumacc.cc:470:replace_alu$4619.C[2] +.sym 5220 I2C.FLT_SCL.counter[1] +.sym 5221 $true$2 +.sym 5225 $false +.sym 5226 I2C.FLT_SCL.counter[2] +.sym 5227 $true$2 +.sym 5228 $auto$alumacc.cc:470:replace_alu$4619.C[2] +.sym 5231 $abc$32112$n693_1 +.sym 5232 $abc$32112$n1051 +.sym 5233 $false +.sym 5234 $false +.sym 5237 $abc$32112$n718 +.sym 5238 $abc$32112$n1252_1 +.sym 5239 I2C.FLT_SCL.RESET +.sym 5240 $false +.sym 5249 I2C.received_byte[1] +.sym 5250 I2C.received_byte[2] +.sym 5251 I2C.received_byte[4] +.sym 5252 I2C.received_byte[7] +.sym 5255 I2C.received_byte[5] +.sym 5256 $false +.sym 5257 $false +.sym 5258 $false +.sym 5259 $abc$32112$n2246 +.sym 5260 CLK$2$2 +.sym 5261 $false +.sym 5342 $abc$32112$n999 +.sym 5343 $abc$32112$n995 +.sym 5344 $abc$32112$n693_1 +.sym 5345 $abc$32112$n1051 +.sym 5348 $abc$32112$n989 +.sym 5349 $abc$32112$n997 +.sym 5350 $abc$32112$n1053 +.sym 5351 $abc$32112$n696 +.sym 5360 $abc$32112$n1091 +.sym 5361 $abc$32112$n1313_1 +.sym 5362 $abc$32112$n1087 +.sym 5363 $abc$32112$n1053 +.sym 5372 I2C.received_byte[0] +.sym 5373 $false +.sym 5374 $false +.sym 5375 $false +.sym 5382 $abc$32112$n2246 +.sym 5383 CLK$2$2 +.sym 5384 $false +.sym 5465 $abc$32112$n951 +.sym 5466 $abc$32112$n1272_1 +.sym 5467 $false +.sym 5468 $false +.sym 5471 I2C.byte_counter[3] +.sym 5472 $abc$32112$n874 +.sym 5473 $abc$32112$n871 +.sym 5474 I2C.byte_counter[2] +.sym 5483 I2C_HID_DESC.VAL[5] +.sym 5484 I2C_TX_REPORT[5] +.sym 5485 I2C_OUT_DESC_MASK[5] +.sym 5486 $false +.sym 5489 $abc$32112$n1030 +.sym 5490 $abc$32112$n1272_1 +.sym 5491 $abc$32112$n951 +.sym 5492 $abc$32112$n2168 +.sym 5495 $abc$32112$n1020 +.sym 5496 $abc$32112$n1066 +.sym 5497 $false +.sym 5498 $false +.sym 5501 $abc$32112$n1059 +.sym 5502 $abc$32112$n1020 +.sym 5503 $false +.sym 5504 $false +.sym 5505 $abc$32112$n403 +.sym 5506 CLK$2$2 +.sym 5507 $abc$32112$n992$2 +.sym 5582 I2C_HID_DESC.VAL[6] +.sym 5583 I2C_TX_REPORT[6] +.sym 5584 I2C_OUT_DESC_MASK[6] +.sym 5585 $false +.sym 5588 I2C_HID_DESC.VAL[3] +.sym 5589 I2C_TX_REPORT[3] +.sym 5590 I2C_OUT_DESC_MASK[3] +.sym 5591 $false +.sym 5600 $abc$32112$n637 +.sym 5601 I2C.is_read +.sym 5602 $false +.sym 5603 $false +.sym 5606 I2C.received_byte[6] +.sym 5607 $false +.sym 5608 $false +.sym 5609 $false +.sym 5612 I2C.received_byte[5] +.sym 5613 $false +.sym 5614 $false +.sym 5615 $false +.sym 5618 I2C.received_byte[1] +.sym 5619 $false +.sym 5620 $false +.sym 5621 $false +.sym 5624 I2C.received_byte[3] +.sym 5625 $false +.sym 5626 $false +.sym 5627 $false +.sym 5628 $abc$32112$n2241 +.sym 5629 CLK$2$2 +.sym 5630 $false +.sym 5705 I2C_INPUT_DATA[0][0] +.sym 5706 I2C_INPUT_DATA[0][3] +.sym 5707 I2C_INPUT_DATA[2][1] +.sym 5708 I2C_INPUT_DATA[0][2] +.sym 5711 I2C_INPUT_DATA[0][2] +.sym 5712 I2C_INPUT_DATA[0][3] +.sym 5713 $abc$32112$n648 +.sym 5714 I2C_INPUT_DATA[0][0] +.sym 5717 UART_TX_DATA[5] +.sym 5718 UART_TX_DATA[7] +.sym 5719 $abc$32112$n535 +.sym 5720 $false +.sym 5723 I2C_INPUT_DATA[0][3] +.sym 5724 I2C_INPUT_DATA[0][0] +.sym 5725 I2C_INPUT_DATA[0][2] +.sym 5726 $abc$32112$n648 +.sym 5729 UART_TX_DATA[4] +.sym 5730 UART_TX_DATA[6] +.sym 5731 $abc$32112$n535 +.sym 5732 $false +.sym 5735 $abc$32112$n995 +.sym 5736 I2C.received_byte[5] +.sym 5737 I2C.is_read +.sym 5738 $false +.sym 5741 $abc$32112$n993 +.sym 5742 I2C.received_byte[4] +.sym 5743 I2C.is_read +.sym 5744 $false +.sym 5747 $abc$32112$n997 +.sym 5748 I2C.received_byte[6] +.sym 5749 I2C.is_read +.sym 5750 $false +.sym 5751 $abc$32112$n409 +.sym 5752 CLK$2$2 +.sym 5753 $abc$32112$n25 +.sym 5828 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5829 $false +.sym 5830 $false +.sym 5831 $false +.sym 5834 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5835 $false +.sym 5836 $false +.sym 5837 $false +.sym 5840 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5841 $false +.sym 5842 $false +.sym 5843 $false +.sym 5874 $abc$32112$n435$2 +.sym 5875 CLK$2$2 +.sym 5876 $0\KBD_FREEZE[0:0]$2 +.sym 5951 UART_WR +.sym 5952 UART.TX_sig_last +.sym 5953 UART.tx_activity +.sym 5954 $false +.sym 5963 $abc$32112$n630_1 +.sym 5964 $abc$32112$n628 +.sym 5965 I2C.FLT_SCL.RESET +.sym 5966 $abc$32112$n640 +.sym 5993 UART_WR +.sym 5994 $false +.sym 5995 $false +.sym 5996 $false +.sym 5997 I2C.FLT_SCL.RESET +.sym 5998 CLK$2$2 +.sym 5999 $false +.sym 6074 $abc$32112$n534 +.sym 6075 $abc$32112$n537 +.sym 6076 $abc$32112$n1572 +.sym 6077 $abc$32112$n1558 +.sym 6080 $abc$32112$n1404 +.sym 6081 $abc$32112$n1407 +.sym 6082 $abc$32112$n1408 +.sym 6083 $false +.sym 6086 I2C.FLT_SCL.RESET +.sym 6087 $abc$32112$n705 +.sym 6088 $false +.sym 6089 $false +.sym 6092 UART.tx_clk_counter[1] +.sym 6093 UART.tx_clk_counter[0] +.sym 6094 $abc$32112$n709 +.sym 6095 $false +.sym 6110 $false +.sym 6111 UART.tx_clk_counter[0] +.sym 6112 $false +.sym 6113 $true$2 +.sym 6116 $abc$32112$n708 +.sym 6117 $abc$32112$n710 +.sym 6118 $abc$32112$n705 +.sym 6119 $false +.sym 6120 I2C.FLT_SCL.RESET +.sym 6121 CLK$2$2 +.sym 6122 $false +.sym 6159 $true +.sym 6196 UART.tx_clk_counter[0]$2 +.sym 6197 $false +.sym 6198 UART.tx_clk_counter[0] +.sym 6199 $false +.sym 6200 $false +.sym 6202 $auto$alumacc.cc:470:replace_alu$4640.C[2] +.sym 6204 UART.tx_clk_counter[1] +.sym 6205 $true$2 +.sym 6208 $auto$alumacc.cc:470:replace_alu$4640.C[3] +.sym 6209 $false +.sym 6210 UART.tx_clk_counter[2] +.sym 6211 $true$2 +.sym 6212 $auto$alumacc.cc:470:replace_alu$4640.C[2] +.sym 6215 $false +.sym 6216 UART.tx_clk_counter[3] +.sym 6217 $true$2 +.sym 6218 $auto$alumacc.cc:470:replace_alu$4640.C[3] +.sym 6221 $abc$32112$n1404 +.sym 6222 $abc$32112$n707 +.sym 6223 $false +.sym 6224 $false +.sym 6227 $abc$32112$n1408 +.sym 6228 $abc$32112$n707 +.sym 6229 $false +.sym 6230 $false +.sym 6233 UART.tx_clk_counter[1] +.sym 6234 UART.tx_clk_counter[0] +.sym 6235 UART.tx_activity +.sym 6236 $false +.sym 6239 $abc$32112$n1407 +.sym 6240 $abc$32112$n707 +.sym 6241 $false +.sym 6242 $false +.sym 6243 $abc$32112$n592 +.sym 6244 CLK$2$2 +.sym 6245 $0\KBD_FREEZE[0:0]$2 +.sym 6350 $abc$32112$n506 +.sym 6351 $abc$32112$n2096 +.sym 6424 $abc$32112$n1053 +.sym 6425 $abc$32112$n1054 +.sym 6426 $abc$32112$n2097 +.sym 6427 $abc$32112$n1825 +.sym 6428 $abc$32112$n2138 +.sym 6429 rststate[0] +.sym 6560 $abc$32112$n693_1 +.sym 6561 $abc$32112$n1821 +.sym 6562 $abc$32112$n1819 +.sym 6563 $abc$32112$n2137 +.sym 6564 $abc$32112$n1823 +.sym 6565 I2C.i2c_bit_counter[2] +.sym 6566 I2C.i2c_bit_counter[1] +.sym 6567 I2C.i2c_bit_counter[0] +.sym 6662 $abc$32112$n1116 +.sym 6663 $abc$32112$n1320_1 +.sym 6665 $abc$32112$n1017 +.sym 6666 $abc$32112$n2139 +.sym 6667 $abc$32112$n1318 +.sym 6668 $abc$32112$n1051 +.sym 6669 I2C_INPUT_DATA[0][6] +.sym 6765 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.sym 6766 $abc$32112$n1009 +.sym 6767 $abc$32112$n685 +.sym 6768 $abc$32112$n699 +.sym 6769 $abc$32112$n686 +.sym 6770 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 6771 $abc$32112$n1065 +.sym 6868 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.sym 6869 $abc$32112$n546 +.sym 6871 $abc$32112$n1316_1 +.sym 6872 I2C.received_byte[4] +.sym 6968 $abc$32112$n1086 +.sym 6969 $abc$32112$n2237 +.sym 6970 $abc$32112$n2244 +.sym 6971 $abc$32112$n2246 +.sym 6972 $abc$32112$n2241 +.sym 6973 $abc$32112$n2227 +.sym 6974 $abc$32112$n1185 +.sym 6975 I2C.FLT_SCL.out +.sym 7071 $abc$32112$n939 +.sym 7072 $abc$32112$n661 +.sym 7073 $abc$32112$n1101 +.sym 7074 I2C_INPUT_DATA[3][7] +.sym 7075 I2C_INPUT_DATA[3][4] +.sym 7076 I2C_INPUT_DATA[3][5] +.sym 7077 I2C_INPUT_DATA[3][6] +.sym 7172 $abc$32112$n938 +.sym 7173 $abc$32112$n923 +.sym 7174 $abc$32112$n1022_1 +.sym 7175 $abc$32112$n1091 +.sym 7176 $abc$32112$n934 +.sym 7177 $abc$32112$n942 +.sym 7178 $abc$32112$n944 +.sym 7179 $abc$32112$n1272_1 +.sym 7274 $abc$32112$n933 +.sym 7275 $abc$32112$n950 +.sym 7276 $abc$32112$n932 +.sym 7277 $abc$32112$n951 +.sym 7278 $abc$32112$n949 +.sym 7279 $abc$32112$n987 +.sym 7280 I2C_TX_REPORT[3] +.sym 7281 I2C_TX_REPORT[7] +.sym 7376 $abc$32112$n953_1 +.sym 7377 $abc$32112$n999 +.sym 7378 $abc$32112$n941_1 +.sym 7379 I2C_HID_DESC.VAL[7] +.sym 7380 I2C_HID_DESC.VAL[0] +.sym 7381 I2C_HID_DESC.VAL[4] +.sym 7382 I2C_HID_DESC.VAL[2] +.sym 7383 I2C_HID_DESC.VAL[3] +.sym 7479 $abc$32112$n972_1 +.sym 7480 $abc$32112$n989 +.sym 7481 $abc$32112$n985 +.sym 7482 $abc$32112$n993 +.sym 7483 I2C_TX_REPORT[4] +.sym 7484 I2C_TX_REPORT[0] +.sym 7485 I2C_TX_REPORT[2] +.sym 7583 I2C_OUT_DESC_MASK[0] +.sym 7584 I2C_OUT_DESC_MASK[7] +.sym 7585 I2C_OUT_DESC_MASK[4] +.sym 7586 I2C_OUT_DESC_MASK[1] +.sym 7587 I2C_OUT_DESC_MASK[2] +.sym 7682 $abc$32112$n19 +.sym 7683 $abc$32112$n624 +.sym 7684 $abc$32112$n637 +.sym 7685 $abc$32112$n630_1 +.sym 7687 $abc$32112$n376 +.sym 7688 $abc$32112$n409 +.sym 7689 I2C_OUTPUT_TYPE[1] +.sym 7784 $abc$32112$n595 +.sym 7788 $abc$32112$n538 +.sym 7789 $abc$32112$n707 +.sym 7790 $abc$32112$n12 +.sym 8120 KEYBOARD.row_time[2] +.sym 8121 KEYBOARD.row_time[3] +.sym 8122 KEYBOARD.row_time[4] +.sym 8123 KEYBOARD.row_time[5] +.sym 8124 KEYBOARD.row_time[6] +.sym 8125 KEYBOARD.row_time[7] +.sym 8155 $true +.sym 8192 $abc$32112$n2137$2 +.sym 8193 $false +.sym 8194 $abc$32112$n2137 +.sym 8195 $false +.sym 8196 $false +.sym 8198 $auto$alumacc.cc:470:replace_alu$4557.C[2] +.sym 8200 $false +.sym 8201 $abc$32112$n2096 +.sym 8204 $auto$alumacc.cc:470:replace_alu$4557.C[3] +.sym 8206 $false +.sym 8207 $abc$32112$n2138 +.sym 8210 $abc$32112$n506$2 +.sym 8212 $false +.sym 8213 $abc$32112$n2139 +.sym 8220 $abc$32112$n506$2 +.sym 8223 $abc$32112$n1821 +.sym 8224 $false +.sym 8225 $false +.sym 8226 $false +.sym 8246 KEYBOARD.row_time[8] +.sym 8247 KEYBOARD.row_time[9] +.sym 8248 KEYBOARD.row_time[10] +.sym 8249 KEYBOARD.row_time[11] +.sym 8250 KEYBOARD.row_time[12] +.sym 8251 KEYBOARD.row_time[13] +.sym 8252 KEYBOARD.row_time[14] +.sym 8253 KEYBOARD.row_time[15] +.sym 8318 $true +.sym 8355 $abc$32112$n1819$2 +.sym 8356 $false +.sym 8357 $abc$32112$n1819 +.sym 8358 $false +.sym 8359 $false +.sym 8361 $auto$alumacc.cc:470:replace_alu$4616.C[2] +.sym 8363 $abc$32112$n1821 +.sym 8364 $true$2 +.sym 8367 $auto$alumacc.cc:470:replace_alu$4616.C[3] +.sym 8368 $false +.sym 8369 $abc$32112$n1823 +.sym 8370 $true$2 +.sym 8371 $auto$alumacc.cc:470:replace_alu$4616.C[2] +.sym 8373 $abc$32112$n2097$2 +.sym 8374 $false +.sym 8375 $abc$32112$n1825 +.sym 8376 $true$2 +.sym 8377 $auto$alumacc.cc:470:replace_alu$4616.C[3] +.sym 8383 $abc$32112$n2097$2 +.sym 8386 $abc$32112$n2139 +.sym 8387 $false +.sym 8388 $false +.sym 8389 $false +.sym 8392 $abc$32112$n1823 +.sym 8393 $false +.sym 8394 $false +.sym 8395 $false +.sym 8398 $abc$32112$n1012 +.sym 8399 $abc$32112$n1730 +.sym 8400 $false +.sym 8401 $false +.sym 8402 $true +.sym 8403 CLK$2$2 +.sym 8404 $false +.sym 8405 $abc$32112$n1015 +.sym 8406 $abc$32112$n1392 +.sym 8408 $abc$32112$n8 +.sym 8409 I2C.byte_counter[4] +.sym 8412 I2C.byte_counter[6] +.sym 8479 I2C.i2c_bit_counter[0] +.sym 8480 I2C.i2c_bit_counter[1] +.sym 8481 $abc$32112$n1817 +.sym 8482 $false +.sym 8485 $abc$32112$n1817 +.sym 8486 I2C.i2c_bit_counter[1] +.sym 8487 $false +.sym 8488 $false +.sym 8491 $abc$32112$n1817 +.sym 8492 I2C.i2c_bit_counter[0] +.sym 8493 $false +.sym 8494 $false +.sym 8497 $abc$32112$n1819 +.sym 8498 $false +.sym 8499 $false +.sym 8500 $false +.sym 8503 $abc$32112$n1817 +.sym 8504 I2C.i2c_bit_counter[2] +.sym 8505 $false +.sym 8506 $false +.sym 8509 $abc$32112$n1113 +.sym 8510 $abc$32112$n1823 +.sym 8511 $abc$32112$n1116 +.sym 8512 $abc$32112$n1053 +.sym 8515 $abc$32112$n1113 +.sym 8516 $abc$32112$n1821 +.sym 8517 $abc$32112$n693_1 +.sym 8518 $abc$32112$n1116 +.sym 8521 $abc$32112$n1113 +.sym 8522 $abc$32112$n1819 +.sym 8523 $abc$32112$n1116 +.sym 8524 $abc$32112$n1051 +.sym 8525 $true +.sym 8526 CLK$2$2 +.sym 8527 $false +.sym 8528 $abc$32112$n1094 +.sym 8529 $abc$32112$n1319_1 +.sym 8530 $abc$32112$n1127 +.sym 8531 $abc$32112$n1117 +.sym 8532 $abc$32112$n1095 +.sym 8533 $abc$32112$n1146 +.sym 8534 $abc$32112$n1108 +.sym 8535 I2C.is_ack +.sym 8602 $abc$32112$n1117 +.sym 8603 $abc$32112$n506 +.sym 8604 $false +.sym 8605 $false +.sym 8608 $abc$32112$n1117 +.sym 8609 $abc$32112$n1319_1 +.sym 8610 $abc$32112$n691 +.sym 8611 $abc$32112$n2139 +.sym 8620 I2C.byte_counter[5] +.sym 8621 $false +.sym 8622 $false +.sym 8623 $false +.sym 8626 I2C.i2c_bit_counter[3] +.sym 8627 $abc$32112$n1817 +.sym 8628 $false +.sym 8629 $false +.sym 8632 $abc$32112$n2139 +.sym 8633 $abc$32112$n1054 +.sym 8634 $abc$32112$n1117 +.sym 8635 $false +.sym 8638 $false +.sym 8639 $abc$32112$n1819 +.sym 8640 $false +.sym 8641 $true$2 +.sym 8644 I2C.received_byte[6] +.sym 8645 $false +.sym 8646 $false +.sym 8647 $false +.sym 8648 $abc$32112$n2246 +.sym 8649 CLK$2$2 +.sym 8650 $false +.sym 8651 $abc$32112$n687 +.sym 8652 $abc$32112$n1114 +.sym 8653 $abc$32112$n1113 +.sym 8654 $abc$32112$n1115 +.sym 8655 $abc$32112$n1145 +.sym 8656 $abc$32112$n1104 +.sym 8657 $abc$32112$n1093_1 +.sym 8658 $abc$32112$n1014 +.sym 8731 I2C.byte_counter[1] +.sym 8732 $false +.sym 8733 $false +.sym 8734 $false +.sym 8737 I2C.byte_counter[3] +.sym 8738 $false +.sym 8739 $false +.sym 8740 $false +.sym 8743 $abc$32112$n1053 +.sym 8744 $abc$32112$n686 +.sym 8745 $false +.sym 8746 $false +.sym 8749 $abc$32112$n686 +.sym 8750 $abc$32112$n1053 +.sym 8751 $false +.sym 8752 $false +.sym 8755 $abc$32112$n1054 +.sym 8756 $abc$32112$n1065 +.sym 8757 $abc$32112$n687 +.sym 8758 $abc$32112$n2097 +.sym 8761 $false +.sym 8762 I2C.byte_counter[0] +.sym 8763 $true$2 +.sym 8764 $true$2 +.sym 8767 $false +.sym 8768 $false +.sym 8769 $true$2 +.sym 8770 $abc$32112$n2097 +.sym 8774 $abc$32112$n1315 +.sym 8775 $abc$32112$n1317_1 +.sym 8776 $abc$32112$n1277_1 +.sym 8777 $abc$32112$n1107 +.sym 8778 $abc$32112$n1151 +.sym 8779 $abc$32112$n1150 +.sym 8780 $abc$32112$n1149 +.sym 8781 I2C.wr +.sym 8810 $true +.sym 8847 I2C.byte_counter[1]$2 +.sym 8848 $false +.sym 8849 I2C.byte_counter[1] +.sym 8850 $false +.sym 8851 $false +.sym 8853 $auto$alumacc.cc:470:replace_alu$4602.C[3] +.sym 8855 I2C.byte_counter[2] +.sym 8856 $true$2 +.sym 8860 $false +.sym 8861 I2C.byte_counter[3] +.sym 8862 $true$2 +.sym 8863 $auto$alumacc.cc:470:replace_alu$4602.C[3] +.sym 8866 $abc$32112$n699 +.sym 8867 $abc$32112$n692 +.sym 8868 $false +.sym 8869 $false +.sym 8878 $abc$32112$n1086 +.sym 8879 $abc$32112$n1314_1 +.sym 8880 $abc$32112$n1315 +.sym 8881 $abc$32112$n506 +.sym 8884 I2C.FLT_SDA.out +.sym 8885 $false +.sym 8886 $false +.sym 8887 $false +.sym 8894 $abc$32112$n546 +.sym 8895 CLK$2$2 +.sym 8896 $false +.sym 8897 $abc$32112$n1098 +.sym 8898 $abc$32112$n688 +.sym 8899 $abc$32112$n1103 +.sym 8900 $abc$32112$n1105 +.sym 8901 $abc$32112$n1097 +.sym 8902 $abc$32112$n1099_1 +.sym 8903 I2C.is_read +.sym 8904 I2C.SDA_DIR +.sym 8971 $abc$32112$n985 +.sym 8972 $abc$32112$n993 +.sym 8973 $abc$32112$n1053 +.sym 8974 $abc$32112$n692 +.sym 8977 $abc$32112$n1180 +.sym 8978 $abc$32112$n1185 +.sym 8979 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 8980 $false +.sym 8983 I2C.byte_counter[2] +.sym 8984 I2C.byte_counter[1] +.sym 8985 $abc$32112$n1182 +.sym 8986 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 8989 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 8990 $abc$32112$n882 +.sym 8991 $abc$32112$n1182 +.sym 8992 $false +.sym 8995 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 8996 $abc$32112$n1185 +.sym 8997 $abc$32112$n1180 +.sym 8998 $false +.sym 9001 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 9002 $abc$32112$n882 +.sym 9003 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.sym 9004 $abc$32112$n1180 +.sym 9007 I2C.byte_counter[1] +.sym 9008 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.sym 9009 I2C.byte_counter[2] +.sym 9010 $false +.sym 9013 I2C.SCLF +.sym 9014 $false +.sym 9015 $false +.sym 9016 $false +.sym 9017 $abc$32112$n858 +.sym 9018 CLK$2$2 +.sym 9019 $0\KBD_FREEZE[0:0]$2 +.sym 9020 $abc$32112$n893 +.sym 9021 $abc$32112$n917 +.sym 9022 $abc$32112$n1273 +.sym 9023 $abc$32112$n898_1 +.sym 9024 $abc$32112$n1274_1 +.sym 9025 $abc$32112$n915 +.sym 9026 $abc$32112$n916 +.sym 9027 I2C.i2c_state_machine +.sym 9100 $abc$32112$n893 +.sym 9101 $abc$32112$n871 +.sym 9102 $false +.sym 9103 $false +.sym 9106 I2C_INPUT_DATA[3][4] +.sym 9107 I2C_INPUT_DATA[3][5] +.sym 9108 I2C_INPUT_DATA[3][6] +.sym 9109 I2C_INPUT_DATA[3][7] +.sym 9112 $abc$32112$n1102 +.sym 9113 I2C.received_byte[3] +.sym 9114 I2C.received_byte[5] +.sym 9115 I2C.received_byte[6] +.sym 9118 I2C.received_byte[7] +.sym 9119 $false +.sym 9120 $false +.sym 9121 $false +.sym 9124 I2C.received_byte[4] +.sym 9125 $false +.sym 9126 $false +.sym 9127 $false +.sym 9130 I2C.received_byte[5] +.sym 9131 $false +.sym 9132 $false +.sym 9133 $false +.sym 9136 I2C.received_byte[6] +.sym 9137 $false +.sym 9138 $false +.sym 9139 $false +.sym 9140 $abc$32112$n2237 +.sym 9141 CLK$2$2 +.sym 9142 $false +.sym 9143 $abc$32112$n897 +.sym 9144 $abc$32112$n914_1 +.sym 9145 $abc$32112$n875 +.sym 9146 $abc$32112$n935 +.sym 9147 $abc$32112$n937_1 +.sym 9148 $abc$32112$n876 +.sym 9149 $abc$32112$n892_1 +.sym 9150 $abc$32112$n894 +.sym 9217 $abc$32112$n939 +.sym 9218 $abc$32112$n923 +.sym 9219 $abc$32112$n887 +.sym 9220 $false +.sym 9223 $abc$32112$n876 +.sym 9224 $abc$32112$n871 +.sym 9225 $false +.sym 9226 $false +.sym 9229 I2C.byte_counter[3] +.sym 9230 I2C.byte_counter[2] +.sym 9231 $abc$32112$n907 +.sym 9232 $false +.sym 9235 $abc$32112$n987 +.sym 9236 $abc$32112$n991_1 +.sym 9237 $abc$32112$n693_1 +.sym 9238 $abc$32112$n1051 +.sym 9241 $abc$32112$n881 +.sym 9242 $abc$32112$n878_1 +.sym 9243 $abc$32112$n935 +.sym 9244 $false +.sym 9247 $abc$32112$n876 +.sym 9248 $abc$32112$n878_1 +.sym 9249 $false +.sym 9250 $false +.sym 9253 $abc$32112$n937_1 +.sym 9254 $abc$32112$n938 +.sym 9255 $abc$32112$n935 +.sym 9256 $abc$32112$n932 +.sym 9259 $abc$32112$n1022_1 +.sym 9260 $abc$32112$n2175 +.sym 9261 $abc$32112$n2168 +.sym 9262 $false +.sym 9266 $abc$32112$n936 +.sym 9267 $abc$32112$n940 +.sym 9268 $abc$32112$n1322_1 +.sym 9269 $abc$32112$n913 +.sym 9270 $abc$32112$n924 +.sym 9271 $abc$32112$n1323_1 +.sym 9272 I2C_HID_DESC.VAL[1] +.sym 9273 I2C_HID_DESC.VAL[5] +.sym 9340 $abc$32112$n934 +.sym 9341 $abc$32112$n936 +.sym 9342 $abc$32112$n938 +.sym 9343 $abc$32112$n940 +.sym 9346 $abc$32112$n951 +.sym 9347 $abc$32112$n923 +.sym 9348 $false +.sym 9349 $false +.sym 9352 $abc$32112$n933 +.sym 9353 $abc$32112$n19 +.sym 9354 $false +.sym 9355 $false +.sym 9358 $abc$32112$n881 +.sym 9359 $abc$32112$n871 +.sym 9360 $false +.sym 9361 $false +.sym 9364 $abc$32112$n881 +.sym 9365 $abc$32112$n878_1 +.sym 9366 $abc$32112$n936 +.sym 9367 $abc$32112$n950 +.sym 9370 I2C_HID_DESC.VAL[1] +.sym 9371 I2C_TX_REPORT[1] +.sym 9372 I2C_OUT_DESC_MASK[1] +.sym 9373 $false +.sym 9376 $abc$32112$n1045 +.sym 9377 $abc$32112$n1272_1 +.sym 9378 $abc$32112$n951 +.sym 9379 $abc$32112$n2168 +.sym 9382 $abc$32112$n1073 +.sym 9383 $abc$32112$n1020 +.sym 9384 $false +.sym 9385 $false +.sym 9386 $abc$32112$n403 +.sym 9387 CLK$2$2 +.sym 9388 $abc$32112$n992$2 +.sym 9389 $abc$32112$n861_1 +.sym 9390 $abc$32112$n864_1 +.sym 9391 $abc$32112$n922 +.sym 9392 $abc$32112$n931_1 +.sym 9393 $abc$32112$n960 +.sym 9394 $abc$32112$n955_1 +.sym 9395 $abc$32112$n891 +.sym 9396 I2C_HID_DESC.VAL[6] +.sym 9463 $abc$32112$n942 +.sym 9464 $abc$32112$n939 +.sym 9465 $abc$32112$n950 +.sym 9466 $abc$32112$n932 +.sym 9469 I2C_HID_DESC.VAL[7] +.sym 9470 I2C_TX_REPORT[7] +.sym 9471 I2C_OUT_DESC_MASK[7] +.sym 9472 $false +.sym 9475 $abc$32112$n923 +.sym 9476 $abc$32112$n942 +.sym 9477 $abc$32112$n940 +.sym 9478 $false +.sym 9481 $abc$32112$n942 +.sym 9482 $abc$32112$n960 +.sym 9483 $abc$32112$n19 +.sym 9484 $false +.sym 9487 $abc$32112$n941_1 +.sym 9488 $abc$32112$n934 +.sym 9489 $abc$32112$n932 +.sym 9490 $abc$32112$n861_1 +.sym 9493 $abc$32112$n942 +.sym 9494 $abc$32112$n950 +.sym 9495 $abc$32112$n932 +.sym 9496 $abc$32112$n955_1 +.sym 9499 $abc$32112$n949 +.sym 9500 $abc$32112$n947 +.sym 9501 $abc$32112$n19 +.sym 9502 $false +.sym 9505 $abc$32112$n925_1 +.sym 9506 $abc$32112$n891 +.sym 9507 $abc$32112$n19 +.sym 9508 $abc$32112$n953_1 +.sym 9509 $abc$32112$n376 +.sym 9510 CLK$2$2 +.sym 9511 $false +.sym 9512 $abc$32112$n662 +.sym 9513 $abc$32112$n660 +.sym 9514 $abc$32112$n659 +.sym 9515 $abc$32112$n540 +.sym 9516 $abc$32112$n671_1 +.sym 9517 UART_TX_DATA[7] +.sym 9518 UART_TX_DATA[1] +.sym 9519 UART_TX_DATA[3] +.sym 9592 I2C_INPUT_DATA[0][2] +.sym 9593 I2C_INPUT_DATA[0][3] +.sym 9594 $abc$32112$n973_1 +.sym 9595 $false +.sym 9598 I2C_HID_DESC.VAL[2] +.sym 9599 I2C_TX_REPORT[2] +.sym 9600 I2C_OUT_DESC_MASK[2] +.sym 9601 $false +.sym 9604 I2C_HID_DESC.VAL[0] +.sym 9605 I2C_TX_REPORT[0] +.sym 9606 I2C_OUT_DESC_MASK[0] +.sym 9607 $false +.sym 9610 I2C_HID_DESC.VAL[4] +.sym 9611 I2C_TX_REPORT[4] +.sym 9612 I2C_OUT_DESC_MASK[4] +.sym 9613 $false +.sym 9616 $abc$32112$n1020 +.sym 9617 $abc$32112$n1052 +.sym 9618 $false +.sym 9619 $false +.sym 9622 $abc$32112$n1020 +.sym 9623 $abc$32112$n1023 +.sym 9624 $false +.sym 9625 $false +.sym 9628 $abc$32112$n1038 +.sym 9629 $abc$32112$n1020 +.sym 9630 $false +.sym 9631 $false +.sym 9632 $abc$32112$n403 +.sym 9633 CLK$2$2 +.sym 9634 $abc$32112$n992$2 +.sym 9636 $abc$32112$n505 +.sym 9637 $abc$32112$n534 +.sym 9638 $abc$32112$n628 +.sym 9639 $abc$32112$n977_1 +.sym 9640 $abc$32112$n25 +.sym 9641 UART_TX_DATA[2] +.sym 9642 UART_TX_DATA[0] +.sym 9727 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9728 $false +.sym 9729 $false +.sym 9730 $false +.sym 9733 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9734 $false +.sym 9735 $false +.sym 9736 $false +.sym 9739 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9740 $false +.sym 9741 $false +.sym 9742 $false +.sym 9745 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9746 $false +.sym 9747 $false +.sym 9748 $false +.sym 9751 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9752 $false +.sym 9753 $false +.sym 9754 $false +.sym 9755 $abc$32112$n435$2 +.sym 9756 CLK$2$2 +.sym 9757 $0\KBD_FREEZE[0:0]$2 +.sym 9758 $abc$32112$n966 +.sym 9759 $abc$32112$n1271_1 +.sym 9760 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9761 $abc$32112$n971_1 +.sym 9762 $abc$32112$n979 +.sym 9763 $abc$32112$n978 +.sym 9764 I2C_OUTPUT_TYPE[0] +.sym 9765 I2C_OUTPUT_TYPE[2] +.sym 9832 I2C_OUTPUT_TYPE[1] +.sym 9833 I2C_OUTPUT_TYPE[0] +.sym 9834 $false +.sym 9835 $false +.sym 9838 I2C_HID_DESC.last_rd_request +.sym 9839 I2C_OUTPUT_TYPE[0] +.sym 9840 I2C_OUTPUT_TYPE[1] +.sym 9841 $false +.sym 9844 I2C.FLT_SCL.RESET +.sym 9845 $abc$32112$n630_1 +.sym 9846 $false +.sym 9847 $false +.sym 9850 last_wr +.sym 9851 I2C.wr +.sym 9852 $false +.sym 9853 $false +.sym 9862 I2C.FLT_SCL.RESET +.sym 9863 $abc$32112$n624 +.sym 9864 I2C.wr +.sym 9865 $false +.sym 9868 $abc$32112$n630_1 +.sym 9869 I2C.FLT_SCL.RESET +.sym 9870 $abc$32112$n640 +.sym 9871 $false +.sym 9874 $abc$32112$n966 +.sym 9875 $abc$32112$n542 +.sym 9876 $false +.sym 9877 $false +.sym 9878 $abc$32112$n435$2 +.sym 9879 CLK$2$2 +.sym 9880 $0\KBD_FREEZE[0:0]$2 +.sym 9881 $abc$32112$n992 +.sym 9883 $abc$32112$n635 +.sym 9884 I2C_INPUT_LEN[3] +.sym 9885 I2C_INPUT_LEN[1] +.sym 9886 I2C_INPUT_LEN[4] +.sym 9887 I2C_INPUT_LEN[2] +.sym 9955 $abc$32112$n707 +.sym 9956 $abc$32112$n710 +.sym 9957 $abc$32112$n705 +.sym 9958 I2C.FLT_SCL.RESET +.sym 9979 $abc$32112$n539_1 +.sym 9980 $abc$32112$n540 +.sym 9981 $abc$32112$n1558 +.sym 9982 $abc$32112$n1572 +.sym 9985 $abc$32112$n708 +.sym 9986 UART.tx_activity +.sym 9987 $false +.sym 9988 $false +.sym 9991 $abc$32112$n533 +.sym 9992 $abc$32112$n2002 +.sym 9993 $abc$32112$n538 +.sym 9994 UART.tx_activity +.sym 10001 $abc$32112$n595 +.sym 10002 CLK$2$2 +.sym 10003 $false +.sym 10006 $abc$32112$n1710 +.sym 10007 $abc$32112$n1712 +.sym 10008 $abc$32112$n1714 +.sym 10009 $abc$32112$n1716 +.sym 10010 $abc$32112$n1718 +.sym 10011 $abc$32112$n1720 +.sym 10226 $abc$32112$n29 +.sym 10227 $abc$32112$n564 +.sym 10228 $abc$32112$n549 +.sym 10229 $abc$32112$n550 +.sym 10230 $abc$32112$n565 +.sym 10231 $abc$32112$n838 +.sym 10232 $abc$32112$n583 +.sym 10233 KEYBOARD.row_time[1] +.sym 10263 $true +.sym 10300 KEYBOARD.row_time[0]$2 +.sym 10301 $false +.sym 10302 KEYBOARD.row_time[0] +.sym 10303 $false +.sym 10304 $false +.sym 10306 $auto$alumacc.cc:470:replace_alu$4628.C[2] +.sym 10308 $false +.sym 10309 KEYBOARD.row_time[1] +.sym 10312 $auto$alumacc.cc:470:replace_alu$4628.C[3] +.sym 10313 $false +.sym 10314 $false +.sym 10315 KEYBOARD.row_time[2] +.sym 10316 $auto$alumacc.cc:470:replace_alu$4628.C[2] +.sym 10318 $auto$alumacc.cc:470:replace_alu$4628.C[4] +.sym 10319 $false +.sym 10320 $false +.sym 10321 KEYBOARD.row_time[3] +.sym 10322 $auto$alumacc.cc:470:replace_alu$4628.C[3] +.sym 10324 $auto$alumacc.cc:470:replace_alu$4628.C[5] +.sym 10325 $false +.sym 10326 $false +.sym 10327 KEYBOARD.row_time[4] +.sym 10328 $auto$alumacc.cc:470:replace_alu$4628.C[4] +.sym 10330 $auto$alumacc.cc:470:replace_alu$4628.C[6] +.sym 10331 $false +.sym 10332 $false +.sym 10333 KEYBOARD.row_time[5] +.sym 10334 $auto$alumacc.cc:470:replace_alu$4628.C[5] +.sym 10336 $auto$alumacc.cc:470:replace_alu$4628.C[7] +.sym 10337 $false +.sym 10338 $false +.sym 10339 KEYBOARD.row_time[6] +.sym 10340 $auto$alumacc.cc:470:replace_alu$4628.C[6] +.sym 10342 $auto$alumacc.cc:470:replace_alu$4628.C[8] +.sym 10343 $false +.sym 10344 $false +.sym 10345 KEYBOARD.row_time[7] +.sym 10346 $auto$alumacc.cc:470:replace_alu$4628.C[7] +.sym 10347 $abc$32112$n839$2 +.sym 10348 CLK$2$2 +.sym 10349 $abc$32112$n29$2 +.sym 10354 $abc$32112$n591 +.sym 10355 $abc$32112$n839 +.sym 10357 $abc$32112$n567 +.sym 10358 $abc$32112$n566 +.sym 10359 $abc$32112$n551 +.sym 10360 $abc$32112$n552 +.sym 10361 KEYBOARD.row_time[0] +.sym 10426 $auto$alumacc.cc:470:replace_alu$4628.C[8] +.sym 10463 $auto$alumacc.cc:470:replace_alu$4628.C[9] +.sym 10464 $false +.sym 10465 $false +.sym 10466 KEYBOARD.row_time[8] +.sym 10467 $auto$alumacc.cc:470:replace_alu$4628.C[8] +.sym 10469 $auto$alumacc.cc:470:replace_alu$4628.C[10] +.sym 10470 $false +.sym 10471 $false +.sym 10472 KEYBOARD.row_time[9] +.sym 10473 $auto$alumacc.cc:470:replace_alu$4628.C[9] +.sym 10475 $auto$alumacc.cc:470:replace_alu$4628.C[11] +.sym 10476 $false +.sym 10477 $false +.sym 10478 KEYBOARD.row_time[10] +.sym 10479 $auto$alumacc.cc:470:replace_alu$4628.C[10] +.sym 10481 $auto$alumacc.cc:470:replace_alu$4628.C[12] +.sym 10482 $false +.sym 10483 $false +.sym 10484 KEYBOARD.row_time[11] +.sym 10485 $auto$alumacc.cc:470:replace_alu$4628.C[11] +.sym 10487 $auto$alumacc.cc:470:replace_alu$4628.C[13] +.sym 10488 $false +.sym 10489 $false +.sym 10490 KEYBOARD.row_time[12] +.sym 10491 $auto$alumacc.cc:470:replace_alu$4628.C[12] +.sym 10493 $auto$alumacc.cc:470:replace_alu$4628.C[14] +.sym 10494 $false +.sym 10495 $false +.sym 10496 KEYBOARD.row_time[13] +.sym 10497 $auto$alumacc.cc:470:replace_alu$4628.C[13] +.sym 10499 $auto$alumacc.cc:470:replace_alu$4628.C[15] +.sym 10500 $false +.sym 10501 $false +.sym 10502 KEYBOARD.row_time[14] +.sym 10503 $auto$alumacc.cc:470:replace_alu$4628.C[14] +.sym 10506 $false +.sym 10507 $false +.sym 10508 KEYBOARD.row_time[15] +.sym 10509 $auto$alumacc.cc:470:replace_alu$4628.C[15] +.sym 10510 $abc$32112$n839$2 +.sym 10511 CLK$2$2 +.sym 10512 $abc$32112$n29$2 +.sym 10513 $abc$32112$n1139 +.sym 10514 $abc$32112$n1142 +.sym 10515 $abc$32112$n1135 +.sym 10516 $abc$32112$n1126 +.sym 10518 $abc$32112$n1389 +.sym 10519 KEYBOARD.last_data[4] +.sym 10587 I2C.byte_counter[6] +.sym 10588 $false +.sym 10589 $false +.sym 10590 $false +.sym 10593 $abc$32112$n1817 +.sym 10594 I2C.byte_counter[6] +.sym 10595 $false +.sym 10596 $false +.sym 10605 I2C.FLT_SCL.out +.sym 10606 $false +.sym 10607 $false +.sym 10608 $false +.sym 10611 $abc$32112$n1139 +.sym 10612 I2C.byte_counter[4] +.sym 10613 $abc$32112$n691 +.sym 10614 $false +.sym 10629 $abc$32112$n1127 +.sym 10630 $abc$32112$n1392 +.sym 10631 $abc$32112$n1142 +.sym 10632 $false +.sym 10633 $true +.sym 10634 CLK$2$2 +.sym 10635 $false +.sym 10637 $abc$32112$n795 +.sym 10638 $abc$32112$n2235 +.sym 10640 $abc$32112$n2231 +.sym 10642 $abc$32112$n770 +.sym 10643 KEYBOARD.last_data[8] +.sym 10710 $abc$32112$n1095 +.sym 10711 $abc$32112$n1093_1 +.sym 10712 $false +.sym 10713 $false +.sym 10716 $abc$32112$n1093_1 +.sym 10717 I2C.is_ack +.sym 10718 $abc$32112$n1108 +.sym 10719 $abc$32112$n689 +.sym 10722 $abc$32112$n1094 +.sym 10723 $abc$32112$n1108 +.sym 10724 $abc$32112$n689 +.sym 10725 $abc$32112$n506 +.sym 10728 $abc$32112$n691 +.sym 10729 $abc$32112$n689 +.sym 10730 I2C.FLT_SCL.out +.sym 10731 $abc$32112$n8 +.sym 10734 $abc$32112$n1817 +.sym 10735 I2C.is_ack +.sym 10736 $false +.sym 10737 $false +.sym 10740 $abc$32112$n506 +.sym 10741 $abc$32112$n689 +.sym 10742 $abc$32112$n1095 +.sym 10743 $abc$32112$n1093_1 +.sym 10746 $abc$32112$n1095 +.sym 10747 I2C.FLT_SCL.out +.sym 10748 $abc$32112$n8 +.sym 10749 $false +.sym 10752 $abc$32112$n1145 +.sym 10753 $abc$32112$n1146 +.sym 10754 I2C.is_ack +.sym 10755 $abc$32112$n691 +.sym 10756 $true +.sym 10757 CLK$2$2 +.sym 10758 $false +.sym 10759 $abc$32112$n1289_1 +.sym 10761 $abc$32112$n1288_1 +.sym 10762 $abc$32112$n1290 +.sym 10763 $abc$32112$n816 +.sym 10764 $abc$32112$n890 +.sym 10765 KEYBOARD.last_data[12] +.sym 10833 $abc$32112$n506 +.sym 10834 I2C.FLT_SCL.out +.sym 10835 $abc$32112$n8 +.sym 10836 $abc$32112$n688 +.sym 10839 $abc$32112$n1095 +.sym 10840 $abc$32112$n1104 +.sym 10841 $abc$32112$n688 +.sym 10842 $abc$32112$n687 +.sym 10845 $abc$32112$n1115 +.sym 10846 $abc$32112$n1114 +.sym 10847 $false +.sym 10848 $false +.sym 10851 $abc$32112$n1108 +.sym 10852 $abc$32112$n1093_1 +.sym 10853 $abc$32112$n506 +.sym 10854 $abc$32112$n1105 +.sym 10857 $abc$32112$n506 +.sym 10858 $abc$32112$n1095 +.sym 10859 $abc$32112$n1099_1 +.sym 10860 $abc$32112$n689 +.sym 10863 $abc$32112$n1093_1 +.sym 10864 $abc$32112$n506 +.sym 10865 $false +.sym 10866 $false +.sym 10869 I2C.FLT_SCL.out +.sym 10870 $abc$32112$n8 +.sym 10871 $false +.sym 10872 $false +.sym 10875 I2C.byte_counter[7] +.sym 10876 $false +.sym 10877 $false +.sym 10878 $false +.sym 10884 $abc$32112$n1153 +.sym 10885 $abc$32112$n730 +.sym 10886 $abc$32112$n1109 +.sym 10887 $abc$32112$n732 +.sym 10888 $abc$32112$n605_1 +.sym 10889 KEYBOARD.last_data[0] +.sym 10956 $abc$32112$n1095 +.sym 10957 $abc$32112$n1096 +.sym 10958 $false +.sym 10959 $false +.sym 10962 $abc$32112$n1096 +.sym 10963 $abc$32112$n1316_1 +.sym 10964 $abc$32112$n1093_1 +.sym 10965 $false +.sym 10968 $abc$32112$n1149 +.sym 10969 $abc$32112$n1153 +.sym 10970 $abc$32112$n1151 +.sym 10971 $abc$32112$n506 +.sym 10974 $abc$32112$n1109 +.sym 10975 $abc$32112$n506 +.sym 10976 $abc$32112$n1108 +.sym 10977 $abc$32112$n1105 +.sym 10980 $abc$32112$n1109 +.sym 10981 $abc$32112$n2170 +.sym 10982 $abc$32112$n689 +.sym 10983 $abc$32112$n1108 +.sym 10986 $abc$32112$n1095 +.sym 10987 $abc$32112$n689 +.sym 10988 $abc$32112$n1093_1 +.sym 10989 $abc$32112$n506 +.sym 10992 $abc$32112$n1108 +.sym 10993 $abc$32112$n506 +.sym 10994 $abc$32112$n689 +.sym 10995 $abc$32112$n1150 +.sym 10998 $abc$32112$n1277_1 +.sym 10999 $abc$32112$n1153 +.sym 11000 $abc$32112$n691 +.sym 11001 $false +.sym 11002 $true +.sym 11003 CLK$2$2 +.sym 11004 $false +.sym 11006 $abc$32112$n1096 +.sym 11007 $abc$32112$n1817 +.sym 11008 $abc$32112$n691 +.sym 11012 I2C.received_byte[3] +.sym 11079 $abc$32112$n1104 +.sym 11080 $abc$32112$n1096 +.sym 11081 $abc$32112$n1099_1 +.sym 11082 $abc$32112$n688 +.sym 11085 $abc$32112$n689 +.sym 11086 $abc$32112$n691 +.sym 11087 $false +.sym 11088 $false +.sym 11091 $abc$32112$n1095 +.sym 11092 $abc$32112$n1104 +.sym 11093 $false +.sym 11094 $false +.sym 11097 $abc$32112$n691 +.sym 11098 $abc$32112$n689 +.sym 11099 $false +.sym 11100 $false +.sym 11103 $abc$32112$n1096 +.sym 11104 I2C.i2c_state_machine +.sym 11105 $abc$32112$n1098 +.sym 11106 $false +.sym 11109 $abc$32112$n1273 +.sym 11110 $abc$32112$n1103 +.sym 11111 $false +.sym 11112 $false +.sym 11115 $abc$32112$n1274_1 +.sym 11116 I2C.received_byte[0] +.sym 11117 $abc$32112$n1103 +.sym 11118 $abc$32112$n689 +.sym 11121 $abc$32112$n1317_1 +.sym 11122 $abc$32112$n1105 +.sym 11123 $abc$32112$n1097 +.sym 11124 $false +.sym 11125 $true +.sym 11126 CLK$2$2 +.sym 11127 $false +.sym 11128 $abc$32112$n879 +.sym 11129 $abc$32112$n580 +.sym 11130 $abc$32112$n882 +.sym 11131 $abc$32112$n877 +.sym 11132 $abc$32112$n881 +.sym 11133 I2C_TRANS +.sym 11134 $abc$32112$n689 +.sym 11135 I2C.i2c_start_latency +.sym 11202 I2C.byte_counter[1] +.sym 11203 I2C.byte_counter[0] +.sym 11204 $abc$32112$n890 +.sym 11205 $false +.sym 11208 $abc$32112$n871 +.sym 11209 $abc$32112$n877 +.sym 11210 $abc$32112$n890 +.sym 11211 $false +.sym 11214 $abc$32112$n1101 +.sym 11215 $abc$32112$n879 +.sym 11216 $abc$32112$n871 +.sym 11217 $false +.sym 11220 $abc$32112$n869 +.sym 11221 $abc$32112$n877 +.sym 11222 $abc$32112$n890 +.sym 11223 $false +.sym 11226 $abc$32112$n691 +.sym 11227 $abc$32112$n879 +.sym 11228 $abc$32112$n871 +.sym 11229 $false +.sym 11232 $abc$32112$n881 +.sym 11233 $abc$32112$n869 +.sym 11234 $abc$32112$n916 +.sym 11235 $false +.sym 11238 I2C.byte_counter[0] +.sym 11239 $abc$32112$n882 +.sym 11240 $abc$32112$n878_1 +.sym 11241 $false +.sym 11244 $abc$32112$n1103 +.sym 11245 $abc$32112$n1273 +.sym 11246 $abc$32112$n688 +.sym 11247 $abc$32112$n1107 +.sym 11248 $true +.sym 11249 CLK$2$2 +.sym 11250 $0\KBD_FREEZE[0:0]$2 +.sym 11251 $abc$32112$n904_1 +.sym 11252 $abc$32112$n902 +.sym 11253 $abc$32112$n895 +.sym 11254 $abc$32112$n888 +.sym 11255 $abc$32112$n886_1 +.sym 11256 $abc$32112$n903 +.sym 11257 $abc$32112$n868_1 +.sym 11258 $abc$32112$n887 +.sym 11325 $abc$32112$n893 +.sym 11326 $abc$32112$n876 +.sym 11327 $abc$32112$n878_1 +.sym 11328 $abc$32112$n898_1 +.sym 11331 $abc$32112$n879 +.sym 11332 $abc$32112$n893 +.sym 11333 $abc$32112$n885_1 +.sym 11334 $abc$32112$n915 +.sym 11337 $abc$32112$n876 +.sym 11338 $abc$32112$n869 +.sym 11339 $abc$32112$n878_1 +.sym 11340 $abc$32112$n879 +.sym 11343 $abc$32112$n895 +.sym 11344 $abc$32112$n871 +.sym 11345 $abc$32112$n879 +.sym 11346 $abc$32112$n878_1 +.sym 11349 $abc$32112$n876 +.sym 11350 $abc$32112$n896 +.sym 11351 $abc$32112$n878_1 +.sym 11352 $false +.sym 11355 I2C.byte_counter[3] +.sym 11356 $abc$32112$n877 +.sym 11357 I2C.byte_counter[2] +.sym 11358 $false +.sym 11361 $abc$32112$n868_1 +.sym 11362 $abc$32112$n893 +.sym 11363 $abc$32112$n876 +.sym 11364 $abc$32112$n885_1 +.sym 11367 $abc$32112$n868_1 +.sym 11368 $abc$32112$n895 +.sym 11369 $abc$32112$n885_1 +.sym 11370 $abc$32112$n896 +.sym 11374 $abc$32112$n899 +.sym 11375 $abc$32112$n918 +.sym 11376 $abc$32112$n880 +.sym 11377 $abc$32112$n867_1 +.sym 11378 $abc$32112$n866 +.sym 11379 $abc$32112$n928_1 +.sym 11380 $abc$32112$n945 +.sym 11381 $abc$32112$n872 +.sym 11448 $abc$32112$n883 +.sym 11449 $abc$32112$n878_1 +.sym 11450 $abc$32112$n937_1 +.sym 11451 $abc$32112$n917 +.sym 11454 $abc$32112$n877 +.sym 11455 $abc$32112$n878_1 +.sym 11456 $abc$32112$n873 +.sym 11457 $abc$32112$n919 +.sym 11460 $abc$32112$n907 +.sym 11461 $abc$32112$n873 +.sym 11462 $abc$32112$n899 +.sym 11463 $abc$32112$n924 +.sym 11466 $abc$32112$n869 +.sym 11467 $abc$32112$n896 +.sym 11468 $abc$32112$n917 +.sym 11469 $abc$32112$n914_1 +.sym 11472 $abc$32112$n871 +.sym 11473 $abc$32112$n874 +.sym 11474 $abc$32112$n890 +.sym 11475 $false +.sym 11478 $abc$32112$n1322_1 +.sym 11479 $abc$32112$n875 +.sym 11480 $abc$32112$n892_1 +.sym 11481 $abc$32112$n914_1 +.sym 11484 $abc$32112$n867_1 +.sym 11485 $abc$32112$n945 +.sym 11486 $abc$32112$n19 +.sym 11487 $abc$32112$n944 +.sym 11490 $abc$32112$n19 +.sym 11491 $abc$32112$n1323_1 +.sym 11492 $abc$32112$n923 +.sym 11493 $false +.sym 11494 $abc$32112$n376 +.sym 11495 CLK$2$2 +.sym 11496 $false +.sym 11497 $abc$32112$n947 +.sym 11498 $abc$32112$n948 +.sym 11499 $abc$32112$n911 +.sym 11500 $abc$32112$n900 +.sym 11501 $abc$32112$n912 +.sym 11502 $abc$32112$n865 +.sym 11503 $abc$32112$n901 +.sym 11504 I2C_INPUT_DATA[2][7] +.sym 11571 $abc$32112$n900 +.sym 11572 $abc$32112$n931_1 +.sym 11573 $abc$32112$n864_1 +.sym 11574 $abc$32112$n19 +.sym 11577 $abc$32112$n899 +.sym 11578 $abc$32112$n891 +.sym 11579 $abc$32112$n897 +.sym 11580 $abc$32112$n865 +.sym 11583 $abc$32112$n923 +.sym 11584 $abc$32112$n924 +.sym 11585 $false +.sym 11586 $false +.sym 11589 $abc$32112$n926 +.sym 11590 $abc$32112$n922 +.sym 11591 $abc$32112$n913 +.sym 11592 $false +.sym 11595 $abc$32112$n901 +.sym 11596 $abc$32112$n897 +.sym 11597 $abc$32112$n922 +.sym 11598 $abc$32112$n1312 +.sym 11601 $abc$32112$n913 +.sym 11602 $abc$32112$n894 +.sym 11603 $abc$32112$n901 +.sym 11604 $abc$32112$n19 +.sym 11607 $abc$32112$n892_1 +.sym 11608 $abc$32112$n894 +.sym 11609 $false +.sym 11610 $false +.sym 11613 $abc$32112$n914_1 +.sym 11614 $abc$32112$n948 +.sym 11615 $abc$32112$n1312 +.sym 11616 $false +.sym 11617 $abc$32112$n376 +.sym 11618 CLK$2$2 +.sym 11619 $abc$32112$n19 +.sym 11620 $abc$32112$n658 +.sym 11621 $abc$32112$n970 +.sym 11622 $abc$32112$n669 +.sym 11623 $abc$32112$n673 +.sym 11624 $abc$32112$n670 +.sym 11625 I2C_INPUT_DATA[2][4] +.sym 11626 I2C_INPUT_DATA[2][2] +.sym 11627 I2C_INPUT_DATA[2][0] +.sym 11694 I2C_INPUT_DATA[2][6] +.sym 11695 I2C_INPUT_DATA[2][7] +.sym 11696 $false +.sym 11697 $false +.sym 11700 I2C_INPUT_DATA[2][1] +.sym 11701 I2C_INPUT_DATA[2][0] +.sym 11702 I2C_INPUT_DATA[2][2] +.sym 11703 I2C_INPUT_DATA[2][3] +.sym 11706 $abc$32112$n660 +.sym 11707 $abc$32112$n661 +.sym 11708 $abc$32112$n662 +.sym 11709 $abc$32112$n663 +.sym 11712 UART_TX_DATA[1] +.sym 11713 UART_TX_DATA[3] +.sym 11714 $abc$32112$n535 +.sym 11715 $false +.sym 11718 I2C_INPUT_DATA[2][2] +.sym 11719 I2C_INPUT_DATA[2][3] +.sym 11720 $abc$32112$n663 +.sym 11721 $abc$32112$n672 +.sym 11724 $abc$32112$n999 +.sym 11725 I2C.received_byte[7] +.sym 11726 I2C.is_read +.sym 11727 $false +.sym 11730 $abc$32112$n987 +.sym 11731 I2C.received_byte[1] +.sym 11732 I2C.is_read +.sym 11733 $false +.sym 11736 $abc$32112$n991_1 +.sym 11737 I2C.received_byte[3] +.sym 11738 I2C.is_read +.sym 11739 $false +.sym 11740 $abc$32112$n409 +.sym 11741 CLK$2$2 +.sym 11742 $abc$32112$n25 +.sym 11743 $abc$32112$n645 +.sym 11744 $0\uart_double_ff[0:0] +.sym 11745 $abc$32112$n969_1 +.sym 11746 $abc$32112$n967 +.sym 11747 $abc$32112$n542 +.sym 11748 last_trans +.sym 11750 last_uart_active +.sym 11823 $abc$32112$n409 +.sym 11824 $abc$32112$n25 +.sym 11825 $false +.sym 11826 $false +.sym 11829 UART_TX_DATA[0] +.sym 11830 UART_TX_DATA[2] +.sym 11831 $abc$32112$n535 +.sym 11832 $false +.sym 11835 $0\uart_double_ff[0:0] +.sym 11836 $abc$32112$n25 +.sym 11837 $false +.sym 11838 $false +.sym 11841 $abc$32112$n972_1 +.sym 11842 I2C_INPUT_DATA[0][0] +.sym 11843 $abc$32112$n974_1 +.sym 11844 $abc$32112$n969_1 +.sym 11847 I2C.wr +.sym 11848 last_wr +.sym 11849 $false +.sym 11850 $false +.sym 11853 $abc$32112$n989 +.sym 11854 I2C.received_byte[2] +.sym 11855 I2C.is_read +.sym 11856 $false +.sym 11859 $abc$32112$n985 +.sym 11860 I2C.received_byte[0] +.sym 11861 I2C.is_read +.sym 11862 $false +.sym 11863 $abc$32112$n409 +.sym 11864 CLK$2$2 +.sym 11865 $abc$32112$n25 +.sym 11866 $abc$32112$n640 +.sym 11867 $abc$32112$n631_1 +.sym 11868 $abc$32112$n405 +.sym 11869 $abc$32112$n632 +.sym 11870 $abc$32112$n5 +.sym 11871 $abc$32112$n634 +.sym 11872 $abc$32112$n676 +.sym 11873 UART_WR +.sym 11940 I2C_OUTPUT_TYPE[1] +.sym 11941 $abc$32112$n967 +.sym 11942 $abc$32112$n971_1 +.sym 11943 $abc$32112$n969_1 +.sym 11946 $abc$32112$n967 +.sym 11947 $abc$32112$n972_1 +.sym 11948 I2C_OUTPUT_TYPE[0] +.sym 11949 $abc$32112$n977_1 +.sym 11952 $abc$32112$n978 +.sym 11953 $abc$32112$n1271_1 +.sym 11954 $abc$32112$n966 +.sym 11955 $abc$32112$n542 +.sym 11958 $abc$32112$n972_1 +.sym 11959 I2C_OUTPUT_TYPE[1] +.sym 11960 $abc$32112$n676 +.sym 11961 $abc$32112$n974_1 +.sym 11964 $abc$32112$n972_1 +.sym 11965 $abc$32112$n974_1 +.sym 11966 $abc$32112$n969_1 +.sym 11967 $false +.sym 11970 $abc$32112$n967 +.sym 11971 $abc$32112$n979 +.sym 11972 I2C_OUTPUT_TYPE[2] +.sym 11973 $false +.sym 11976 $abc$32112$n1271_1 +.sym 11977 $abc$32112$n542 +.sym 11978 $false +.sym 11979 $false +.sym 11982 $abc$32112$n978 +.sym 11983 $abc$32112$n542 +.sym 11984 $false +.sym 11985 $false +.sym 11986 $abc$32112$n435$2 +.sym 11987 CLK$2$2 +.sym 11988 $0\KBD_FREEZE[0:0]$2 +.sym 11989 $abc$32112$n2130 +.sym 11990 $abc$32112$n2132 +.sym 11991 $abc$32112$n1572 +.sym 11992 $abc$32112$n666 +.sym 11994 $abc$32112$n1292_1 +.sym 11995 $abc$32112$n1291_1 +.sym 11996 uart_double_ff +.sym 12063 I2C_OUTPUT_TYPE[2] +.sym 12064 I2C_OUTPUT_TYPE[1] +.sym 12065 I2C_OUTPUT_TYPE[0] +.sym 12066 $false +.sym 12075 I2C_OUTPUT_TYPE[2] +.sym 12076 $abc$32112$n2169 +.sym 12077 I2C_OUTPUT_TYPE[0] +.sym 12078 I2C_OUTPUT_TYPE[1] +.sym 12081 $abc$32112$n630_1 +.sym 12082 $abc$32112$n1712 +.sym 12083 $false +.sym 12084 $false +.sym 12087 I2C.byte_counter[1] +.sym 12088 I2C.byte_counter[0] +.sym 12089 $abc$32112$n630_1 +.sym 12090 $false +.sym 12093 $abc$32112$n630_1 +.sym 12094 $abc$32112$n1714 +.sym 12095 $false +.sym 12096 $false +.sym 12099 $abc$32112$n630_1 +.sym 12100 $abc$32112$n1710 +.sym 12101 $false +.sym 12102 $false +.sym 12109 $abc$32112$n424 +.sym 12110 CLK$2$2 +.sym 12111 $0\KBD_FREEZE[0:0]$2 +.sym 12112 $abc$32112$n2135 +.sym 12113 $abc$32112$n2133 +.sym 12114 $abc$32112$n2136 +.sym 12115 $abc$32112$n2134 +.sym 12116 $abc$32112$n667 +.sym 12117 I2C_INPUT_LEN[5] +.sym 12118 I2C_INPUT_LEN[7] +.sym 12119 I2C_INPUT_LEN[6] +.sym 12148 $true +.sym 12185 I2C.byte_counter[0]$2 +.sym 12186 $false +.sym 12187 I2C.byte_counter[0] +.sym 12188 $false +.sym 12189 $false +.sym 12191 $auto$alumacc.cc:470:replace_alu$4599.C[2] +.sym 12193 I2C.byte_counter[1] +.sym 12194 $true$2 +.sym 12197 $auto$alumacc.cc:470:replace_alu$4599.C[3] +.sym 12198 $false +.sym 12199 I2C.byte_counter[2] +.sym 12200 $true$2 +.sym 12201 $auto$alumacc.cc:470:replace_alu$4599.C[2] +.sym 12203 $auto$alumacc.cc:470:replace_alu$4599.C[4] +.sym 12204 $false +.sym 12205 I2C.byte_counter[3] +.sym 12206 $true$2 +.sym 12207 $auto$alumacc.cc:470:replace_alu$4599.C[3] +.sym 12209 $auto$alumacc.cc:470:replace_alu$4599.C[5] +.sym 12210 $false +.sym 12211 I2C.byte_counter[4] +.sym 12212 $true$2 +.sym 12213 $auto$alumacc.cc:470:replace_alu$4599.C[4] +.sym 12215 $auto$alumacc.cc:470:replace_alu$4599.C[6] +.sym 12216 $false +.sym 12217 I2C.byte_counter[5] +.sym 12218 $true$2 +.sym 12219 $auto$alumacc.cc:470:replace_alu$4599.C[5] +.sym 12221 $auto$alumacc.cc:470:replace_alu$4599.C[7] +.sym 12222 $false +.sym 12223 I2C.byte_counter[6] +.sym 12224 $true$2 +.sym 12225 $auto$alumacc.cc:470:replace_alu$4599.C[6] +.sym 12228 $false +.sym 12229 I2C.byte_counter[7] +.sym 12230 $true$2 +.sym 12231 $auto$alumacc.cc:470:replace_alu$4599.C[7] +.sym 12309 $abc$32112$n839 +.sym 12410 $abc$32112$n549 +.sym 12411 $abc$32112$n550 +.sym 12412 $abc$32112$n551 +.sym 12413 $abc$32112$n552 +.sym 12416 KEYBOARD.row_time[0] +.sym 12417 $abc$32112$n565 +.sym 12418 KEYBOARD.row_time[1] +.sym 12419 $false +.sym 12422 KEYBOARD.row_time[0] +.sym 12423 KEYBOARD.row_time[1] +.sym 12424 KEYBOARD.row_time[11] +.sym 12425 KEYBOARD.row_time[7] +.sym 12428 KEYBOARD.row_time[3] +.sym 12429 KEYBOARD.row_time[2] +.sym 12430 KEYBOARD.row_time[5] +.sym 12431 KEYBOARD.row_time[6] +.sym 12434 KEYBOARD.row_time[7] +.sym 12435 KEYBOARD.row_time[4] +.sym 12436 KEYBOARD.row_time[5] +.sym 12437 KEYBOARD.row_time[6] +.sym 12440 $abc$32112$n29$2 +.sym 12441 KEYBOARD.row_time[0] +.sym 12442 $abc$32112$n839$2 +.sym 12443 $false +.sym 12446 KEYBOARD.row_time[0] +.sym 12447 KEYBOARD.row_time[1] +.sym 12448 $abc$32112$n565 +.sym 12449 $false +.sym 12452 KEYBOARD.row_time[1] +.sym 12453 $false +.sym 12454 $false +.sym 12455 $false +.sym 12456 $abc$32112$n838 +.sym 12457 CLK$2$2 +.sym 12458 $abc$32112$n29$2 +.sym 12463 $abc$32112$n1984 +.sym 12464 $abc$32112$n582 +.sym 12465 $abc$32112$n1137 +.sym 12466 $abc$32112$n563 +.sym 12467 $abc$32112$n575 +.sym 12468 $abc$32112$n826 +.sym 12469 I2C_INPUT_DATA[5][3] +.sym 12470 I2C_INPUT_DATA[5][4] +.sym 12573 KEYBOARD.row_time[3] +.sym 12574 KEYBOARD.row_time[2] +.sym 12575 $abc$32112$n566 +.sym 12576 $abc$32112$n583 +.sym 12579 I2C.FLT_SCL.RESET +.sym 12580 $abc$32112$n10 +.sym 12581 $false +.sym 12582 $false +.sym 12591 KEYBOARD.row_time[11] +.sym 12592 KEYBOARD.row_time[13] +.sym 12593 KEYBOARD.row_time[8] +.sym 12594 KEYBOARD.row_time[12] +.sym 12597 $abc$32112$n551 +.sym 12598 $abc$32112$n567 +.sym 12599 $false +.sym 12600 $false +.sym 12603 KEYBOARD.row_time[14] +.sym 12604 KEYBOARD.row_time[15] +.sym 12605 KEYBOARD.row_time[9] +.sym 12606 KEYBOARD.row_time[10] +.sym 12609 KEYBOARD.row_time[4] +.sym 12610 KEYBOARD.row_time[8] +.sym 12611 KEYBOARD.row_time[12] +.sym 12612 KEYBOARD.row_time[13] +.sym 12615 $false +.sym 12616 $true$2 +.sym 12617 KEYBOARD.row_time[0] +.sym 12618 $false +.sym 12619 $abc$32112$n839$2 +.sym 12620 CLK$2$2 +.sym 12621 $abc$32112$n29$2 +.sym 12622 $abc$32112$n1387 +.sym 12623 $abc$32112$n1134 +.sym 12624 $abc$32112$n1275_1 +.sym 12625 $abc$32112$n1383 +.sym 12626 I2C.byte_counter[0] +.sym 12627 I2C.byte_counter[3] +.sym 12628 I2C.byte_counter[7] +.sym 12629 I2C.byte_counter[1] +.sym 12696 $abc$32112$n1988 +.sym 12697 $abc$32112$n1128 +.sym 12698 $abc$32112$n1389 +.sym 12699 $abc$32112$n1127 +.sym 12702 $abc$32112$n1990 +.sym 12703 $abc$32112$n1135 +.sym 12704 I2C.byte_counter[6] +.sym 12705 $abc$32112$n691 +.sym 12708 $abc$32112$n1128 +.sym 12709 $abc$32112$n1127 +.sym 12710 $false +.sym 12711 $false +.sym 12714 $abc$32112$n691 +.sym 12715 $abc$32112$n1127 +.sym 12716 $false +.sym 12717 $false +.sym 12726 $abc$32112$n1817 +.sym 12727 I2C.byte_counter[4] +.sym 12728 $false +.sym 12729 $false +.sym 12732 KEYBOARD.COLS_SHADOW[0] +.sym 12733 $false +.sym 12734 $false +.sym 12735 $false +.sym 12742 $abc$32112$n770 +.sym 12743 CLK$2$2 +.sym 12744 $0\KBD_FREEZE[0:0]$2 +.sym 12745 $abc$32112$n785 +.sym 12746 $abc$32112$n1286_1 +.sym 12747 $abc$32112$n1393 +.sym 12749 $abc$32112$n1130 +.sym 12750 $abc$32112$n1129 +.sym 12751 $abc$32112$n1128 +.sym 12752 KEYBOARD.last_data[6] +.sym 12825 $abc$32112$n585 +.sym 12826 $abc$32112$n10 +.sym 12827 $abc$32112$n591 +.sym 12828 I2C.FLT_SCL.RESET +.sym 12831 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 12832 I2C.byte_counter[1] +.sym 12833 I2C.byte_counter[2] +.sym 12834 $abc$32112$n1182 +.sym 12843 $abc$32112$n1182 +.sym 12844 I2C.byte_counter[1] +.sym 12845 I2C.byte_counter[2] +.sym 12846 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 12855 $abc$32112$n569 +.sym 12856 $abc$32112$n10 +.sym 12857 $abc$32112$n591 +.sym 12858 I2C.FLT_SCL.RESET +.sym 12861 KEYBOARD.COLS_SHADOW[0] +.sym 12862 $false +.sym 12863 $false +.sym 12864 $false +.sym 12865 $abc$32112$n795 +.sym 12866 CLK$2$2 +.sym 12867 $0\KBD_FREEZE[0:0]$2 +.sym 12868 $abc$32112$n726 +.sym 12869 $abc$32112$n1287 +.sym 12870 $abc$32112$n597 +.sym 12871 $abc$32112$n595_1 +.sym 12872 $abc$32112$n1285_1 +.sym 12873 $abc$32112$n749 +.sym 12874 $abc$32112$n724 +.sym 12875 KEYBOARD.last_data[2] +.sym 12942 KEYBOARD.last_data[12] +.sym 12943 KEYBOARD.last_data[4] +.sym 12944 KEYBOARD.row_counter[1] +.sym 12945 $false +.sym 12954 KEYBOARD.last_data[8] +.sym 12955 KEYBOARD.last_data[0] +.sym 12956 KEYBOARD.row_counter[1] +.sym 12957 $false +.sym 12960 $abc$32112$n1289_1 +.sym 12961 $abc$32112$n1288_1 +.sym 12962 KEYBOARD.row_counter[0] +.sym 12963 $false +.sym 12966 $abc$32112$n598 +.sym 12967 $abc$32112$n10 +.sym 12968 $abc$32112$n591 +.sym 12969 I2C.FLT_SCL.RESET +.sym 12972 I2C.byte_counter[3] +.sym 12973 I2C.byte_counter[2] +.sym 12974 $false +.sym 12975 $false +.sym 12978 KEYBOARD.COLS_SHADOW[0] +.sym 12979 $false +.sym 12980 $false +.sym 12981 $false +.sym 12988 $abc$32112$n816 +.sym 12989 CLK$2$2 +.sym 12990 $0\KBD_FREEZE[0:0]$2 +.sym 12991 $abc$32112$n671 +.sym 12992 $abc$32112$n806 +.sym 12993 $abc$32112$n729 +.sym 12994 $abc$32112$n584 +.sym 12995 $abc$32112$n728 +.sym 12996 $abc$32112$n723 +.sym 12997 $abc$32112$n727 +.sym 12998 KEYBOARD.last_data[10] +.sym 13077 I2C.FLT_SCL.out +.sym 13078 I2C.FLT_SDA.out +.sym 13079 $abc$32112$n16 +.sym 13080 I2C.wr +.sym 13083 $abc$32112$n718 +.sym 13084 $abc$32112$n839$2 +.sym 13085 $false +.sym 13086 $false +.sym 13089 I2C.FLT_SCL.out +.sym 13090 $abc$32112$n16 +.sym 13091 I2C.SDA_DIR +.sym 13092 I2C.FLT_SDA.out +.sym 13095 $abc$32112$n596 +.sym 13096 $abc$32112$n10 +.sym 13097 $abc$32112$n591 +.sym 13098 I2C.FLT_SCL.RESET +.sym 13101 $abc$32112$n569 +.sym 13102 $abc$32112$n1290 +.sym 13103 KEYBOARD.COLS_SHADOW[0] +.sym 13104 $abc$32112$n591 +.sym 13107 KEYBOARD.COLS_SHADOW[0] +.sym 13108 $false +.sym 13109 $false +.sym 13110 $false +.sym 13111 $abc$32112$n732 +.sym 13112 CLK$2$2 +.sym 13113 $0\KBD_FREEZE[0:0]$2 +.sym 13115 $abc$32112$n779 +.sym 13117 $abc$32112$n1281_1 +.sym 13118 $abc$32112$n743 +.sym 13119 $abc$32112$n1279 +.sym 13120 $abc$32112$n823 +.sym 13121 KEYBOARD.last_data[1] +.sym 13194 I2C.FLT_SCL.out +.sym 13195 I2C.FLT_SDA.out +.sym 13196 $abc$32112$n16 +.sym 13197 I2C.SDA_DIR +.sym 13200 I2C.FLT_SDA.out +.sym 13201 $abc$32112$n16 +.sym 13202 I2C.FLT_SCL.out +.sym 13203 $false +.sym 13206 I2C.FLT_SCL.out +.sym 13207 I2C.FLT_SDA.out +.sym 13208 $abc$32112$n16 +.sym 13209 I2C.i2c_state_machine +.sym 13230 I2C.FLT_SDA.out +.sym 13231 $false +.sym 13232 $false +.sym 13233 $false +.sym 13234 $abc$32112$n539 +.sym 13235 CLK$2$2 +.sym 13236 $false +.sym 13237 $abc$32112$n878_1 +.sym 13238 $abc$32112$n1254 +.sym 13240 $abc$32112$n871 +.sym 13241 $abc$32112$n1252_1 +.sym 13242 $abc$32112$n1280_1 +.sym 13243 $abc$32112$n630 +.sym 13244 KEYBOARD.last_data[5] +.sym 13311 I2C.byte_counter[1] +.sym 13312 I2C.byte_counter[3] +.sym 13313 I2C.byte_counter[0] +.sym 13314 I2C.byte_counter[2] +.sym 13317 I2C.i2c_start_latency +.sym 13318 I2C.i2c_state_machine +.sym 13319 $abc$32112$n1817 +.sym 13320 $false +.sym 13323 I2C.byte_counter[2] +.sym 13324 I2C.byte_counter[1] +.sym 13325 $false +.sym 13326 $false +.sym 13329 I2C.byte_counter[0] +.sym 13330 I2C.byte_counter[1] +.sym 13331 $false +.sym 13332 $false +.sym 13335 I2C.byte_counter[3] +.sym 13336 I2C.byte_counter[0] +.sym 13337 $abc$32112$n882 +.sym 13338 $false +.sym 13341 I2C.i2c_state_machine +.sym 13342 I2C.i2c_start_latency +.sym 13343 $false +.sym 13344 $false +.sym 13347 I2C.i2c_start_latency +.sym 13348 $abc$32112$n1817 +.sym 13349 I2C.i2c_state_machine +.sym 13350 I2C.is_read +.sym 13353 $abc$32112$n1817 +.sym 13354 $false +.sym 13355 $false +.sym 13356 $false +.sym 13357 $abc$32112$n580 +.sym 13358 CLK$2$2 +.sym 13359 $false +.sym 13360 $abc$32112$n896 +.sym 13361 $abc$32112$n873 +.sym 13362 $abc$32112$n884 +.sym 13363 $abc$32112$n907 +.sym 13364 $abc$32112$n1312 +.sym 13366 $abc$32112$n889 +.sym 13367 $abc$32112$n1311 +.sym 13434 $abc$32112$n895 +.sym 13435 $abc$32112$n885_1 +.sym 13436 $abc$32112$n869 +.sym 13437 $abc$32112$n879 +.sym 13440 $abc$32112$n896 +.sym 13441 $abc$32112$n903 +.sym 13442 $abc$32112$n878_1 +.sym 13443 $abc$32112$n904_1 +.sym 13446 $abc$32112$n873 +.sym 13447 $abc$32112$n877 +.sym 13448 $false +.sym 13449 $false +.sym 13452 I2C.byte_counter[1] +.sym 13453 I2C.byte_counter[0] +.sym 13454 $abc$32112$n873 +.sym 13455 $false +.sym 13458 $abc$32112$n888 +.sym 13459 $abc$32112$n869 +.sym 13460 $abc$32112$n889 +.sym 13461 $abc$32112$n887 +.sym 13464 $abc$32112$n877 +.sym 13465 $abc$32112$n890 +.sym 13466 $abc$32112$n888 +.sym 13467 $false +.sym 13470 $abc$32112$n869 +.sym 13471 $abc$32112$n871 +.sym 13472 $false +.sym 13473 $false +.sym 13476 $abc$32112$n881 +.sym 13477 $abc$32112$n888 +.sym 13478 $abc$32112$n871 +.sym 13479 $false +.sym 13483 $abc$32112$n874 +.sym 13484 $abc$32112$n883 +.sym 13485 $abc$32112$n885_1 +.sym 13486 $abc$32112$n927_1 +.sym 13487 $abc$32112$n870 +.sym 13488 $abc$32112$n926 +.sym 13489 $abc$32112$n909 +.sym 13490 $abc$32112$n869 +.sym 13557 $abc$32112$n890 +.sym 13558 $abc$32112$n874 +.sym 13559 $abc$32112$n883 +.sym 13560 $abc$32112$n869 +.sym 13563 $abc$32112$n869 +.sym 13564 $abc$32112$n909 +.sym 13565 $abc$32112$n919 +.sym 13566 $false +.sym 13569 $abc$32112$n881 +.sym 13570 $abc$32112$n883 +.sym 13571 $false +.sym 13572 $false +.sym 13575 $abc$32112$n868_1 +.sym 13576 $abc$32112$n872 +.sym 13577 $false +.sym 13578 $false +.sym 13581 $abc$32112$n880 +.sym 13582 $abc$32112$n885_1 +.sym 13583 $abc$32112$n867_1 +.sym 13584 $abc$32112$n875 +.sym 13587 $abc$32112$n883 +.sym 13588 $abc$32112$n878_1 +.sym 13589 $abc$32112$n872 +.sym 13590 $abc$32112$n885_1 +.sym 13593 $abc$32112$n911 +.sym 13594 $abc$32112$n924 +.sym 13595 $abc$32112$n928_1 +.sym 13596 $abc$32112$n918 +.sym 13599 $abc$32112$n873 +.sym 13600 $abc$32112$n874 +.sym 13601 $false +.sym 13602 $false +.sym 13607 $abc$32112$n908_1 +.sym 13608 $abc$32112$n906 +.sym 13609 $abc$32112$n925_1 +.sym 13611 $abc$32112$n905 +.sym 13612 $abc$32112$n910 +.sym 13613 KEYBOARD.isr +.sym 13680 $abc$32112$n865 +.sym 13681 $abc$32112$n912 +.sym 13682 $abc$32112$n902 +.sym 13683 $abc$32112$n948 +.sym 13686 $abc$32112$n899 +.sym 13687 $abc$32112$n924 +.sym 13688 $false +.sym 13689 $false +.sym 13692 $abc$32112$n885_1 +.sym 13693 $abc$32112$n890 +.sym 13694 I2C.byte_counter[0] +.sym 13695 $false +.sym 13698 $abc$32112$n911 +.sym 13699 $abc$32112$n905 +.sym 13700 $abc$32112$n901 +.sym 13701 $false +.sym 13704 $abc$32112$n913 +.sym 13705 $abc$32112$n918 +.sym 13706 $false +.sym 13707 $false +.sym 13710 $abc$32112$n866 +.sym 13711 $abc$32112$n886_1 +.sym 13712 $false +.sym 13713 $false +.sym 13716 $abc$32112$n903 +.sym 13717 $abc$32112$n885_1 +.sym 13718 $abc$32112$n902 +.sym 13719 $false +.sym 13722 I2C.received_byte[7] +.sym 13723 $false +.sym 13724 $false +.sym 13725 $false +.sym 13726 $abc$32112$n2241 +.sym 13727 CLK$2$2 +.sym 13728 $false +.sym 13730 $abc$32112$n1014_1 +.sym 13731 $abc$32112$n1013 +.sym 13732 $abc$32112$n663 +.sym 13733 I2C_INPUT_DATA[3][3] +.sym 13734 I2C_INPUT_DATA[3][2] +.sym 13735 I2C_INPUT_DATA[3][1] +.sym 13736 I2C_INPUT_DATA[3][0] +.sym 13803 I2C_INPUT_DATA[2][4] +.sym 13804 I2C_INPUT_DATA[2][5] +.sym 13805 $abc$32112$n659 +.sym 13806 I2C_INPUT_DATA[3][1] +.sym 13809 I2C_INPUT_DATA[2][5] +.sym 13810 I2C_INPUT_DATA[3][0] +.sym 13811 $abc$32112$n659 +.sym 13812 I2C_INPUT_DATA[2][4] +.sym 13815 $abc$32112$n648 +.sym 13816 $abc$32112$n670 +.sym 13817 $abc$32112$n671_1 +.sym 13818 $abc$32112$n673 +.sym 13821 I2C_INPUT_DATA[3][0] +.sym 13822 I2C_INPUT_DATA[3][1] +.sym 13823 I2C_INPUT_DATA[2][0] +.sym 13824 $false +.sym 13827 I2C_INPUT_DATA[2][5] +.sym 13828 I2C_INPUT_DATA[2][4] +.sym 13829 $abc$32112$n661 +.sym 13830 $abc$32112$n662 +.sym 13833 I2C.received_byte[4] +.sym 13834 $false +.sym 13835 $false +.sym 13836 $false +.sym 13839 I2C.received_byte[2] +.sym 13840 $false +.sym 13841 $false +.sym 13842 $false +.sym 13845 I2C.received_byte[0] +.sym 13846 $false +.sym 13847 $false +.sym 13848 $false +.sym 13849 $abc$32112$n2241 +.sym 13850 CLK$2$2 +.sym 13851 $false +.sym 13852 $abc$32112$n664 +.sym 13853 $abc$32112$n674 +.sym 13854 $abc$32112$n1015_1 +.sym 13855 $abc$32112$n665 +.sym 13856 $abc$32112$n1012 +.sym 13857 $abc$32112$n646 +.sym 13858 $abc$32112$n1270 +.sym 13859 last_wr +.sym 13926 $abc$32112$n646 +.sym 13927 $abc$32112$n658 +.sym 13928 I2C_INPUT_DATA[3][0] +.sym 13929 $false +.sym 13932 last_trans +.sym 13933 I2C_TRANS +.sym 13934 $false +.sym 13935 $false +.sym 13938 I2C_INPUT_LEN[2] +.sym 13939 I2C_INPUT_LEN[0] +.sym 13940 $abc$32112$n666 +.sym 13941 I2C_INPUT_LEN[1] +.sym 13944 $abc$32112$n1270 +.sym 13945 $abc$32112$n970 +.sym 13946 $abc$32112$n969_1 +.sym 13947 $false +.sym 13950 I2C_TRANS +.sym 13951 last_trans +.sym 13952 $false +.sym 13953 $false +.sym 13956 I2C_TRANS +.sym 13957 $false +.sym 13958 $false +.sym 13959 $false +.sym 13968 UART.tx_activity +.sym 13969 $false +.sym 13970 $false +.sym 13971 $false +.sym 13972 I2C.FLT_SCL.RESET +.sym 13973 CLK$2$2 +.sym 13974 $false +.sym 13975 $abc$32112$n396 +.sym 13976 $abc$32112$n678_1 +.sym 13977 $abc$32112$n682 +.sym 13978 $abc$32112$n675 +.sym 13979 $abc$32112$n677 +.sym 13980 $abc$32112$n435 +.sym 13981 $abc$32112$n627 +.sym 13982 LED1$2 +.sym 14049 $abc$32112$n631_1 +.sym 14050 $abc$32112$n632 +.sym 14051 $abc$32112$n634 +.sym 14052 $false +.sym 14055 $abc$32112$n542 +.sym 14056 $abc$32112$n630_1 +.sym 14057 I2C.FLT_SCL.RESET +.sym 14058 $abc$32112$n628 +.sym 14061 $abc$32112$n634 +.sym 14062 $abc$32112$n637 +.sym 14063 $false +.sym 14064 $false +.sym 14067 UART.tx_activity +.sym 14068 last_uart_active +.sym 14069 uart_double_ff +.sym 14070 $false +.sym 14073 $abc$32112$n542 +.sym 14074 I2C.FLT_SCL.RESET +.sym 14075 $false +.sym 14076 $false +.sym 14079 $abc$32112$n5 +.sym 14080 I2C.wr +.sym 14081 last_wr +.sym 14082 $false +.sym 14085 I2C_INPUT_LEN[2] +.sym 14086 I2C_INPUT_LEN[0] +.sym 14087 I2C_INPUT_LEN[1] +.sym 14088 $abc$32112$n666 +.sym 14091 $abc$32112$n632 +.sym 14092 $abc$32112$n628 +.sym 14093 $false +.sym 14094 $false +.sym 14095 $abc$32112$n405 +.sym 14096 CLK$2$2 +.sym 14097 $0\KBD_FREEZE[0:0]$2 +.sym 14102 $abc$32112$n2002 +.sym 14103 $abc$32112$n2131 +.sym 14104 $abc$32112$n1706 +.sym 14105 I2C_INPUT_LEN[0] +.sym 14172 I2C_INPUT_LEN[1] +.sym 14173 $false +.sym 14174 $false +.sym 14175 $false +.sym 14178 I2C_INPUT_LEN[3] +.sym 14179 $false +.sym 14180 $false +.sym 14181 $false +.sym 14184 $false +.sym 14185 $false +.sym 14186 $abc$32112$n2140 +.sym 14187 $true$2 +.sym 14190 I2C_INPUT_LEN[3] +.sym 14191 $abc$32112$n667 +.sym 14192 $false +.sym 14193 $false +.sym 14202 I2C_INPUT_LEN[1] +.sym 14203 I2C_INPUT_LEN[0] +.sym 14204 $abc$32112$n1291_1 +.sym 14205 $abc$32112$n667 +.sym 14208 $abc$32112$n645 +.sym 14209 $abc$32112$n669 +.sym 14210 I2C_INPUT_LEN[2] +.sym 14211 I2C_INPUT_LEN[3] +.sym 14214 $0\uart_double_ff[0:0] +.sym 14215 $false +.sym 14216 $false +.sym 14217 $false +.sym 14218 $abc$32112$n505 +.sym 14219 CLK$2$2 +.sym 14220 $false +.sym 14228 $abc$32112$n2169 +.sym 14295 I2C_INPUT_LEN[6] +.sym 14296 $false +.sym 14297 $false +.sym 14298 $false +.sym 14301 I2C_INPUT_LEN[4] +.sym 14302 $false +.sym 14303 $false +.sym 14304 $false +.sym 14307 I2C_INPUT_LEN[7] +.sym 14308 $false +.sym 14309 $false +.sym 14310 $false +.sym 14313 I2C_INPUT_LEN[5] +.sym 14314 $false +.sym 14315 $false +.sym 14316 $false +.sym 14319 I2C_INPUT_LEN[4] +.sym 14320 I2C_INPUT_LEN[5] +.sym 14321 I2C_INPUT_LEN[6] +.sym 14322 I2C_INPUT_LEN[7] +.sym 14325 $abc$32112$n630_1 +.sym 14326 $abc$32112$n1716 +.sym 14327 $false +.sym 14328 $false +.sym 14331 $abc$32112$n630_1 +.sym 14332 $abc$32112$n1720 +.sym 14333 $false +.sym 14334 $false +.sym 14337 $abc$32112$n630_1 +.sym 14338 $abc$32112$n1718 +.sym 14339 $false +.sym 14340 $false +.sym 14341 $abc$32112$n424 +.sym 14342 CLK$2$2 +.sym 14343 $0\KBD_FREEZE[0:0]$2 +.sym 14388 $abc$32112$n992 +.sym 14418 $abc$32112$n29 +.sym 14445 I2C_INPUT_DATA[4][5] +.sym 14450 I2C_INPUT_DATA[4][7] +.sym 14574 $abc$32112$n1986 +.sym 14575 $abc$32112$n1987 +.sym 14576 $abc$32112$n1988 +.sym 14577 $abc$32112$n1989 +.sym 14578 $abc$32112$n1990 +.sym 14579 $abc$32112$n1991 +.sym 14682 $false +.sym 14683 $true$2 +.sym 14684 $abc$32112$n1383 +.sym 14685 $false +.sym 14688 KEYBOARD.row_time[3] +.sym 14689 $abc$32112$n583 +.sym 14690 $abc$32112$n566 +.sym 14691 KEYBOARD.row_time[2] +.sym 14694 $abc$32112$n1987 +.sym 14695 $abc$32112$n1387 +.sym 14696 $abc$32112$n1135 +.sym 14697 $false +.sym 14700 KEYBOARD.row_time[3] +.sym 14701 KEYBOARD.row_time[2] +.sym 14702 $abc$32112$n564 +.sym 14703 $abc$32112$n566 +.sym 14706 KEYBOARD.row_time[3] +.sym 14707 $abc$32112$n566 +.sym 14708 $abc$32112$n564 +.sym 14709 KEYBOARD.row_time[2] +.sym 14712 KEYBOARD.row_time[3] +.sym 14713 $abc$32112$n566 +.sym 14714 $abc$32112$n564 +.sym 14715 KEYBOARD.row_time[2] +.sym 14718 I2C.received_byte[3] +.sym 14719 $false +.sym 14720 $false +.sym 14721 $false +.sym 14724 I2C.received_byte[4] +.sym 14725 $false +.sym 14726 $false +.sym 14727 $false +.sym 14728 $abc$32112$n2231 +.sym 14729 CLK$2$2 +.sym 14730 $false +.sym 14731 $abc$32112$n2150 +.sym 14732 $abc$32112$n2147 +.sym 14733 $abc$32112$n1386 +.sym 14734 $abc$32112$n2145 +.sym 14735 $abc$32112$n1390 +.sym 14736 $abc$32112$n2148 +.sym 14737 I2C.byte_counter[5] +.sym 14738 I2C.byte_counter[2] +.sym 14805 $abc$32112$n1817 +.sym 14806 I2C.byte_counter[3] +.sym 14807 $false +.sym 14808 $false +.sym 14811 $abc$32112$n691 +.sym 14812 $abc$32112$n1135 +.sym 14813 $false +.sym 14814 $false +.sym 14817 $abc$32112$n1128 +.sym 14818 I2C.byte_counter[1] +.sym 14819 I2C.byte_counter[0] +.sym 14820 $false +.sym 14823 $abc$32112$n1817 +.sym 14824 I2C.byte_counter[0] +.sym 14825 $false +.sym 14826 $false +.sym 14829 $abc$32112$n1984 +.sym 14830 $abc$32112$n1128 +.sym 14831 $abc$32112$n1383 +.sym 14832 $abc$32112$n1126 +.sym 14835 $abc$32112$n1137 +.sym 14836 I2C.byte_counter[3] +.sym 14837 $abc$32112$n691 +.sym 14838 $false +.sym 14841 $abc$32112$n1991 +.sym 14842 $abc$32112$n1393 +.sym 14843 $abc$32112$n1134 +.sym 14844 $false +.sym 14847 $abc$32112$n1384 +.sym 14848 $abc$32112$n1275_1 +.sym 14849 $abc$32112$n1126 +.sym 14850 $false +.sym 14851 $true +.sym 14852 CLK$2$2 +.sym 14853 $false +.sym 14854 $abc$32112$n1384 +.sym 14855 $abc$32112$n827 +.sym 14856 $abc$32112$n611 +.sym 14859 $abc$32112$n2095 +.sym 14860 $abc$32112$n2149 +.sym 14861 KEYBOARD.last_data[14] +.sym 14928 $abc$32112$n582 +.sym 14929 $abc$32112$n569 +.sym 14930 $abc$32112$n10 +.sym 14931 I2C.FLT_SCL.RESET +.sym 14934 KEYBOARD.last_data[14] +.sym 14935 KEYBOARD.last_data[6] +.sym 14936 KEYBOARD.row_counter[1] +.sym 14937 $false +.sym 14940 $abc$32112$n1817 +.sym 14941 I2C.byte_counter[7] +.sym 14942 $false +.sym 14943 $false +.sym 14952 I2C.byte_counter[5] +.sym 14953 I2C.byte_counter[4] +.sym 14954 I2C.byte_counter[7] +.sym 14955 $false +.sym 14958 $abc$32112$n1384 +.sym 14959 $abc$32112$n890 +.sym 14960 $abc$32112$n1130 +.sym 14961 I2C.byte_counter[0] +.sym 14964 $abc$32112$n1129 +.sym 14965 $abc$32112$n1392 +.sym 14966 $false +.sym 14967 $false +.sym 14970 KEYBOARD.COLS_SHADOW[2] +.sym 14971 $false +.sym 14972 $false +.sym 14973 $false +.sym 14974 $abc$32112$n785 +.sym 14975 CLK$2$2 +.sym 14976 $0\KBD_FREEZE[0:0]$2 +.sym 14977 $abc$32112$n725 +.sym 14978 $abc$32112$n594 +.sym 14979 $abc$32112$n609 +.sym 14980 $abc$32112$n610 +.sym 14981 $abc$32112$n66 +.sym 14982 $abc$32112$n61 +.sym 14983 $abc$32112$n765 +.sym 14984 KEYBOARD.last_data[3] +.sym 15051 $abc$32112$n1287 +.sym 15052 KEYBOARD.COLS_SHADOW[2] +.sym 15053 KEYBOARD.is_pressed +.sym 15054 $abc$32112$n582 +.sym 15057 $abc$32112$n1286_1 +.sym 15058 $abc$32112$n1285_1 +.sym 15059 KEYBOARD.row_counter[0] +.sym 15060 $false +.sym 15063 $abc$32112$n1287 +.sym 15064 KEYBOARD.COLS_SHADOW[2] +.sym 15065 $abc$32112$n582 +.sym 15066 $abc$32112$n598 +.sym 15069 $abc$32112$n596 +.sym 15070 $abc$32112$n1290 +.sym 15071 KEYBOARD.COLS_SHADOW[0] +.sym 15072 $abc$32112$n591 +.sym 15075 KEYBOARD.last_data[10] +.sym 15076 KEYBOARD.last_data[2] +.sym 15077 KEYBOARD.row_counter[1] +.sym 15078 $false +.sym 15081 $abc$32112$n582 +.sym 15082 $abc$32112$n596 +.sym 15083 $abc$32112$n10 +.sym 15084 I2C.FLT_SCL.RESET +.sym 15087 $abc$32112$n563 +.sym 15088 $abc$32112$n582 +.sym 15089 $abc$32112$n725 +.sym 15090 $abc$32112$n726 +.sym 15093 KEYBOARD.COLS_SHADOW[2] +.sym 15094 $false +.sym 15095 $false +.sym 15096 $false +.sym 15097 $abc$32112$n749 +.sym 15098 CLK$2$2 +.sym 15099 $0\KBD_FREEZE[0:0]$2 +.sym 15100 $abc$32112$n602 +.sym 15101 $abc$32112$n568 +.sym 15102 $abc$32112$n576 +.sym 15103 $abc$32112$n601_1 +.sym 15104 $abc$32112$n69 +.sym 15105 $abc$32112$n554 +.sym 15106 $abc$32112$n63 +.sym 15107 $abc$32112$n60 +.sym 15174 $abc$32112$n724 +.sym 15175 $abc$32112$n727 +.sym 15176 $abc$32112$n729 +.sym 15177 $false +.sym 15180 $abc$32112$n582 +.sym 15181 $abc$32112$n585 +.sym 15182 $abc$32112$n10 +.sym 15183 I2C.FLT_SCL.RESET +.sym 15186 $abc$32112$n1290 +.sym 15187 KEYBOARD.is_pressed +.sym 15188 KEYBOARD.COLS_SHADOW[0] +.sym 15189 $abc$32112$n591 +.sym 15192 $abc$32112$n585 +.sym 15193 $abc$32112$n1290 +.sym 15194 KEYBOARD.COLS_SHADOW[0] +.sym 15195 $abc$32112$n591 +.sym 15198 $abc$32112$n1281_1 +.sym 15199 KEYBOARD.COLS_SHADOW[1] +.sym 15200 KEYBOARD.is_pressed +.sym 15201 $abc$32112$n563 +.sym 15204 $abc$32112$n724 +.sym 15205 $abc$32112$n727 +.sym 15206 $abc$32112$n729 +.sym 15207 $abc$32112$n730 +.sym 15210 $abc$32112$n728 +.sym 15211 $abc$32112$n591 +.sym 15212 $false +.sym 15213 $false +.sym 15216 KEYBOARD.COLS_SHADOW[2] +.sym 15217 $false +.sym 15218 $false +.sym 15219 $false +.sym 15220 $abc$32112$n806 +.sym 15221 CLK$2$2 +.sym 15222 $0\KBD_FREEZE[0:0]$2 +.sym 15223 $abc$32112$n606 +.sym 15224 $abc$32112$n800 +.sym 15225 $abc$32112$n600 +.sym 15226 $abc$32112$n593 +.sym 15227 $abc$32112$n569 +.sym 15228 $abc$32112$n822 +.sym 15229 $abc$32112$n615 +.sym 15230 KEYBOARD.last_data[9] +.sym 15303 $abc$32112$n823 +.sym 15304 $abc$32112$n569 +.sym 15305 I2C.FLT_SCL.RESET +.sym 15306 $false +.sym 15315 $abc$32112$n1280_1 +.sym 15316 $abc$32112$n1279 +.sym 15317 KEYBOARD.row_counter[0] +.sym 15318 $false +.sym 15321 $abc$32112$n823 +.sym 15322 $abc$32112$n596 +.sym 15323 I2C.FLT_SCL.RESET +.sym 15324 $false +.sym 15327 KEYBOARD.last_data[9] +.sym 15328 KEYBOARD.last_data[1] +.sym 15329 KEYBOARD.row_counter[1] +.sym 15330 $false +.sym 15333 $abc$32112$n10 +.sym 15334 $abc$32112$n563 +.sym 15335 $false +.sym 15336 $false +.sym 15339 KEYBOARD.COLS_SHADOW[1] +.sym 15340 $false +.sym 15341 $false +.sym 15342 $false +.sym 15343 $abc$32112$n743 +.sym 15344 CLK$2$2 +.sym 15345 $0\KBD_FREEZE[0:0]$2 +.sym 15346 $abc$32112$n693 +.sym 15347 $abc$32112$n717 +.sym 15348 $abc$32112$n621 +.sym 15349 $abc$32112$n1174 +.sym 15350 $abc$32112$n794 +.sym 15351 KEYBOARD.report[7] +.sym 15352 KEYBOARD.report[6] +.sym 15353 KEYBOARD.report[5] +.sym 15420 I2C.byte_counter[5] +.sym 15421 I2C.byte_counter[6] +.sym 15422 I2C.byte_counter[7] +.sym 15423 I2C.byte_counter[4] +.sym 15426 $abc$32112$n69 +.sym 15427 $abc$32112$n621 +.sym 15428 $abc$32112$n723 +.sym 15429 $false +.sym 15438 I2C.byte_counter[5] +.sym 15439 I2C.byte_counter[4] +.sym 15440 I2C.byte_counter[6] +.sym 15441 I2C.byte_counter[7] +.sym 15444 $abc$32112$n69 +.sym 15445 $abc$32112$n621 +.sym 15446 $abc$32112$n10 +.sym 15447 $false +.sym 15450 KEYBOARD.last_data[13] +.sym 15451 KEYBOARD.last_data[5] +.sym 15452 KEYBOARD.row_counter[1] +.sym 15453 $false +.sym 15456 $abc$32112$n1252_1 +.sym 15457 I2C.FLT_SCL.RESET +.sym 15458 $false +.sym 15459 $false +.sym 15462 KEYBOARD.COLS_SHADOW[1] +.sym 15463 $false +.sym 15464 $false +.sym 15465 $false +.sym 15466 $abc$32112$n779 +.sym 15467 CLK$2$2 +.sym 15468 $0\KBD_FREEZE[0:0]$2 +.sym 15469 $abc$32112$n1059 +.sym 15470 $abc$32112$n1063 +.sym 15471 $abc$32112$n2094 +.sym 15472 $abc$32112$n1073 +.sym 15473 $abc$32112$n720 +.sym 15474 $abc$32112$n1077 +.sym 15475 $abc$32112$n816_1 +.sym 15476 $abc$32112$n806_1 +.sym 15543 I2C.byte_counter[1] +.sym 15544 I2C.byte_counter[3] +.sym 15545 I2C.byte_counter[0] +.sym 15546 I2C.byte_counter[2] +.sym 15549 I2C.byte_counter[2] +.sym 15550 I2C.byte_counter[3] +.sym 15551 $false +.sym 15552 $false +.sym 15555 I2C.byte_counter[1] +.sym 15556 I2C.byte_counter[0] +.sym 15557 $false +.sym 15558 $false +.sym 15561 $abc$32112$n871 +.sym 15562 $abc$32112$n884 +.sym 15563 $false +.sym 15564 $false +.sym 15567 I2C.byte_counter[5] +.sym 15568 I2C.byte_counter[4] +.sym 15569 I2C.byte_counter[7] +.sym 15570 $abc$32112$n1311 +.sym 15579 $abc$32112$n878_1 +.sym 15580 $abc$32112$n890 +.sym 15581 $abc$32112$n884 +.sym 15582 $false +.sym 15585 $abc$32112$n873 +.sym 15586 $abc$32112$n884 +.sym 15587 $abc$32112$n879 +.sym 15588 I2C.byte_counter[6] +.sym 15592 $abc$32112$n1310 +.sym 15593 $abc$32112$n1255 +.sym 15594 $abc$32112$n1259 +.sym 15595 $abc$32112$n770_1 +.sym 15596 $abc$32112$n768 +.sym 15597 $abc$32112$n1257_1 +.sym 15598 $abc$32112$n1278_1 +.sym 15599 $abc$32112$n808 +.sym 15666 I2C.byte_counter[1] +.sym 15667 I2C.byte_counter[0] +.sym 15668 $false +.sym 15669 $false +.sym 15672 I2C.byte_counter[3] +.sym 15673 $abc$32112$n884 +.sym 15674 I2C.byte_counter[2] +.sym 15675 $false +.sym 15678 I2C.byte_counter[4] +.sym 15679 $abc$32112$n870 +.sym 15680 $false +.sym 15681 $false +.sym 15684 I2C.byte_counter[3] +.sym 15685 I2C.byte_counter[2] +.sym 15686 $abc$32112$n884 +.sym 15687 $abc$32112$n870 +.sym 15690 I2C.byte_counter[6] +.sym 15691 I2C.byte_counter[7] +.sym 15692 I2C.byte_counter[5] +.sym 15693 $false +.sym 15696 $abc$32112$n871 +.sym 15697 $abc$32112$n896 +.sym 15698 $false +.sym 15699 $false +.sym 15702 I2C.byte_counter[3] +.sym 15703 I2C.byte_counter[2] +.sym 15704 $abc$32112$n874 +.sym 15705 $false +.sym 15708 $abc$32112$n870 +.sym 15709 I2C.byte_counter[4] +.sym 15710 $false +.sym 15711 $false +.sym 15715 $abc$32112$n1060 +.sym 15716 $abc$32112$n1335 +.sym 15717 $abc$32112$n1309_1 +.sym 15718 $abc$32112$n817 +.sym 15719 $abc$32112$n810 +.sym 15720 $abc$32112$n1062 +.sym 15721 $abc$32112$n678 +.sym 15722 KEYBOARD.report[63] +.sym 15795 I2C.byte_counter[1] +.sym 15796 I2C.byte_counter[2] +.sym 15797 I2C.byte_counter[3] +.sym 15798 I2C.byte_counter[0] +.sym 15801 I2C.byte_counter[3] +.sym 15802 $abc$32112$n907 +.sym 15803 $abc$32112$n908_1 +.sym 15804 $abc$32112$n878_1 +.sym 15807 $abc$32112$n878_1 +.sym 15808 $abc$32112$n910 +.sym 15809 $abc$32112$n926 +.sym 15810 $abc$32112$n927_1 +.sym 15819 $abc$32112$n909 +.sym 15820 $abc$32112$n910 +.sym 15821 $abc$32112$n885_1 +.sym 15822 $abc$32112$n906 +.sym 15825 I2C.byte_counter[1] +.sym 15826 I2C.byte_counter[3] +.sym 15827 I2C.byte_counter[2] +.sym 15828 I2C.byte_counter[0] +.sym 15831 $abc$32112$n69 +.sym 15832 $abc$32112$n621 +.sym 15833 $false +.sym 15834 $false +.sym 15835 $abc$32112$n839$2 +.sym 15836 CLK$2$2 +.sym 15837 $false +.sym 15838 $abc$32112$n1305_1 +.sym 15839 $abc$32112$n1334_1 +.sym 15840 $abc$32112$n789 +.sym 15841 $abc$32112$n1076 +.sym 15842 $abc$32112$n788 +.sym 15843 KEYBOARD.report[45] +.sym 15844 KEYBOARD.report[44] +.sym 15845 KEYBOARD.report[43] +.sym 15918 I2C_INPUT_DATA[3][1] +.sym 15919 $abc$32112$n1015_1 +.sym 15920 $abc$32112$n663 +.sym 15921 $false +.sym 15924 $abc$32112$n670 +.sym 15925 $abc$32112$n1014_1 +.sym 15926 $abc$32112$n660 +.sym 15927 $false +.sym 15930 I2C_INPUT_DATA[3][2] +.sym 15931 I2C_INPUT_DATA[3][3] +.sym 15932 $false +.sym 15933 $false +.sym 15936 I2C.received_byte[3] +.sym 15937 $false +.sym 15938 $false +.sym 15939 $false +.sym 15942 I2C.received_byte[2] +.sym 15943 $false +.sym 15944 $false +.sym 15945 $false +.sym 15948 I2C.received_byte[1] +.sym 15949 $false +.sym 15950 $false +.sym 15951 $false +.sym 15954 I2C.received_byte[0] +.sym 15955 $false +.sym 15956 $false +.sym 15957 $false +.sym 15958 $abc$32112$n2237 +.sym 15959 CLK$2$2 +.sym 15960 $false +.sym 15961 COM_DCD$2 +.sym 15963 $abc$32112$n1061 +.sym 15964 $abc$32112$n1074 +.sym 15965 $abc$32112$n1628 +.sym 15966 KEYBOARD.report[61] +.sym 16035 I2C_INPUT_LEN[1] +.sym 16036 I2C_INPUT_LEN[0] +.sym 16037 $abc$32112$n665 +.sym 16038 $false +.sym 16041 I2C_INPUT_LEN[0] +.sym 16042 $abc$32112$n666 +.sym 16043 I2C_INPUT_LEN[1] +.sym 16044 $false +.sym 16047 I2C_INPUT_LEN[0] +.sym 16048 I2C_INPUT_LEN[1] +.sym 16049 I2C_INPUT_DATA[3][0] +.sym 16050 $false +.sym 16053 $abc$32112$n666 +.sym 16054 I2C_INPUT_LEN[2] +.sym 16055 $false +.sym 16056 $false +.sym 16059 $abc$32112$n675 +.sym 16060 $abc$32112$n647 +.sym 16061 $abc$32112$n665 +.sym 16062 $abc$32112$n1013 +.sym 16065 $abc$32112$n647 +.sym 16066 $abc$32112$n653 +.sym 16067 $false +.sym 16068 $false +.sym 16071 $abc$32112$n646 +.sym 16072 $abc$32112$n674 +.sym 16073 I2C_INPUT_DATA[3][1] +.sym 16074 $false +.sym 16077 I2C.wr +.sym 16078 $false +.sym 16079 $false +.sym 16080 $false +.sym 16081 I2C.FLT_SCL.RESET +.sym 16082 CLK$2$2 +.sym 16083 $false +.sym 16084 $abc$32112$n419 +.sym 16085 $abc$32112$n501 +.sym 16086 $abc$32112$n1293 +.sym 16088 $abc$32112$n626 +.sym 16089 $abc$32112$n633 +.sym 16090 last_isr +.sym 16158 I2C.is_read +.sym 16159 $abc$32112$n635 +.sym 16160 $abc$32112$n634 +.sym 16161 $abc$32112$n626 +.sym 16164 I2C.is_read +.sym 16165 $abc$32112$n674 +.sym 16166 $abc$32112$n634 +.sym 16167 $false +.sym 16170 $abc$32112$n676 +.sym 16171 I2C.is_read +.sym 16172 $abc$32112$n634 +.sym 16173 $false +.sym 16176 $abc$32112$n676 +.sym 16177 I2C.is_read +.sym 16178 $abc$32112$n634 +.sym 16179 $false +.sym 16182 I2C.FLT_SCL.RESET +.sym 16183 I2C.wr +.sym 16184 last_wr +.sym 16185 $abc$32112$n678_1 +.sym 16188 $abc$32112$n634 +.sym 16189 I2C.is_read +.sym 16190 $abc$32112$n626 +.sym 16191 $false +.sym 16194 $abc$32112$n630_1 +.sym 16195 $abc$32112$n628 +.sym 16196 I2C.FLT_SCL.RESET +.sym 16197 $false +.sym 16200 $abc$32112$n5 +.sym 16201 $false +.sym 16202 $false +.sym 16203 $false +.sym 16204 $abc$32112$n396 +.sym 16205 CLK$2$2 +.sym 16206 $false +.sym 16207 $abc$32112$n2107 +.sym 16208 $abc$32112$n2106 +.sym 16209 $abc$32112$n710 +.sym 16210 $abc$32112$n535 +.sym 16211 $abc$32112$n2144 +.sym 16212 $abc$32112$n2142 +.sym 16213 UART.tx_bit_counter[1] +.sym 16214 UART.tx_bit_counter[0] +.sym 16243 $true +.sym 16280 $abc$32112$n2140$2 +.sym 16281 $false +.sym 16282 $abc$32112$n2140 +.sym 16283 $false +.sym 16284 $false +.sym 16286 $auto$alumacc.cc:470:replace_alu$4536.C[2] +.sym 16288 $false +.sym 16289 $abc$32112$n2106 +.sym 16292 $auto$alumacc.cc:470:replace_alu$4536.C[3] +.sym 16294 $false +.sym 16295 $abc$32112$n2142 +.sym 16298 $abc$32112$n2002$2 +.sym 16300 $false +.sym 16301 $abc$32112$n2144 +.sym 16308 $abc$32112$n2002$2 +.sym 16311 I2C_INPUT_LEN[2] +.sym 16312 $false +.sym 16313 $false +.sym 16314 $false +.sym 16317 $false +.sym 16318 I2C.byte_counter[0] +.sym 16319 $false +.sym 16320 $true$2 +.sym 16323 $abc$32112$n630_1 +.sym 16324 $abc$32112$n1706 +.sym 16325 $false +.sym 16326 $false +.sym 16327 $abc$32112$n424 +.sym 16328 CLK$2$2 +.sym 16329 $0\KBD_FREEZE[0:0]$2 +.sym 16332 $abc$32112$n2141 +.sym 16333 $abc$32112$n2143 +.sym 16334 $abc$32112$n711 +.sym 16335 $abc$32112$n21 +.sym 16336 UART.tx_bit_counter[3] +.sym 16337 UART.tx_bit_counter[2] +.sym 16366 $true +.sym 16403 $abc$32112$n2130$2 +.sym 16404 $false +.sym 16405 $abc$32112$n2130 +.sym 16406 $false +.sym 16407 $false +.sym 16409 $auto$alumacc.cc:470:replace_alu$4591.C[3] +.sym 16411 $false +.sym 16412 $abc$32112$n2131 +.sym 16415 $auto$alumacc.cc:470:replace_alu$4591.C[4] +.sym 16417 $false +.sym 16418 $abc$32112$n2132 +.sym 16421 $auto$alumacc.cc:470:replace_alu$4591.C[5] +.sym 16423 $false +.sym 16424 $abc$32112$n2133 +.sym 16427 $auto$alumacc.cc:470:replace_alu$4591.C[6] +.sym 16429 $false +.sym 16430 $abc$32112$n2134 +.sym 16433 $auto$alumacc.cc:470:replace_alu$4591.C[7] +.sym 16435 $false +.sym 16436 $abc$32112$n2135 +.sym 16439 $abc$32112$n2169$2 +.sym 16441 $false +.sym 16442 $abc$32112$n2136 +.sym 16449 $abc$32112$n2169$2 +.sym 16497 $abc$32112$n435 +.sym 16553 $abc$32112$n656 +.sym 16554 $abc$32112$n657 +.sym 16555 I2C_INPUT_DATA[5][5] +.sym 16556 I2C_INPUT_DATA[5][7] +.sym 16557 I2C_INPUT_DATA[5][0] +.sym 16559 I2C_INPUT_DATA[5][2] +.sym 16560 I2C_INPUT_DATA[5][6] +.sym 16634 I2C.received_byte[5] +.sym 16635 $false +.sym 16636 $false +.sym 16637 $false +.sym 16664 I2C.received_byte[7] +.sym 16665 $false +.sym 16666 $false +.sym 16667 $false +.sym 16674 $abc$32112$n2235 +.sym 16675 CLK$2$2 +.sym 16676 $false +.sym 16681 I2C_INPUT_DATA[4][6] +.sym 16683 I2C_INPUT_DATA[4][4] +.sym 16684 I2C_INPUT_DATA[4][0] +.sym 16686 I2C_INPUT_DATA[4][3] +.sym 16687 I2C_INPUT_DATA[4][1] +.sym 16753 $true +.sym 16790 $abc$32112$n1383$2 +.sym 16791 $false +.sym 16792 $abc$32112$n1383 +.sym 16793 $false +.sym 16794 $false +.sym 16796 $auto$alumacc.cc:470:replace_alu$4613.C[2] +.sym 16798 $false +.sym 16799 $abc$32112$n1384 +.sym 16802 $auto$alumacc.cc:470:replace_alu$4613.C[3] +.sym 16803 $false +.sym 16804 $false +.sym 16805 $abc$32112$n1386 +.sym 16806 $auto$alumacc.cc:470:replace_alu$4613.C[2] +.sym 16808 $auto$alumacc.cc:470:replace_alu$4613.C[4] +.sym 16809 $false +.sym 16810 $false +.sym 16811 $abc$32112$n1387 +.sym 16812 $auto$alumacc.cc:470:replace_alu$4613.C[3] +.sym 16814 $auto$alumacc.cc:470:replace_alu$4613.C[5] +.sym 16815 $false +.sym 16816 $false +.sym 16817 $abc$32112$n1389 +.sym 16818 $auto$alumacc.cc:470:replace_alu$4613.C[4] +.sym 16820 $auto$alumacc.cc:470:replace_alu$4613.C[6] +.sym 16821 $false +.sym 16822 $false +.sym 16823 $abc$32112$n1390 +.sym 16824 $auto$alumacc.cc:470:replace_alu$4613.C[5] +.sym 16826 $auto$alumacc.cc:470:replace_alu$4613.C[7] +.sym 16827 $false +.sym 16828 $false +.sym 16829 $abc$32112$n1392 +.sym 16830 $auto$alumacc.cc:470:replace_alu$4613.C[6] +.sym 16833 $false +.sym 16834 $false +.sym 16835 $abc$32112$n1393 +.sym 16836 $auto$alumacc.cc:470:replace_alu$4613.C[7] +.sym 16847 $abc$32112$n2170 +.sym 16914 $abc$32112$n1393 +.sym 16915 $false +.sym 16916 $false +.sym 16917 $false +.sym 16920 $abc$32112$n1389 +.sym 16921 $false +.sym 16922 $false +.sym 16923 $false +.sym 16926 $abc$32112$n1817 +.sym 16927 I2C.byte_counter[2] +.sym 16928 $false +.sym 16929 $false +.sym 16932 $abc$32112$n1386 +.sym 16933 $false +.sym 16934 $false +.sym 16935 $false +.sym 16938 $abc$32112$n1817 +.sym 16939 I2C.byte_counter[5] +.sym 16940 $false +.sym 16941 $false +.sym 16944 $abc$32112$n1390 +.sym 16945 $false +.sym 16946 $false +.sym 16947 $false +.sym 16950 $abc$32112$n1989 +.sym 16951 $abc$32112$n1390 +.sym 16952 $abc$32112$n1134 +.sym 16953 $false +.sym 16956 $abc$32112$n1986 +.sym 16957 $abc$32112$n1386 +.sym 16958 $abc$32112$n1134 +.sym 16959 $false +.sym 16960 $true +.sym 16961 CLK$2$2 +.sym 16962 $false +.sym 16964 $abc$32112$n811 +.sym 16966 $abc$32112$n790 +.sym 16969 KEYBOARD.last_data[11] +.sym 17037 $abc$32112$n1817 +.sym 17038 I2C.byte_counter[1] +.sym 17039 $false +.sym 17040 $false +.sym 17043 $abc$32112$n611 +.sym 17044 $abc$32112$n10 +.sym 17045 I2C.FLT_SCL.RESET +.sym 17046 $false +.sym 17049 $abc$32112$n598 +.sym 17050 $abc$32112$n582 +.sym 17051 $false +.sym 17052 $false +.sym 17067 $abc$32112$n1384 +.sym 17068 $false +.sym 17069 $false +.sym 17070 $false +.sym 17073 $abc$32112$n1392 +.sym 17074 $false +.sym 17075 $false +.sym 17076 $false +.sym 17079 KEYBOARD.COLS_SHADOW[2] +.sym 17080 $false +.sym 17081 $false +.sym 17082 $false +.sym 17083 $abc$32112$n827 +.sym 17084 CLK$2$2 +.sym 17085 $0\KBD_FREEZE[0:0]$2 +.sym 17086 $abc$32112$n596 +.sym 17087 $abc$32112$n1282 +.sym 17088 $abc$32112$n834 +.sym 17089 $abc$32112$n1284 +.sym 17090 $abc$32112$n585 +.sym 17091 $abc$32112$n560 +.sym 17092 $abc$32112$n1283 +.sym 17093 KEYBOARD.last_data[15] +.sym 17160 $abc$32112$n1284 +.sym 17161 $abc$32112$n575 +.sym 17162 KEYBOARD.COLS_SHADOW[3] +.sym 17163 KEYBOARD.is_pressed +.sym 17166 $abc$32112$n1284 +.sym 17167 KEYBOARD.COLS_SHADOW[3] +.sym 17168 $abc$32112$n575 +.sym 17169 $abc$32112$n560 +.sym 17172 $abc$32112$n1287 +.sym 17173 KEYBOARD.COLS_SHADOW[2] +.sym 17174 $abc$32112$n582 +.sym 17175 $false +.sym 17178 $abc$32112$n1281_1 +.sym 17179 KEYBOARD.COLS_SHADOW[1] +.sym 17180 $abc$32112$n563 +.sym 17181 $abc$32112$n598 +.sym 17184 $abc$32112$n609 +.sym 17185 $abc$32112$n610 +.sym 17186 $abc$32112$n611 +.sym 17187 $abc$32112$n595_1 +.sym 17190 $abc$32112$n593 +.sym 17191 $abc$32112$n594 +.sym 17192 $abc$32112$n595_1 +.sym 17193 $abc$32112$n597 +.sym 17196 $abc$32112$n826 +.sym 17197 $abc$32112$n10 +.sym 17198 $abc$32112$n596 +.sym 17199 I2C.FLT_SCL.RESET +.sym 17202 KEYBOARD.COLS_SHADOW[3] +.sym 17203 $false +.sym 17204 $false +.sym 17205 $false +.sym 17206 $abc$32112$n765 +.sym 17207 CLK$2$2 +.sym 17208 $0\KBD_FREEZE[0:0]$2 +.sym 17209 $abc$32112$n617 +.sym 17210 $abc$32112$n613 +.sym 17211 $abc$32112$n2089 +.sym 17212 $abc$32112$n607 +.sym 17213 $abc$32112$n614_1 +.sym 17214 $abc$32112$n604 +.sym 17215 I2C_INPUT_DATA[8][1] +.sym 17216 I2C_INPUT_DATA[8][0] +.sym 17283 $abc$32112$n1284 +.sym 17284 KEYBOARD.COLS_SHADOW[3] +.sym 17285 $abc$32112$n575 +.sym 17286 $abc$32112$n1174 +.sym 17289 $abc$32112$n1284 +.sym 17290 KEYBOARD.COLS_SHADOW[3] +.sym 17291 $abc$32112$n575 +.sym 17292 $abc$32112$n569 +.sym 17295 $abc$32112$n1174 +.sym 17296 $abc$32112$n1287 +.sym 17297 KEYBOARD.COLS_SHADOW[2] +.sym 17298 $abc$32112$n582 +.sym 17301 $abc$32112$n1287 +.sym 17302 KEYBOARD.COLS_SHADOW[2] +.sym 17303 $abc$32112$n582 +.sym 17304 $abc$32112$n585 +.sym 17307 $abc$32112$n584 +.sym 17308 $abc$32112$n609 +.sym 17309 $abc$32112$n569 +.sym 17310 $abc$32112$n617 +.sym 17313 $abc$32112$n560 +.sym 17314 $abc$32112$n1281_1 +.sym 17315 KEYBOARD.COLS_SHADOW[1] +.sym 17316 $abc$32112$n563 +.sym 17319 $abc$32112$n600 +.sym 17320 $abc$32112$n560 +.sym 17321 $abc$32112$n602 +.sym 17322 $abc$32112$n601_1 +.sym 17325 $abc$32112$n554 +.sym 17326 $abc$32112$n568 +.sym 17327 $abc$32112$n576 +.sym 17328 $abc$32112$n584 +.sym 17332 $abc$32112$n64 +.sym 17333 $abc$32112$n778 +.sym 17334 $abc$32112$n779_1 +.sym 17335 $abc$32112$n2088 +.sym 17336 $abc$32112$n67 +.sym 17337 $abc$32112$n738 +.sym 17338 $abc$32112$n70 +.sym 17339 LED2$2 +.sym 17406 $abc$32112$n1281_1 +.sym 17407 KEYBOARD.COLS_SHADOW[1] +.sym 17408 $abc$32112$n563 +.sym 17409 $abc$32112$n1174 +.sym 17412 $abc$32112$n823 +.sym 17413 $abc$32112$n585 +.sym 17414 I2C.FLT_SCL.RESET +.sym 17415 $false +.sym 17418 $abc$32112$n1281_1 +.sym 17419 KEYBOARD.COLS_SHADOW[1] +.sym 17420 $abc$32112$n563 +.sym 17421 $false +.sym 17424 $abc$32112$n1281_1 +.sym 17425 KEYBOARD.COLS_SHADOW[1] +.sym 17426 $abc$32112$n563 +.sym 17427 $abc$32112$n585 +.sym 17430 KEYBOARD.row_counter[1] +.sym 17431 $abc$32112$n1174 +.sym 17432 $false +.sym 17433 $false +.sym 17436 $abc$32112$n823 +.sym 17437 $abc$32112$n598 +.sym 17438 I2C.FLT_SCL.RESET +.sym 17439 $false +.sym 17442 $abc$32112$n1281_1 +.sym 17443 KEYBOARD.COLS_SHADOW[1] +.sym 17444 $abc$32112$n563 +.sym 17445 $abc$32112$n596 +.sym 17448 KEYBOARD.COLS_SHADOW[1] +.sym 17449 $false +.sym 17450 $false +.sym 17451 $false +.sym 17452 $abc$32112$n800 +.sym 17453 CLK$2$2 +.sym 17454 $0\KBD_FREEZE[0:0]$2 +.sym 17455 $abc$32112$n1057 +.sym 17456 $abc$32112$n2092 +.sym 17457 $abc$32112$n605 +.sym 17458 $abc$32112$n1325 +.sym 17459 $abc$32112$n614 +.sym 17460 $abc$32112$n741 +.sym 17461 $abc$32112$n1175 +.sym 17462 KEYBOARD.last_data[13] +.sym 17529 $abc$32112$n794 +.sym 17530 $abc$32112$n1259 +.sym 17531 $false +.sym 17532 $false +.sym 17535 $abc$32112$n718 +.sym 17536 I2C.FLT_SCL.RESET +.sym 17537 $false +.sym 17538 $false +.sym 17541 $abc$32112$n60 +.sym 17542 $abc$32112$n61 +.sym 17543 $abc$32112$n63 +.sym 17544 $false +.sym 17547 $abc$32112$n29$2 +.sym 17548 KEYBOARD.row_counter[0] +.sym 17549 $false +.sym 17550 $false +.sym 17553 $abc$32112$n1257_1 +.sym 17554 $abc$32112$n801 +.sym 17555 $abc$32112$n795_1 +.sym 17556 $abc$32112$n720_1 +.sym 17559 $abc$32112$n671 +.sym 17560 KEYBOARD.report[7] +.sym 17561 $abc$32112$n568 +.sym 17562 $false +.sym 17565 $abc$32112$n671 +.sym 17566 KEYBOARD.report[6] +.sym 17567 $abc$32112$n593 +.sym 17568 $false +.sym 17571 $abc$32112$n671 +.sym 17572 KEYBOARD.report[5] +.sym 17573 $abc$32112$n601_1 +.sym 17574 $false +.sym 17575 $abc$32112$n605 +.sym 17576 CLK$2$2 +.sym 17577 $0\KBD_FREEZE[0:0]$2 +.sym 17578 $abc$32112$n1036 +.sym 17579 $abc$32112$n1034 +.sym 17580 $abc$32112$n1045 +.sym 17581 $abc$32112$n739 +.sym 17582 $abc$32112$n1031 +.sym 17583 $abc$32112$n1050 +.sym 17584 $abc$32112$n1049 +.sym 17585 $abc$32112$n1030 +.sym 17652 $abc$32112$n1063 +.sym 17653 $abc$32112$n1064 +.sym 17654 $abc$32112$n1060 +.sym 17655 $abc$32112$n1628 +.sym 17658 KEYBOARD.report[5] +.sym 17659 KEYBOARD.report[37] +.sym 17660 I2C.byte_counter[1] +.sym 17661 I2C.byte_counter[2] +.sym 17664 $abc$32112$n671 +.sym 17665 $abc$32112$n70 +.sym 17666 $false +.sym 17667 $false +.sym 17670 $abc$32112$n1077 +.sym 17671 $abc$32112$n1078 +.sym 17672 $abc$32112$n1074 +.sym 17673 $abc$32112$n1628 +.sym 17676 $abc$32112$n1259 +.sym 17677 $abc$32112$n806_1 +.sym 17678 $false +.sym 17679 $false +.sym 17682 KEYBOARD.report[7] +.sym 17683 KEYBOARD.report[39] +.sym 17684 I2C.byte_counter[1] +.sym 17685 I2C.byte_counter[2] +.sym 17688 $abc$32112$n801 +.sym 17689 $abc$32112$n817 +.sym 17690 $abc$32112$n818 +.sym 17691 $false +.sym 17694 $abc$32112$n1257_1 +.sym 17695 $abc$32112$n816_1 +.sym 17696 $abc$32112$n1310 +.sym 17697 $abc$32112$n720_1 +.sym 17701 $abc$32112$n754 +.sym 17702 $abc$32112$n1056 +.sym 17703 $abc$32112$n1308_1 +.sym 17704 $abc$32112$n809 +.sym 17705 $abc$32112$n818 +.sym 17706 $abc$32112$n769 +.sym 17707 KEYBOARD.report[0] +.sym 17708 KEYBOARD.report[4] +.sym 17775 $abc$32112$n1309_1 +.sym 17776 $abc$32112$n808 +.sym 17777 $abc$32112$n1308_1 +.sym 17778 $abc$32112$n1254 +.sym 17781 $abc$32112$n768 +.sym 17782 $abc$32112$n770_1 +.sym 17783 $abc$32112$n720_1 +.sym 17784 $false +.sym 17787 $abc$32112$n770_1 +.sym 17788 $abc$32112$n788 +.sym 17789 $abc$32112$n1278_1 +.sym 17790 $false +.sym 17793 $abc$32112$n771 +.sym 17794 $abc$32112$n769 +.sym 17795 $abc$32112$n717 +.sym 17796 $abc$32112$n671 +.sym 17799 $abc$32112$n769 +.sym 17800 $abc$32112$n671 +.sym 17801 $abc$32112$n717 +.sym 17802 $false +.sym 17805 $abc$32112$n788 +.sym 17806 $abc$32112$n770_1 +.sym 17807 $false +.sym 17808 $false +.sym 17811 $abc$32112$n739 +.sym 17812 $abc$32112$n771 +.sym 17813 $abc$32112$n768 +.sym 17814 $false +.sym 17817 $abc$32112$n809 +.sym 17818 $abc$32112$n69 +.sym 17819 KEYBOARD.report[62] +.sym 17820 $abc$32112$n810 +.sym 17824 $abc$32112$n631 +.sym 17825 $abc$32112$n756 +.sym 17826 $abc$32112$n747 +.sym 17827 $abc$32112$n755 +.sym 17828 $abc$32112$n746 +.sym 17829 KEYBOARD.report[28] +.sym 17830 KEYBOARD.report[29] +.sym 17831 KEYBOARD.report[24] +.sym 17898 $abc$32112$n1061 +.sym 17899 $abc$32112$n1062 +.sym 17900 $false +.sym 17901 $false +.sym 17904 $abc$32112$n1254 +.sym 17905 $abc$32112$n60 +.sym 17906 KEYBOARD.report[40] +.sym 17907 $abc$32112$n1334_1 +.sym 17910 KEYBOARD.report[60] +.sym 17911 $abc$32112$n66 +.sym 17912 KEYBOARD.report[61] +.sym 17913 $abc$32112$n778 +.sym 17916 KEYBOARD.report[60] +.sym 17917 KEYBOARD.report[61] +.sym 17918 KEYBOARD.report[62] +.sym 17919 KEYBOARD.report[63] +.sym 17922 $abc$32112$n63 +.sym 17923 KEYBOARD.report[58] +.sym 17924 $abc$32112$n70 +.sym 17925 KEYBOARD.report[63] +.sym 17928 KEYBOARD.report[29] +.sym 17929 KEYBOARD.report[61] +.sym 17930 I2C.byte_counter[2] +.sym 17931 I2C.byte_counter[1] +.sym 17934 $abc$32112$n1257_1 +.sym 17935 $abc$32112$n720_1 +.sym 17936 $abc$32112$n1335 +.sym 17937 $abc$32112$n1278_1 +.sym 17940 $abc$32112$n2094 +.sym 17941 $false +.sym 17942 $false +.sym 17943 $false +.sym 17944 $abc$32112$n720$2 +.sym 17945 CLK$2$2 +.sym 17946 $0\KBD_FREEZE[0:0]$2 +.sym 17947 $abc$32112$n748 +.sym 17948 $abc$32112$n1048 +.sym 17949 $abc$32112$n786 +.sym 17950 $abc$32112$n777 +.sym 17951 $abc$32112$n790_1 +.sym 17952 $abc$32112$n1046 +.sym 17953 $abc$32112$n1052 +.sym 17954 KEYBOARD.report[3] +.sym 18021 KEYBOARD.report[45] +.sym 18022 KEYBOARD.report[47] +.sym 18023 $abc$32112$n70 +.sym 18024 $abc$32112$n778 +.sym 18027 $abc$32112$n1333 +.sym 18028 $abc$32112$n777 +.sym 18029 $abc$32112$n786 +.sym 18030 $abc$32112$n1305_1 +.sym 18033 KEYBOARD.report[44] +.sym 18034 KEYBOARD.report[45] +.sym 18035 KEYBOARD.report[46] +.sym 18036 KEYBOARD.report[47] +.sym 18039 KEYBOARD.report[31] +.sym 18040 KEYBOARD.report[63] +.sym 18041 I2C.byte_counter[2] +.sym 18042 I2C.byte_counter[1] +.sym 18045 $abc$32112$n789 +.sym 18046 $abc$32112$n790_1 +.sym 18047 $false +.sym 18048 $false +.sym 18051 $abc$32112$n2092 +.sym 18052 $false +.sym 18053 $false +.sym 18054 $false +.sym 18057 $abc$32112$n2091 +.sym 18058 $false +.sym 18059 $false +.sym 18060 $false +.sym 18063 $abc$32112$n2090 +.sym 18064 $false +.sym 18065 $false +.sym 18066 $false +.sym 18067 $abc$32112$n678 +.sym 18068 CLK$2$2 +.sym 18069 $0\KBD_FREEZE[0:0]$2 +.sym 18070 $abc$32112$n1055 +.sym 18071 $abc$32112$n1054_1 +.sym 18072 $abc$32112$n1047 +.sym 18073 $abc$32112$n1075 +.sym 18074 $abc$32112$n1053_1 +.sym 18076 KEYBOARD.report[14] +.sym 18144 LED1$2 +.sym 18145 $false +.sym 18146 $false +.sym 18147 $false +.sym 18156 KEYBOARD.report[13] +.sym 18157 KEYBOARD.report[45] +.sym 18158 I2C.byte_counter[1] +.sym 18159 I2C.byte_counter[2] +.sym 18162 $abc$32112$n1075 +.sym 18163 $abc$32112$n1076 +.sym 18164 $false +.sym 18165 $false +.sym 18168 $false +.sym 18169 I2C.byte_counter[0] +.sym 18170 $true$2 +.sym 18171 $true$2 +.sym 18174 $abc$32112$n2092 +.sym 18175 $false +.sym 18176 $false +.sym 18177 $false +.sym 18190 $abc$32112$n720$2 +.sym 18191 CLK$2$2 +.sym 18192 $0\KBD_FREEZE[0:0]$2 +.sym 18199 $abc$32112$n23 +.sym 18200 $abc$32112$n10 +.sym 18267 $abc$32112$n1293 +.sym 18268 $abc$32112$n677 +.sym 18269 $false +.sym 18270 $false +.sym 18273 $abc$32112$n682 +.sym 18274 $abc$32112$n1293 +.sym 18275 $abc$32112$n627 +.sym 18276 $false +.sym 18279 $abc$32112$n675 +.sym 18280 $abc$32112$n1292_1 +.sym 18281 $abc$32112$n631_1 +.sym 18282 $false +.sym 18291 $abc$32112$n632 +.sym 18292 $abc$32112$n633 +.sym 18293 $abc$32112$n631_1 +.sym 18294 $abc$32112$n627 +.sym 18297 LED1$2 +.sym 18298 UART_WR +.sym 18299 last_isr +.sym 18300 KEYBOARD.isr +.sym 18303 KEYBOARD.isr +.sym 18304 $false +.sym 18305 $false +.sym 18306 $false +.sym 18313 I2C.FLT_SCL.RESET +.sym 18314 CLK$2$2 +.sym 18315 $false +.sym 18318 $abc$32112$n1558 +.sym 18321 KEYBOARD.report[12] +.sym 18390 $false +.sym 18391 UART.tx_bit_counter[0] +.sym 18392 $false +.sym 18393 $true$2 +.sym 18396 UART.tx_bit_counter[0] +.sym 18397 UART.tx_bit_counter[1] +.sym 18398 $false +.sym 18399 $false +.sym 18402 UART.tx_bit_counter[3] +.sym 18403 $abc$32112$n711 +.sym 18404 $false +.sym 18405 $false +.sym 18408 $abc$32112$n2106 +.sym 18409 $abc$32112$n2107 +.sym 18410 $false +.sym 18411 $false +.sym 18414 $abc$32112$n2143 +.sym 18415 $false +.sym 18416 $false +.sym 18417 $false +.sym 18420 $abc$32112$n2141 +.sym 18421 $false +.sym 18422 $false +.sym 18423 $false +.sym 18426 $abc$32112$n2106 +.sym 18427 $false +.sym 18428 $false +.sym 18429 $false +.sym 18432 $abc$32112$n2107 +.sym 18433 $false +.sym 18434 $false +.sym 18435 $false +.sym 18436 $abc$32112$n595 +.sym 18437 CLK$2$2 +.sym 18438 $abc$32112$n21 +.sym 18475 $true +.sym 18512 UART.tx_bit_counter[0]$2 +.sym 18513 $false +.sym 18514 UART.tx_bit_counter[0] +.sym 18515 $false +.sym 18516 $false +.sym 18518 $auto$alumacc.cc:470:replace_alu$4643.C[2] +.sym 18520 UART.tx_bit_counter[1] +.sym 18521 $true$2 +.sym 18524 $auto$alumacc.cc:470:replace_alu$4643.C[3] +.sym 18525 $false +.sym 18526 UART.tx_bit_counter[2] +.sym 18527 $true$2 +.sym 18528 $auto$alumacc.cc:470:replace_alu$4643.C[2] +.sym 18531 $false +.sym 18532 UART.tx_bit_counter[3] +.sym 18533 $true$2 +.sym 18534 $auto$alumacc.cc:470:replace_alu$4643.C[3] +.sym 18537 UART.tx_bit_counter[0] +.sym 18538 UART.tx_bit_counter[1] +.sym 18539 UART.tx_bit_counter[2] +.sym 18540 UART.tx_activity +.sym 18543 UART.tx_activity +.sym 18544 $false +.sym 18545 $false +.sym 18546 $false +.sym 18549 $abc$32112$n2143 +.sym 18550 $false +.sym 18551 $false +.sym 18552 $false +.sym 18555 $abc$32112$n2141 +.sym 18556 $false +.sym 18557 $false +.sym 18558 $false +.sym 18559 $abc$32112$n595 +.sym 18560 CLK$2$2 +.sym 18561 $abc$32112$n21 +.sym 18736 I2C_INPUT_DATA[4][5] +.sym 18737 I2C_INPUT_DATA[4][7] +.sym 18738 I2C_INPUT_DATA[5][0] +.sym 18739 $abc$32112$n657 +.sym 18742 I2C_INPUT_DATA[5][2] +.sym 18743 I2C_INPUT_DATA[5][5] +.sym 18744 I2C_INPUT_DATA[5][6] +.sym 18745 I2C_INPUT_DATA[4][2] +.sym 18748 I2C.received_byte[5] +.sym 18749 $false +.sym 18750 $false +.sym 18751 $false +.sym 18754 I2C.received_byte[7] +.sym 18755 $false +.sym 18756 $false +.sym 18757 $false +.sym 18760 I2C.received_byte[0] +.sym 18761 $false +.sym 18762 $false +.sym 18763 $false +.sym 18772 I2C.received_byte[2] +.sym 18773 $false +.sym 18774 $false +.sym 18775 $false +.sym 18778 I2C.received_byte[6] +.sym 18779 $false +.sym 18780 $false +.sym 18781 $false +.sym 18782 $abc$32112$n2231 +.sym 18783 CLK$2$2 +.sym 18784 $false +.sym 18899 I2C.received_byte[6] +.sym 18900 $false +.sym 18901 $false +.sym 18902 $false +.sym 18911 I2C.received_byte[4] +.sym 18912 $false +.sym 18913 $false +.sym 18914 $false +.sym 18917 I2C.received_byte[0] +.sym 18918 $false +.sym 18919 $false +.sym 18920 $false +.sym 18929 I2C.received_byte[3] +.sym 18930 $false +.sym 18931 $false +.sym 18932 $false +.sym 18935 I2C.received_byte[1] +.sym 18936 $false +.sym 18937 $false +.sym 18938 $false +.sym 18945 $abc$32112$n2235 +.sym 18946 CLK$2$2 +.sym 18947 $false +.sym 18984 $true +.sym 19021 $abc$32112$n2095$2 +.sym 19022 $false +.sym 19023 $abc$32112$n2095 +.sym 19024 $false +.sym 19025 $false +.sym 19027 $auto$alumacc.cc:470:replace_alu$4608.C[3] +.sym 19029 $false +.sym 19030 $abc$32112$n2145 +.sym 19033 $auto$alumacc.cc:470:replace_alu$4608.C[4] +.sym 19035 $false +.sym 19036 $abc$32112$n2146 +.sym 19039 $auto$alumacc.cc:470:replace_alu$4608.C[5] +.sym 19041 $false +.sym 19042 $abc$32112$n2147 +.sym 19045 $auto$alumacc.cc:470:replace_alu$4608.C[6] +.sym 19047 $false +.sym 19048 $abc$32112$n2148 +.sym 19051 $auto$alumacc.cc:470:replace_alu$4608.C[7] +.sym 19053 $false +.sym 19054 $abc$32112$n2149 +.sym 19057 $abc$32112$n2170$2 +.sym 19059 $false +.sym 19060 $abc$32112$n2150 +.sym 19067 $abc$32112$n2170$2 +.sym 19151 $abc$32112$n826 +.sym 19152 $abc$32112$n10 +.sym 19153 $abc$32112$n585 +.sym 19154 I2C.FLT_SCL.RESET +.sym 19163 $abc$32112$n826 +.sym 19164 $abc$32112$n10 +.sym 19165 $abc$32112$n569 +.sym 19166 I2C.FLT_SCL.RESET +.sym 19181 KEYBOARD.COLS_SHADOW[3] +.sym 19182 $false +.sym 19183 $false +.sym 19184 $false +.sym 19191 $abc$32112$n811 +.sym 19192 CLK$2$2 +.sym 19193 $0\KBD_FREEZE[0:0]$2 +.sym 19268 $abc$32112$n1174 +.sym 19269 $abc$32112$n1175 +.sym 19270 $false +.sym 19271 $false +.sym 19274 KEYBOARD.last_data[11] +.sym 19275 KEYBOARD.last_data[3] +.sym 19276 KEYBOARD.row_counter[1] +.sym 19277 $false +.sym 19280 $abc$32112$n826 +.sym 19281 $abc$32112$n10 +.sym 19282 $abc$32112$n598 +.sym 19283 I2C.FLT_SCL.RESET +.sym 19286 $abc$32112$n1283 +.sym 19287 $abc$32112$n1282 +.sym 19288 KEYBOARD.row_counter[0] +.sym 19289 $false +.sym 19292 $abc$32112$n1174 +.sym 19293 $abc$32112$n1175 +.sym 19294 $false +.sym 19295 $false +.sym 19298 $abc$32112$n1174 +.sym 19299 $abc$32112$n1175 +.sym 19300 $false +.sym 19301 $false +.sym 19304 KEYBOARD.last_data[15] +.sym 19305 KEYBOARD.last_data[7] +.sym 19306 KEYBOARD.row_counter[1] +.sym 19307 $false +.sym 19310 KEYBOARD.COLS_SHADOW[3] +.sym 19311 $false +.sym 19312 $false +.sym 19313 $false +.sym 19314 $abc$32112$n834 +.sym 19315 CLK$2$2 +.sym 19316 $0\KBD_FREEZE[0:0]$2 +.sym 19391 $abc$32112$n1175 +.sym 19392 $abc$32112$n600 +.sym 19393 $abc$32112$n598 +.sym 19394 $abc$32112$n613 +.sym 19397 $abc$32112$n1284 +.sym 19398 KEYBOARD.COLS_SHADOW[3] +.sym 19399 $abc$32112$n575 +.sym 19400 $false +.sym 19403 $abc$32112$n671 +.sym 19404 $abc$32112$n63 +.sym 19405 $false +.sym 19406 $false +.sym 19409 $abc$32112$n1284 +.sym 19410 KEYBOARD.COLS_SHADOW[3] +.sym 19411 $abc$32112$n575 +.sym 19412 $abc$32112$n598 +.sym 19415 $abc$32112$n560 +.sym 19416 $abc$32112$n1287 +.sym 19417 KEYBOARD.COLS_SHADOW[2] +.sym 19418 $abc$32112$n582 +.sym 19421 $abc$32112$n585 +.sym 19422 $abc$32112$n1287 +.sym 19423 KEYBOARD.COLS_SHADOW[2] +.sym 19424 $abc$32112$n582 +.sym 19427 I2C.received_byte[1] +.sym 19428 $false +.sym 19429 $false +.sym 19430 $false +.sym 19433 I2C.received_byte[0] +.sym 19434 $false +.sym 19435 $false +.sym 19436 $false +.sym 19437 $abc$32112$n2227 +.sym 19438 CLK$2$2 +.sym 19439 $false +.sym 19514 $abc$32112$n604 +.sym 19515 $abc$32112$n605_1 +.sym 19516 $abc$32112$n606 +.sym 19517 $abc$32112$n607 +.sym 19520 $abc$32112$n605_1 +.sym 19521 $abc$32112$n614_1 +.sym 19522 $abc$32112$n779_1 +.sym 19523 $false +.sym 19526 $abc$32112$n1175 +.sym 19527 $abc$32112$n1174 +.sym 19528 $abc$32112$n600 +.sym 19529 $abc$32112$n613 +.sym 19532 $abc$32112$n671 +.sym 19533 $abc$32112$n61 +.sym 19534 $false +.sym 19535 $false +.sym 19538 $abc$32112$n605_1 +.sym 19539 $abc$32112$n614_1 +.sym 19540 $abc$32112$n615 +.sym 19541 $abc$32112$n613 +.sym 19544 $abc$32112$n604 +.sym 19545 $abc$32112$n605_1 +.sym 19546 $abc$32112$n606 +.sym 19547 $abc$32112$n607 +.sym 19550 $abc$32112$n598 +.sym 19551 $abc$32112$n613 +.sym 19552 $abc$32112$n615 +.sym 19553 $false +.sym 19556 I2C_INPUT_DATA[4][0] +.sym 19557 I2C_INPUT_DATA[8][0] +.sym 19558 $abc$32112$n664 +.sym 19559 $false +.sym 19560 $abc$32112$n501 +.sym 19561 CLK$2$2 +.sym 19562 $0\KBD_FREEZE[0:0]$2 +.sym 19637 KEYBOARD.report[20] +.sym 19638 KEYBOARD.report[52] +.sym 19639 I2C.byte_counter[2] +.sym 19640 I2C.byte_counter[1] +.sym 19643 $abc$32112$n671 +.sym 19644 $abc$32112$n67 +.sym 19645 $false +.sym 19646 $false +.sym 19649 $abc$32112$n717 +.sym 19650 $abc$32112$n630 +.sym 19651 $false +.sym 19652 $false +.sym 19655 KEYBOARD.report[17] +.sym 19656 $abc$32112$n61 +.sym 19657 KEYBOARD.report[18] +.sym 19658 $abc$32112$n63 +.sym 19661 $abc$32112$n1328 +.sym 19662 $abc$32112$n739 +.sym 19663 $abc$32112$n720_1 +.sym 19664 $false +.sym 19667 $abc$32112$n742 +.sym 19668 $abc$32112$n743_1 +.sym 19669 $false +.sym 19670 $false +.sym 19673 $abc$32112$n29$2 +.sym 19674 KEYBOARD.row_counter[0] +.sym 19675 KEYBOARD.row_counter[1] +.sym 19676 $false +.sym 19679 KEYBOARD.COLS_SHADOW[1] +.sym 19680 $false +.sym 19681 $false +.sym 19682 $false +.sym 19683 $abc$32112$n822 +.sym 19684 CLK$2$2 +.sym 19685 $0\KBD_FREEZE[0:0]$2 +.sym 19760 KEYBOARD.report[57] +.sym 19761 KEYBOARD.report[49] +.sym 19762 $abc$32112$n1628 +.sym 19763 $abc$32112$n882 +.sym 19766 KEYBOARD.report[25] +.sym 19767 KEYBOARD.report[17] +.sym 19768 $abc$32112$n1628 +.sym 19769 $abc$32112$n1035 +.sym 19772 $abc$32112$n1046 +.sym 19773 $abc$32112$n1049 +.sym 19774 $abc$32112$n1050 +.sym 19775 $false +.sym 19778 $abc$32112$n741 +.sym 19779 $abc$32112$n717 +.sym 19780 $abc$32112$n671 +.sym 19781 $false +.sym 19784 $abc$32112$n1032 +.sym 19785 $abc$32112$n1033 +.sym 19786 I2C.byte_counter[1] +.sym 19787 I2C.byte_counter[2] +.sym 19790 KEYBOARD.report[59] +.sym 19791 KEYBOARD.report[51] +.sym 19792 $abc$32112$n1628 +.sym 19793 $abc$32112$n882 +.sym 19796 KEYBOARD.report[27] +.sym 19797 KEYBOARD.report[19] +.sym 19798 $abc$32112$n1628 +.sym 19799 $abc$32112$n1035 +.sym 19802 $abc$32112$n1031 +.sym 19803 $abc$32112$n1034 +.sym 19804 $abc$32112$n1036 +.sym 19805 $false +.sym 19883 $abc$32112$n741 +.sym 19884 $abc$32112$n671 +.sym 19885 $abc$32112$n755 +.sym 19886 I2C.FLT_SCL.RESET +.sym 19889 KEYBOARD.report[4] +.sym 19890 KEYBOARD.report[36] +.sym 19891 I2C.byte_counter[1] +.sym 19892 I2C.byte_counter[2] +.sym 19895 KEYBOARD.report[57] +.sym 19896 $abc$32112$n61 +.sym 19897 KEYBOARD.report[59] +.sym 19898 $abc$32112$n64 +.sym 19901 $abc$32112$n60 +.sym 19902 KEYBOARD.report[56] +.sym 19903 $false +.sym 19904 $false +.sym 19907 KEYBOARD.report[56] +.sym 19908 KEYBOARD.report[57] +.sym 19909 KEYBOARD.report[58] +.sym 19910 KEYBOARD.report[59] +.sym 19913 $abc$32112$n741 +.sym 19914 $abc$32112$n755 +.sym 19915 $false +.sym 19916 $false +.sym 19919 $abc$32112$n671 +.sym 19920 KEYBOARD.report[0] +.sym 19921 $abc$32112$n621 +.sym 19922 $false +.sym 19925 $abc$32112$n671 +.sym 19926 KEYBOARD.report[4] +.sym 19927 $abc$32112$n1167 +.sym 19928 $false +.sym 19929 $abc$32112$n605 +.sym 19930 CLK$2$2 +.sym 19931 $0\KBD_FREEZE[0:0]$2 +.sym 20006 $abc$32112$n746 +.sym 20007 $abc$32112$n1299_1 +.sym 20008 $abc$32112$n754 +.sym 20009 $abc$32112$n720_1 +.sym 20012 KEYBOARD.report[28] +.sym 20013 KEYBOARD.report[29] +.sym 20014 KEYBOARD.report[30] +.sym 20015 KEYBOARD.report[31] +.sym 20018 $abc$32112$n671 +.sym 20019 $abc$32112$n748 +.sym 20020 $abc$32112$n67 +.sym 20021 KEYBOARD.report[29] +.sym 20024 $abc$32112$n756 +.sym 20025 $abc$32112$n757 +.sym 20026 $false +.sym 20027 $false +.sym 20030 $abc$32112$n60 +.sym 20031 KEYBOARD.report[24] +.sym 20032 $abc$32112$n747 +.sym 20033 $abc$32112$n749_1 +.sym 20036 $abc$32112$n2091 +.sym 20037 $false +.sym 20038 $false +.sym 20039 $false +.sym 20042 $abc$32112$n2092 +.sym 20043 $false +.sym 20044 $false +.sym 20045 $false +.sym 20048 $abc$32112$n2087 +.sym 20049 $false +.sym 20050 $false +.sym 20051 $false +.sym 20052 $abc$32112$n631 +.sym 20053 CLK$2$2 +.sym 20054 $0\KBD_FREEZE[0:0]$2 +.sym 20129 $abc$32112$n70 +.sym 20130 KEYBOARD.report[31] +.sym 20131 $false +.sym 20132 $false +.sym 20135 KEYBOARD.report[43] +.sym 20136 KEYBOARD.report[35] +.sym 20137 $abc$32112$n1628 +.sym 20138 $false +.sym 20141 $abc$32112$n61 +.sym 20142 KEYBOARD.report[41] +.sym 20143 $abc$32112$n66 +.sym 20144 KEYBOARD.report[44] +.sym 20147 $abc$32112$n738 +.sym 20148 KEYBOARD.report[43] +.sym 20149 $abc$32112$n69 +.sym 20150 KEYBOARD.report[46] +.sym 20153 KEYBOARD.report[40] +.sym 20154 KEYBOARD.report[41] +.sym 20155 KEYBOARD.report[42] +.sym 20156 KEYBOARD.report[43] +.sym 20159 $abc$32112$n1047 +.sym 20160 $abc$32112$n1048 +.sym 20161 I2C.byte_counter[1] +.sym 20162 I2C.byte_counter[2] +.sym 20165 $abc$32112$n1057 +.sym 20166 $abc$32112$n1056 +.sym 20167 $abc$32112$n1053_1 +.sym 20168 $abc$32112$n1628 +.sym 20171 $abc$32112$n671 +.sym 20172 KEYBOARD.report[3] +.sym 20173 $abc$32112$n1165 +.sym 20174 $false +.sym 20175 $abc$32112$n605 +.sym 20176 CLK$2$2 +.sym 20177 $0\KBD_FREEZE[0:0]$2 +.sym 20252 KEYBOARD.report[28] +.sym 20253 KEYBOARD.report[60] +.sym 20254 I2C.byte_counter[2] +.sym 20255 I2C.byte_counter[1] +.sym 20258 KEYBOARD.report[12] +.sym 20259 KEYBOARD.report[44] +.sym 20260 I2C.byte_counter[1] +.sym 20261 I2C.byte_counter[2] +.sym 20264 KEYBOARD.report[11] +.sym 20265 KEYBOARD.report[3] +.sym 20266 $abc$32112$n1628 +.sym 20267 $false +.sym 20270 KEYBOARD.report[15] +.sym 20271 KEYBOARD.report[47] +.sym 20272 I2C.byte_counter[1] +.sym 20273 I2C.byte_counter[2] +.sym 20276 $abc$32112$n1054_1 +.sym 20277 $abc$32112$n1055 +.sym 20278 $false +.sym 20279 $false +.sym 20288 $false +.sym 20289 $false +.sym 20290 $false +.sym 20291 $false +.sym 20298 $abc$32112$n630 +.sym 20299 CLK$2$2 +.sym 20300 $false +.sym 20411 KEYBOARD.row_counter[0] +.sym 20412 KEYBOARD.row_counter[1] +.sym 20413 $false +.sym 20414 $false +.sym 20417 I2C.FLT_SCL.RESET +.sym 20418 $false +.sym 20419 $false +.sym 20420 $false +.sym 20421 $abc$32112$n419 +.sym 20422 CLK$2$2 +.sym 20423 $false +.sym 20460 $true +.sym 20497 $abc$32112$n2140$3 +.sym 20498 $false +.sym 20499 $abc$32112$n2140 +.sym 20500 $false +.sym 20501 $false +.sym 20503 $auto$alumacc.cc:470:replace_alu$4646.C[2] +.sym 20505 $false +.sym 20506 $abc$32112$n2106 +.sym 20510 $false +.sym 20511 $false +.sym 20512 $abc$32112$n2142 +.sym 20513 $auto$alumacc.cc:470:replace_alu$4646.C[2] +.sym 20528 $false +.sym 20529 $false +.sym 20530 $false +.sym 20531 $false +.sym 20544 $abc$32112$n630 +.sym 20545 CLK$2$2 +.sym 20546 $false +.sym 20715 $false +.sym 20717 KEYBOARD.ROWS_EN[0] +.sym 20718 $false +.sym 20720 KEYBOARD.ROWS_EN[1] +.sym 20776 I2C_INPUT_DATA[4][2] +.sym 20846 $abc$32112$n655 +.sym 20848 $abc$32112$n653 +.sym 20851 $abc$32112$n654 +.sym 20853 I2C_INPUT_DATA[5][1] +.sym 20989 $abc$32112$n2146 +.sym 20990 I2C_INPUT_DATA[8][2] +.sym 21091 $abc$32112$n2171 +.sym 21093 KEYBOARD.last_data[7] +.sym 21193 $abc$32112$n598 +.sym 21195 $abc$32112$n16 +.sym 21290 $abc$32112$n718 +.sym 21292 $abc$32112$n797 +.sym 21293 $abc$32112$n2087 +.sym 21294 $abc$32112$n1043 +.sym 21295 $abc$32112$n796 +.sym 21296 KEYBOARD.report[50] +.sym 21297 KEYBOARD.report[49] +.sym 21392 $abc$32112$n801 +.sym 21393 $abc$32112$n1028 +.sym 21394 $abc$32112$n802 +.sym 21395 $abc$32112$n799 +.sym 21396 $abc$32112$n800_1 +.sym 21397 $abc$32112$n795_1 +.sym 21398 $abc$32112$n803 +.sym 21399 KEYBOARD.report[54] +.sym 21494 $abc$32112$n1294_1 +.sym 21495 $abc$32112$n1327_1 +.sym 21496 $abc$32112$n732_1 +.sym 21497 $abc$32112$n742 +.sym 21498 $abc$32112$n1328 +.sym 21499 $abc$32112$n1064 +.sym 21500 $abc$32112$n743_1 +.sym 21501 KEYBOARD.report[52] +.sym 21596 $abc$32112$n1071 +.sym 21597 $abc$32112$n1066 +.sym 21598 $abc$32112$n772 +.sym 21599 $abc$32112$n1035 +.sym 21600 $abc$32112$n1161 +.sym 21601 $abc$32112$n1070 +.sym 21602 $abc$32112$n1032 +.sym 21603 KEYBOARD.report[1] +.sym 21698 $abc$32112$n1033 +.sym 21699 $abc$32112$n771 +.sym 21700 $abc$32112$n1167 +.sym 21701 $abc$32112$n1027 +.sym 21702 KEYBOARD.report[57] +.sym 21703 KEYBOARD.report[56] +.sym 21704 KEYBOARD.report[59] +.sym 21705 KEYBOARD.report[62] +.sym 21800 $abc$32112$n1067 +.sym 21801 $abc$32112$n1023 +.sym 21802 $abc$32112$n1026 +.sym 21803 $abc$32112$n749_1 +.sym 21804 $abc$32112$n1069 +.sym 21805 $abc$32112$n757 +.sym 21806 $abc$32112$n1024 +.sym 21807 KEYBOARD.report[40] +.sym 21902 $abc$32112$n1038 +.sym 21903 $abc$32112$n1333 +.sym 21904 $abc$32112$n1068 +.sym 21905 $abc$32112$n1165 +.sym 21906 KEYBOARD.report[41] +.sym 21907 KEYBOARD.report[46] +.sym 21908 KEYBOARD.report[47] +.sym 21909 KEYBOARD.report[42] +.sym 22004 $abc$32112$n1039 +.sym 22005 $abc$32112$n1040 +.sym 22006 $abc$32112$n1041 +.sym 22007 $abc$32112$n1025 +.sym 22008 KEYBOARD.report[15] +.sym 22009 KEYBOARD.report[8] +.sym 22010 KEYBOARD.report[9] +.sym 22011 KEYBOARD.report[10] +.sym 22109 KEYBOARD.row_counter[0] +.sym 22110 KEYBOARD.is_pressed +.sym 22111 KEYBOARD.row_counter[1] +.sym 22209 $abc$32112$n2140 +.sym 22210 KEYBOARD.report[11] +.sym 22214 KEYBOARD.report[13] +.sym 22310 $abc$32112$n22 +.sym 22312 KEYBOARD.ROWS_EN[2] +.sym 22315 KEYBOARD.ROWS_EN[3] +.sym 22487 $false +.sym 22489 KEYBOARD.ROWS_EN[2] +.sym 22490 $false +.sym 22492 KEYBOARD.ROWS_EN[3] +.sym 22653 I2C.received_byte[2] +.sym 22654 $false +.sym 22655 $false +.sym 22656 $false +.sym 22663 $abc$32112$n2235 +.sym 22664 CLK$2$2 +.sym 22665 $false +.sym 22675 KEYBOARD.COLS_SHADOW[0] +.sym 22677 I2C.SCLF +.sym 22780 I2C_INPUT_DATA[4][6] +.sym 22781 I2C_INPUT_DATA[5][3] +.sym 22782 I2C_INPUT_DATA[5][4] +.sym 22783 I2C_INPUT_DATA[4][1] +.sym 22792 I2C_INPUT_DATA[4][0] +.sym 22793 I2C_INPUT_DATA[5][7] +.sym 22794 $abc$32112$n654 +.sym 22795 $abc$32112$n656 +.sym 22810 I2C_INPUT_DATA[4][3] +.sym 22811 I2C_INPUT_DATA[4][4] +.sym 22812 I2C_INPUT_DATA[5][1] +.sym 22813 $abc$32112$n655 +.sym 22822 I2C.received_byte[1] +.sym 22823 $false +.sym 22824 $false +.sym 22825 $false +.sym 22826 $abc$32112$n2231 +.sym 22827 CLK$2$2 +.sym 22828 $false +.sym 22830 LED3$2 +.sym 22835 LED4$2 +.sym 22933 $abc$32112$n1387 +.sym 22934 $false +.sym 22935 $false +.sym 22936 $false +.sym 22939 I2C.received_byte[2] +.sym 22940 $false +.sym 22941 $false +.sym 22942 $false +.sym 22949 $abc$32112$n2227 +.sym 22950 CLK$2$2 +.sym 22951 $false +.sym 22952 KEYBOARD.COLS_SHADOW[3] +.sym 22954 KEYBOARD.COLS_SHADOW[1] +.sym 22958 KEYBOARD.COLS_SHADOW[2] +.sym 22959 I2C.SDAF +.sym 22988 $true +.sym 23025 $abc$32112$n64$2 +.sym 23026 $false +.sym 23027 $abc$32112$n64 +.sym 23028 $false +.sym 23029 $false +.sym 23031 $auto$alumacc.cc:470:replace_alu$4541.C[5] +.sym 23033 $abc$32112$n66 +.sym 23034 $true$2 +.sym 23037 $auto$alumacc.cc:470:replace_alu$4541.C[6] +.sym 23039 $abc$32112$n67 +.sym 23040 $false +.sym 23043 $auto$alumacc.cc:470:replace_alu$4541.C[7] +.sym 23045 $abc$32112$n69 +.sym 23046 $false +.sym 23049 $abc$32112$n2171$2 +.sym 23051 $abc$32112$n70 +.sym 23052 $false +.sym 23059 $abc$32112$n2171$2 +.sym 23068 KEYBOARD.COLS_SHADOW[3] +.sym 23069 $false +.sym 23070 $false +.sym 23071 $false +.sym 23072 $abc$32112$n790 +.sym 23073 CLK$2$2 +.sym 23074 $0\KBD_FREEZE[0:0]$2 +.sym 23179 $abc$32112$n1174 +.sym 23180 KEYBOARD.row_counter[1] +.sym 23181 $false +.sym 23182 $false +.sym 23191 I2C.FLT_SDA.out +.sym 23192 $false +.sym 23193 $false +.sym 23194 $false +.sym 23195 $true +.sym 23196 CLK$2$2 +.sym 23197 $false +.sym 23198 $abc$32112$n2172 +.sym 23203 KEYBOARD.report[16] +.sym 23205 KEYBOARD.report[18] +.sym 23272 $abc$32112$n2171 +.sym 23273 $abc$32112$n2172 +.sym 23274 $false +.sym 23275 $false +.sym 23284 $abc$32112$n61 +.sym 23285 KEYBOARD.report[49] +.sym 23286 $false +.sym 23287 $false +.sym 23290 $abc$32112$n671 +.sym 23291 $abc$32112$n60 +.sym 23292 $false +.sym 23293 $false +.sym 23296 KEYBOARD.report[18] +.sym 23297 KEYBOARD.report[50] +.sym 23298 I2C.byte_counter[2] +.sym 23299 I2C.byte_counter[1] +.sym 23302 $abc$32112$n797 +.sym 23303 $abc$32112$n69 +.sym 23304 KEYBOARD.report[54] +.sym 23305 $abc$32112$n798 +.sym 23308 $abc$32112$n2089 +.sym 23309 $false +.sym 23310 $false +.sym 23311 $false +.sym 23314 $abc$32112$n2088 +.sym 23315 $false +.sym 23316 $false +.sym 23317 $false +.sym 23318 $abc$32112$n693$2 +.sym 23319 CLK$2$2 +.sym 23320 $0\KBD_FREEZE[0:0]$2 +.sym 23321 $abc$32112$n2093 +.sym 23322 $abc$32112$n798 +.sym 23323 $abc$32112$n2090 +.sym 23324 KEYBOARD.report[48] +.sym 23325 KEYBOARD.report[51] +.sym 23326 KEYBOARD.report[55] +.sym 23327 KEYBOARD.report[53] +.sym 23395 $abc$32112$n802 +.sym 23396 $abc$32112$n803 +.sym 23397 $false +.sym 23398 $false +.sym 23401 KEYBOARD.report[16] +.sym 23402 KEYBOARD.report[48] +.sym 23403 I2C.byte_counter[2] +.sym 23404 I2C.byte_counter[1] +.sym 23407 KEYBOARD.report[52] +.sym 23408 KEYBOARD.report[53] +.sym 23409 KEYBOARD.report[54] +.sym 23410 KEYBOARD.report[55] +.sym 23413 $abc$32112$n63 +.sym 23414 KEYBOARD.report[50] +.sym 23415 $abc$32112$n64 +.sym 23416 KEYBOARD.report[51] +.sym 23419 $abc$32112$n60 +.sym 23420 KEYBOARD.report[48] +.sym 23421 $abc$32112$n66 +.sym 23422 KEYBOARD.report[52] +.sym 23425 $abc$32112$n799 +.sym 23426 $abc$32112$n800_1 +.sym 23427 $abc$32112$n796 +.sym 23428 $abc$32112$n1254 +.sym 23431 KEYBOARD.report[48] +.sym 23432 KEYBOARD.report[49] +.sym 23433 KEYBOARD.report[50] +.sym 23434 KEYBOARD.report[51] +.sym 23437 $abc$32112$n2093 +.sym 23438 $false +.sym 23439 $false +.sym 23440 $false +.sym 23441 $abc$32112$n693$2 +.sym 23442 CLK$2$2 +.sym 23443 $0\KBD_FREEZE[0:0]$2 +.sym 23444 $abc$32112$n1326 +.sym 23445 $abc$32112$n1078 +.sym 23446 KEYBOARD.report[23] +.sym 23447 KEYBOARD.report[22] +.sym 23448 KEYBOARD.report[19] +.sym 23449 KEYBOARD.report[20] +.sym 23450 KEYBOARD.report[17] +.sym 23451 KEYBOARD.report[21] +.sym 23518 KEYBOARD.report[19] +.sym 23519 KEYBOARD.report[22] +.sym 23520 $abc$32112$n69 +.sym 23521 $abc$32112$n738 +.sym 23524 $abc$32112$n732_1 +.sym 23525 $abc$32112$n1326 +.sym 23526 $abc$32112$n1325 +.sym 23527 $abc$32112$n1294_1 +.sym 23530 $abc$32112$n66 +.sym 23531 KEYBOARD.report[20] +.sym 23532 $false +.sym 23533 $false +.sym 23536 KEYBOARD.report[20] +.sym 23537 KEYBOARD.report[21] +.sym 23538 KEYBOARD.report[22] +.sym 23539 KEYBOARD.report[23] +.sym 23542 $abc$32112$n1327_1 +.sym 23543 KEYBOARD.report[16] +.sym 23544 $abc$32112$n60 +.sym 23545 $abc$32112$n1254 +.sym 23548 KEYBOARD.report[21] +.sym 23549 KEYBOARD.report[53] +.sym 23550 I2C.byte_counter[2] +.sym 23551 I2C.byte_counter[1] +.sym 23554 KEYBOARD.report[16] +.sym 23555 KEYBOARD.report[17] +.sym 23556 KEYBOARD.report[18] +.sym 23557 KEYBOARD.report[19] +.sym 23560 $abc$32112$n2091 +.sym 23561 $false +.sym 23562 $false +.sym 23563 $false +.sym 23564 $abc$32112$n693$2 +.sym 23565 CLK$2$2 +.sym 23566 $0\KBD_FREEZE[0:0]$2 +.sym 23567 $abc$32112$n1331_1 +.sym 23568 $abc$32112$n651 +.sym 23569 $abc$32112$n762 +.sym 23570 $abc$32112$n1330_1 +.sym 23571 $0\KBD_FREEZE[0:0] +.sym 23572 KEYBOARD.report[37] +.sym 23573 KEYBOARD.report[38] +.sym 23574 KEYBOARD.report[39] +.sym 23641 KEYBOARD.report[22] +.sym 23642 KEYBOARD.report[54] +.sym 23643 I2C.byte_counter[2] +.sym 23644 I2C.byte_counter[1] +.sym 23647 $abc$32112$n1071 +.sym 23648 $abc$32112$n1070 +.sym 23649 $abc$32112$n1067 +.sym 23650 $abc$32112$n1628 +.sym 23653 KEYBOARD.report[36] +.sym 23654 KEYBOARD.report[37] +.sym 23655 KEYBOARD.report[38] +.sym 23656 KEYBOARD.report[39] +.sym 23659 I2C.byte_counter[1] +.sym 23660 I2C.byte_counter[2] +.sym 23661 $false +.sym 23662 $false +.sym 23665 $abc$32112$n61 +.sym 23666 $abc$32112$n63 +.sym 23667 $abc$32112$n60 +.sym 23668 $false +.sym 23671 KEYBOARD.report[6] +.sym 23672 KEYBOARD.report[38] +.sym 23673 I2C.byte_counter[1] +.sym 23674 I2C.byte_counter[2] +.sym 23677 KEYBOARD.report[9] +.sym 23678 KEYBOARD.report[1] +.sym 23679 $abc$32112$n1628 +.sym 23680 $false +.sym 23683 $abc$32112$n671 +.sym 23684 KEYBOARD.report[1] +.sym 23685 $abc$32112$n1161 +.sym 23686 $false +.sym 23687 $abc$32112$n605 +.sym 23688 CLK$2$2 +.sym 23689 $0\KBD_FREEZE[0:0]$2 +.sym 23690 $abc$32112$n773 +.sym 23691 $abc$32112$n1329 +.sym 23692 $abc$32112$n1301 +.sym 23694 KEYBOARD.report[35] +.sym 23695 KEYBOARD.report[32] +.sym 23696 KEYBOARD.report[33] +.sym 23697 KEYBOARD.report[34] +.sym 23764 KEYBOARD.report[41] +.sym 23765 KEYBOARD.report[33] +.sym 23766 $abc$32112$n1628 +.sym 23767 $false +.sym 23770 $abc$32112$n772 +.sym 23771 $abc$32112$n773 +.sym 23772 $false +.sym 23773 $false +.sym 23776 $abc$32112$n60 +.sym 23777 $abc$32112$n61 +.sym 23778 $abc$32112$n63 +.sym 23779 $false +.sym 23782 KEYBOARD.report[0] +.sym 23783 KEYBOARD.report[32] +.sym 23784 I2C.byte_counter[1] +.sym 23785 I2C.byte_counter[2] +.sym 23788 $abc$32112$n2088 +.sym 23789 $false +.sym 23790 $false +.sym 23791 $false +.sym 23794 $abc$32112$n2087 +.sym 23795 $false +.sym 23796 $false +.sym 23797 $false +.sym 23800 $abc$32112$n2090 +.sym 23801 $false +.sym 23802 $false +.sym 23803 $false +.sym 23806 $abc$32112$n2093 +.sym 23807 $false +.sym 23808 $false +.sym 23809 $false +.sym 23810 $abc$32112$n720$2 +.sym 23811 CLK$2$2 +.sym 23812 $0\KBD_FREEZE[0:0]$2 +.sym 23813 $abc$32112$n1298 +.sym 23814 $abc$32112$n1299_1 +.sym 23815 $abc$32112$n1042 +.sym 23817 $abc$32112$n1163 +.sym 23818 $abc$32112$n1297 +.sym 23819 $abc$32112$n2091 +.sym 23820 KEYBOARD.report[2] +.sym 23887 $abc$32112$n1068 +.sym 23888 $abc$32112$n1069 +.sym 23889 $false +.sym 23890 $false +.sym 23893 $abc$32112$n1028 +.sym 23894 $abc$32112$n1027 +.sym 23895 $abc$32112$n1024 +.sym 23896 $abc$32112$n1628 +.sym 23899 KEYBOARD.report[24] +.sym 23900 KEYBOARD.report[56] +.sym 23901 I2C.byte_counter[2] +.sym 23902 I2C.byte_counter[1] +.sym 23905 KEYBOARD.report[30] +.sym 23906 $abc$32112$n69 +.sym 23907 KEYBOARD.report[27] +.sym 23908 $abc$32112$n738 +.sym 23911 KEYBOARD.report[30] +.sym 23912 KEYBOARD.report[62] +.sym 23913 I2C.byte_counter[2] +.sym 23914 I2C.byte_counter[1] +.sym 23917 KEYBOARD.report[24] +.sym 23918 KEYBOARD.report[25] +.sym 23919 KEYBOARD.report[26] +.sym 23920 KEYBOARD.report[27] +.sym 23923 $abc$32112$n1025 +.sym 23924 $abc$32112$n1026 +.sym 23925 $false +.sym 23926 $false +.sym 23929 $abc$32112$n2087 +.sym 23930 $false +.sym 23931 $false +.sym 23932 $false +.sym 23933 $abc$32112$n678 +.sym 23934 CLK$2$2 +.sym 23935 $0\KBD_FREEZE[0:0]$2 +.sym 23938 KEYBOARD.report[30] +.sym 23939 KEYBOARD.report[27] +.sym 23941 KEYBOARD.report[25] +.sym 23942 KEYBOARD.report[31] +.sym 23943 KEYBOARD.report[26] +.sym 24010 $abc$32112$n1042 +.sym 24011 $abc$32112$n1043 +.sym 24012 $abc$32112$n1039 +.sym 24013 $abc$32112$n1628 +.sym 24016 KEYBOARD.report[43] +.sym 24017 $abc$32112$n738 +.sym 24018 KEYBOARD.report[42] +.sym 24019 $abc$32112$n63 +.sym 24022 KEYBOARD.report[14] +.sym 24023 KEYBOARD.report[46] +.sym 24024 I2C.byte_counter[1] +.sym 24025 I2C.byte_counter[2] +.sym 24028 $abc$32112$n63 +.sym 24029 $abc$32112$n61 +.sym 24030 $abc$32112$n60 +.sym 24031 $false +.sym 24034 $abc$32112$n2088 +.sym 24035 $false +.sym 24036 $false +.sym 24037 $false +.sym 24040 $abc$32112$n2093 +.sym 24041 $false +.sym 24042 $false +.sym 24043 $false +.sym 24046 $abc$32112$n2094 +.sym 24047 $false +.sym 24048 $false +.sym 24049 $false +.sym 24052 $abc$32112$n2089 +.sym 24053 $false +.sym 24054 $false +.sym 24055 $false +.sym 24056 $abc$32112$n678 +.sym 24057 CLK$2$2 +.sym 24058 $0\KBD_FREEZE[0:0]$2 +.sym 24062 KEYBOARD.report[36] +.sym 24133 $abc$32112$n1040 +.sym 24134 $abc$32112$n1041 +.sym 24135 $false +.sym 24136 $false +.sym 24139 KEYBOARD.report[10] +.sym 24140 KEYBOARD.report[42] +.sym 24141 I2C.byte_counter[1] +.sym 24142 I2C.byte_counter[2] +.sym 24145 KEYBOARD.report[26] +.sym 24146 KEYBOARD.report[58] +.sym 24147 I2C.byte_counter[2] +.sym 24148 I2C.byte_counter[1] +.sym 24151 KEYBOARD.report[8] +.sym 24152 KEYBOARD.report[40] +.sym 24153 I2C.byte_counter[1] +.sym 24154 I2C.byte_counter[2] +.sym 24157 $false +.sym 24158 $false +.sym 24159 $false +.sym 24160 $false +.sym 24163 $false +.sym 24164 $false +.sym 24165 $false +.sym 24166 $false +.sym 24169 $false +.sym 24170 $false +.sym 24171 $false +.sym 24172 $false +.sym 24175 $false +.sym 24176 $false +.sym 24177 $false +.sym 24178 $false +.sym 24179 $abc$32112$n630 +.sym 24180 CLK$2$2 +.sym 24181 $false +.sym 24185 KEYBOARD.report[58] +.sym 24187 KEYBOARD.report[60] +.sym 24274 $abc$32112$n1174 +.sym 24275 $false +.sym 24276 $false +.sym 24277 $false +.sym 24280 $abc$32112$n671 +.sym 24281 $false +.sym 24282 $false +.sym 24283 $false +.sym 24286 $abc$32112$n1175 +.sym 24287 $false +.sym 24288 $false +.sym 24289 $false +.sym 24302 $abc$32112$n839$2 +.sym 24303 CLK$2$2 +.sym 24304 $false +.sym 24385 $abc$32112$n2107 +.sym 24386 $false +.sym 24387 $false +.sym 24388 $false +.sym 24391 $false +.sym 24392 $false +.sym 24393 $false +.sym 24394 $false +.sym 24415 $false +.sym 24416 $false +.sym 24417 $false +.sym 24418 $false +.sym 24425 $abc$32112$n630 +.sym 24426 CLK$2$2 +.sym 24427 $false +.sym 24428 $abc$32112$n2003 +.sym 24432 $abc$32112$n601 +.sym 24433 KEYBOARD.ROWS_EN[0] +.sym 24435 KEYBOARD.ROWS_EN[1] +.sym 24502 $abc$32112$n23 +.sym 24503 $false +.sym 24504 $false +.sym 24505 $false +.sym 24514 KEYBOARD.row_counter[0] +.sym 24515 $false +.sym 24516 $false +.sym 24517 $false +.sym 24532 $abc$32112$n2003 +.sym 24533 $false +.sym 24534 $false +.sym 24535 $false +.sym 24548 $abc$32112$n601 +.sym 24549 CLK$2$2 +.sym 24550 $abc$32112$n23 +.sym 24915 KBD_COLUMNS[0]$2 +.sym 24916 $false +.sym 24917 $false +.sym 24918 $false +.sym 24927 SCL$2 +.sym 24928 $false +.sym 24929 $false +.sym 24930 $false +.sym 24931 $true +.sym 24932 CLK$2$2 +.sym 24933 $false +.sym 24936 KBD_COLUMNS[0]$2 +.sym 25046 I2C_INPUT_DATA[4][1] +.sym 25047 I2C_INPUT_DATA[8][1] +.sym 25048 $abc$32112$n664 +.sym 25049 $false +.sym 25076 I2C_INPUT_DATA[4][2] +.sym 25077 I2C_INPUT_DATA[8][2] +.sym 25078 $abc$32112$n664 +.sym 25079 $false +.sym 25086 $abc$32112$n501 +.sym 25087 CLK$2$2 +.sym 25088 $0\KBD_FREEZE[0:0]$2 +.sym 25089 KBD_COLUMNS[1]$2 +.sym 25091 KBD_COLUMNS[2]$2 +.sym 25195 KBD_COLUMNS[3]$2 +.sym 25196 $false +.sym 25197 $false +.sym 25198 $false +.sym 25207 KBD_COLUMNS[1]$2 +.sym 25208 $false +.sym 25209 $false +.sym 25210 $false +.sym 25231 KBD_COLUMNS[2]$2 +.sym 25232 $false +.sym 25233 $false +.sym 25234 $false +.sym 25237 I2C.SDA_IN +.sym 25238 $false +.sym 25239 $false +.sym 25240 $false +.sym 25241 $true +.sym 25242 CLK$2$2 +.sym 25243 $false +.sym 25312 $true +.sym 25349 $abc$32112$n60$2 +.sym 25350 $false +.sym 25351 $abc$32112$n60 +.sym 25352 $false +.sym 25353 $false +.sym 25355 $auto$alumacc.cc:470:replace_alu$4546.C[2] +.sym 25357 $abc$32112$n61 +.sym 25358 $false +.sym 25361 $auto$alumacc.cc:470:replace_alu$4546.C[3] +.sym 25363 $abc$32112$n63 +.sym 25364 $false +.sym 25367 $auto$alumacc.cc:470:replace_alu$4546.C[4] +.sym 25369 $abc$32112$n64 +.sym 25370 $false +.sym 25373 $auto$alumacc.cc:470:replace_alu$4546.C[5] +.sym 25375 $abc$32112$n66 +.sym 25376 $false +.sym 25379 $auto$alumacc.cc:470:replace_alu$4546.C[6] +.sym 25381 $abc$32112$n67 +.sym 25382 $true$2 +.sym 25385 $auto$alumacc.cc:470:replace_alu$4546.C[7] +.sym 25387 $abc$32112$n69 +.sym 25388 $false +.sym 25391 $abc$32112$n2172$2 +.sym 25393 $abc$32112$n70 +.sym 25394 $false +.sym 25399 KBD_COLUMNS[3]$2 +.sym 25508 $abc$32112$n2172$2 +.sym 25535 $abc$32112$n2087 +.sym 25536 $false +.sym 25537 $false +.sym 25538 $false +.sym 25547 $abc$32112$n2089 +.sym 25548 $false +.sym 25549 $false +.sym 25550 $false +.sym 25551 $abc$32112$n614 +.sym 25552 CLK$2$2 +.sym 25553 $0\KBD_FREEZE[0:0]$2 +.sym 25556 SCL$2 +.sym 25660 $abc$32112$n69 +.sym 25661 $abc$32112$n671 +.sym 25662 $false +.sym 25663 $false +.sym 25666 $abc$32112$n67 +.sym 25667 KEYBOARD.report[53] +.sym 25668 $abc$32112$n70 +.sym 25669 KEYBOARD.report[55] +.sym 25672 $abc$32112$n671 +.sym 25673 $abc$32112$n64 +.sym 25674 $false +.sym 25675 $false +.sym 25678 $abc$32112$n2087 +.sym 25679 $false +.sym 25680 $false +.sym 25681 $false +.sym 25684 $abc$32112$n2090 +.sym 25685 $false +.sym 25686 $false +.sym 25687 $false +.sym 25690 $abc$32112$n2094 +.sym 25691 $false +.sym 25692 $false +.sym 25693 $false +.sym 25696 $abc$32112$n2092 +.sym 25697 $false +.sym 25698 $false +.sym 25699 $false +.sym 25706 $abc$32112$n693$2 +.sym 25707 CLK$2$2 +.sym 25708 $0\KBD_FREEZE[0:0]$2 +.sym 25709 I2C.SDA_IN +.sym 25815 KEYBOARD.report[21] +.sym 25816 $abc$32112$n67 +.sym 25817 KEYBOARD.report[23] +.sym 25818 $abc$32112$n70 +.sym 25821 KEYBOARD.report[23] +.sym 25822 KEYBOARD.report[55] +.sym 25823 I2C.byte_counter[2] +.sym 25824 I2C.byte_counter[1] +.sym 25827 $abc$32112$n2094 +.sym 25828 $false +.sym 25829 $false +.sym 25830 $false +.sym 25833 $abc$32112$n2093 +.sym 25834 $false +.sym 25835 $false +.sym 25836 $false +.sym 25839 $abc$32112$n2090 +.sym 25840 $false +.sym 25841 $false +.sym 25842 $false +.sym 25845 $abc$32112$n2091 +.sym 25846 $false +.sym 25847 $false +.sym 25848 $false +.sym 25851 $abc$32112$n2088 +.sym 25852 $false +.sym 25853 $false +.sym 25854 $false +.sym 25857 $abc$32112$n2092 +.sym 25858 $false +.sym 25859 $false +.sym 25860 $false +.sym 25861 $abc$32112$n614 +.sym 25862 CLK$2$2 +.sym 25863 $0\KBD_FREEZE[0:0]$2 +.sym 25970 $abc$32112$n1329 +.sym 25971 $abc$32112$n1330_1 +.sym 25972 $abc$32112$n762 +.sym 25973 $abc$32112$n1301 +.sym 25976 $abc$32112$n1254 +.sym 25977 $abc$32112$n1331_1 +.sym 25978 $abc$32112$n1255 +.sym 25979 $false +.sym 25982 $abc$32112$n67 +.sym 25983 KEYBOARD.report[37] +.sym 25984 $abc$32112$n70 +.sym 25985 KEYBOARD.report[39] +.sym 25988 KEYBOARD.report[36] +.sym 25989 $abc$32112$n66 +.sym 25990 KEYBOARD.report[38] +.sym 25991 $abc$32112$n69 +.sym 25994 I2C.FLT_SCL.RESET +.sym 25995 $false +.sym 25996 $false +.sym 25997 $false +.sym 26000 $abc$32112$n2092 +.sym 26001 $false +.sym 26002 $false +.sym 26003 $false +.sym 26006 $abc$32112$n2093 +.sym 26007 $false +.sym 26008 $false +.sym 26009 $false +.sym 26012 $abc$32112$n2094 +.sym 26013 $false +.sym 26014 $false +.sym 26015 $false +.sym 26016 $abc$32112$n651 +.sym 26017 CLK$2$2 +.sym 26018 $0\KBD_FREEZE[0:0]$2 +.sym 26125 KEYBOARD.report[32] +.sym 26126 KEYBOARD.report[33] +.sym 26127 KEYBOARD.report[34] +.sym 26128 KEYBOARD.report[35] +.sym 26131 KEYBOARD.report[32] +.sym 26132 $abc$32112$n60 +.sym 26133 KEYBOARD.report[33] +.sym 26134 $abc$32112$n61 +.sym 26137 KEYBOARD.report[34] +.sym 26138 $abc$32112$n63 +.sym 26139 KEYBOARD.report[35] +.sym 26140 $abc$32112$n64 +.sym 26149 $abc$32112$n2090 +.sym 26150 $false +.sym 26151 $false +.sym 26152 $false +.sym 26155 $abc$32112$n2087 +.sym 26156 $false +.sym 26157 $false +.sym 26158 $false +.sym 26161 $abc$32112$n2088 +.sym 26162 $false +.sym 26163 $false +.sym 26164 $false +.sym 26167 $abc$32112$n2089 +.sym 26168 $false +.sym 26169 $false +.sym 26170 $false +.sym 26171 $abc$32112$n651 +.sym 26172 CLK$2$2 +.sym 26173 $0\KBD_FREEZE[0:0]$2 +.sym 26280 $abc$32112$n69 +.sym 26281 KEYBOARD.report[30] +.sym 26282 KEYBOARD.report[28] +.sym 26283 $abc$32112$n66 +.sym 26286 KEYBOARD.report[25] +.sym 26287 $abc$32112$n61 +.sym 26288 $abc$32112$n1298 +.sym 26289 $abc$32112$n1297 +.sym 26292 KEYBOARD.report[2] +.sym 26293 KEYBOARD.report[34] +.sym 26294 I2C.byte_counter[1] +.sym 26295 I2C.byte_counter[2] +.sym 26304 $abc$32112$n60 +.sym 26305 $abc$32112$n63 +.sym 26306 $abc$32112$n61 +.sym 26307 $false +.sym 26310 KEYBOARD.report[27] +.sym 26311 $abc$32112$n64 +.sym 26312 KEYBOARD.report[26] +.sym 26313 $abc$32112$n63 +.sym 26316 $abc$32112$n671 +.sym 26317 $abc$32112$n66 +.sym 26318 $false +.sym 26319 $false +.sym 26322 $abc$32112$n671 +.sym 26323 KEYBOARD.report[2] +.sym 26324 $abc$32112$n1163 +.sym 26325 $false +.sym 26326 $abc$32112$n605 +.sym 26327 CLK$2$2 +.sym 26328 $0\KBD_FREEZE[0:0]$2 +.sym 26447 $abc$32112$n2093 +.sym 26448 $false +.sym 26449 $false +.sym 26450 $false +.sym 26453 $abc$32112$n2090 +.sym 26454 $false +.sym 26455 $false +.sym 26456 $false +.sym 26465 $abc$32112$n2088 +.sym 26466 $false +.sym 26467 $false +.sym 26468 $false +.sym 26471 $abc$32112$n2094 +.sym 26472 $false +.sym 26473 $false +.sym 26474 $false +.sym 26477 $abc$32112$n2089 +.sym 26478 $false +.sym 26479 $false +.sym 26480 $false +.sym 26481 $abc$32112$n631 +.sym 26482 CLK$2$2 +.sym 26483 $0\KBD_FREEZE[0:0]$2 +.sym 26608 $abc$32112$n2091 +.sym 26609 $false +.sym 26610 $false +.sym 26611 $false +.sym 26636 $abc$32112$n651 +.sym 26637 CLK$2$2 +.sym 26638 $0\KBD_FREEZE[0:0]$2 +.sym 26763 $abc$32112$n2089 +.sym 26764 $false +.sym 26765 $false +.sym 26766 $false +.sym 26775 $abc$32112$n2091 +.sym 26776 $false +.sym 26777 $false +.sym 26778 $false +.sym 26791 $abc$32112$n720$2 +.sym 26792 CLK$2$2 +.sym 26793 $0\KBD_FREEZE[0:0]$2 +.sym 27055 KEYBOARD.row_counter[0] +.sym 27056 $false +.sym 27057 $false +.sym 27058 $false +.sym 27079 $abc$32112$n29$2 +.sym 27080 $abc$32112$n839$2 +.sym 27081 $false +.sym 27082 $false +.sym 27085 KEYBOARD.row_counter[0] +.sym 27086 $false +.sym 27087 $false +.sym 27088 $false +.sym 27097 $abc$32112$n2003 +.sym 27098 $false +.sym 27099 $false +.sym 27100 $false +.sym 27101 $abc$32112$n601 +.sym 27102 CLK$2$2 +.sym 27103 $abc$32112$n22 +.sym 27400 COM_DCD$2 +.sym 27429 $abc$32112$n693 +.sym 27430 $false +.sym 27432 I2C.SDA_DIR +.sym 27459 $0\KBD_FREEZE[0:0] +.sym 27463 I2C_TRANS +.sym 27519 LED4$2 +.sym 27522 LED3$2 +.sym 27549 LED2$2 +.sym 27552 LED1$2 diff --git a/i2c_keyboard/hardware.bin b/i2c_keyboard/hardware.bin new file mode 100644 index 0000000..a239755 Binary files /dev/null and b/i2c_keyboard/hardware.bin differ diff --git a/i2c_keyboard/hardware.blif b/i2c_keyboard/hardware.blif new file mode 100644 index 0000000..3b9c183 --- /dev/null +++ b/i2c_keyboard/hardware.blif @@ -0,0 +1,3378 @@ +# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) + +.model top +.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] +.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] +.names $false +.names $true +1 +.names $undef +.gate SB_LUT4 I0=$abc$32112$n533 I1=$abc$32112$n2002 I2=$abc$32112$n538 I3=UART.tx_activity O=$abc$32112$n3 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000111111111 +.gate SB_LUT4 I0=$abc$32112$n534 I1=$abc$32112$n537 I2=$abc$32112$n1572 I3=$abc$32112$n1558 O=$abc$32112$n533 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110000000101 +.gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$32112$n535 I3=$false O=$abc$32112$n534 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n2106 I1=$abc$32112$n2107 I2=$false I3=$false O=$abc$32112$n535 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$32112$n2106 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$32112$n535 I3=$false O=$abc$32112$n537 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n539_1 I1=$abc$32112$n540 I2=$abc$32112$n1558 I3=$abc$32112$n1572 O=$abc$32112$n538 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010001100000000 +.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$32112$n535 I3=$false O=$abc$32112$n539_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$32112$n535 I3=$false O=$abc$32112$n540 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n542 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n5 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$32112$n542 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=$false I3=$false O=$abc$32112$n19 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$32112$n23 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$32112$n25 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n549 I1=$abc$32112$n550 I2=$abc$32112$n551 I3=$abc$32112$n552 O=$abc$32112$n29 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[11] I3=KEYBOARD.row_time[7] O=$abc$32112$n549 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$32112$n550 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[14] I1=KEYBOARD.row_time[15] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[10] O=$abc$32112$n551 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[8] I2=KEYBOARD.row_time[12] I3=KEYBOARD.row_time[13] O=$abc$32112$n552 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n554 I1=$abc$32112$n568 I2=$abc$32112$n576 I3=$abc$32112$n584 O=$abc$32112$n60 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111111110 +.gate SB_LUT4 I0=$abc$32112$n560 I1=$abc$32112$n1281_1 I2=KEYBOARD.COLS_SHADOW[1] I3=$abc$32112$n563 O=$abc$32112$n554 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n560 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$abc$32112$n1174 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1175 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=$abc$32112$n564 I3=$abc$32112$n566 O=$abc$32112$n563 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=$abc$32112$n565 I2=KEYBOARD.row_time[1] I3=$false O=$abc$32112$n564 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[7] I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$32112$n565 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n551 I1=$abc$32112$n567 I2=$false I3=$false O=$abc$32112$n566 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.row_time[11] I1=KEYBOARD.row_time[13] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[12] O=$abc$32112$n567 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n569 O=$abc$32112$n568 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=$abc$32112$n1174 I2=$false I3=$false O=$abc$32112$n569 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n566 I2=$abc$32112$n564 I3=KEYBOARD.row_time[2] O=$abc$32112$n575 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n576 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n583 I2=$abc$32112$n566 I3=KEYBOARD.row_time[2] O=$abc$32112$n582 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$abc$32112$n565 I3=$false O=$abc$32112$n583 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n584 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n585 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=$abc$32112$n566 I3=$abc$32112$n583 O=$abc$32112$n591 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n593 I1=$abc$32112$n594 I2=$abc$32112$n595_1 I3=$abc$32112$n597 O=$abc$32112$n61 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111111110 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n585 O=$abc$32112$n593 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n560 O=$abc$32112$n594 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n596 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n595_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n596 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$abc$32112$n598 O=$abc$32112$n597 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$32112$n598 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n600 I1=$abc$32112$n560 I2=$abc$32112$n602 I3=$abc$32112$n601_1 O=$abc$32112$n63 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111111000 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$false O=$abc$32112$n600 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$abc$32112$n585 O=$abc$32112$n601_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n1174 O=$abc$32112$n602 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n604 I1=$abc$32112$n605_1 I2=$abc$32112$n606 I3=$abc$32112$n607 O=$abc$32112$n64 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111111110 +.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n604 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n569 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n605_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n1174 O=$abc$32112$n606 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n598 O=$abc$32112$n607 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n609 I1=$abc$32112$n610 I2=$abc$32112$n611 I3=$abc$32112$n595_1 O=$abc$32112$n66 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100001110 +.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$false O=$abc$32112$n609 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n598 O=$abc$32112$n610 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n582 I2=$false I3=$false O=$abc$32112$n611 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n605_1 I1=$abc$32112$n614_1 I2=$abc$32112$n615 I3=$abc$32112$n613 O=$abc$32112$n67 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$false O=$abc$32112$n613 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$32112$n560 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n614_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n596 O=$abc$32112$n615 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1175 I1=$abc$32112$n600 I2=$abc$32112$n598 I3=$abc$32112$n613 O=$abc$32112$n617 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011101110110000 +.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n613 I2=$abc$32112$n615 I3=$false O=$abc$32112$n70 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11110001 +.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n61 I2=$abc$32112$n63 I3=$false O=$abc$32112$n621 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n624 I2=I2C.wr I3=$false O=$abc$32112$n376 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$32112$n624 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$32112$n635 I2=$abc$32112$n634 I3=$abc$32112$n626 O=$abc$32112$n396 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$32112$n632 I1=$abc$32112$n633 I2=$abc$32112$n631_1 I3=$abc$32112$n627 O=$abc$32112$n626 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n628 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n627 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$abc$32112$n25 I2=$false I3=$false O=$abc$32112$n628 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$0\uart_double_ff[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$32112$n630_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n542 I1=$abc$32112$n630_1 I2=I2C.FLT_SCL.RESET I3=$abc$32112$n628 O=$abc$32112$n631_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$32112$n632 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=LED1 I1=UART_WR I2=last_isr I3=KEYBOARD.isr O=$abc$32112$n633 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n5 I1=I2C.wr I2=last_wr I3=$false O=$abc$32112$n634 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000001 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=$abc$32112$n2169 I2=I2C_OUTPUT_TYPE[0] I3=I2C_OUTPUT_TYPE[1] O=$abc$32112$n635 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000001 +.gate SB_LUT4 I0=$abc$32112$n637 I1=I2C.is_read I2=$false I3=$false O=$abc$32112$n403 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n630_1 I2=$false I3=$false O=$abc$32112$n637 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n634 I1=$abc$32112$n637 I2=$false I3=$false O=$abc$32112$n405 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=I2C.FLT_SCL.RESET I2=$abc$32112$n640 I3=$false O=$abc$32112$n409 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n631_1 I1=$abc$32112$n632 I2=$abc$32112$n634 I3=$false O=$abc$32112$n640 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$32112$n1293 I1=$abc$32112$n677 I2=$false I3=$false O=$abc$32112$n419 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n646 I1=$abc$32112$n658 I2=I2C_INPUT_DATA[3][0] I3=$false O=$abc$32112$n645 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n647 I1=$abc$32112$n653 I2=$false I3=$false O=$abc$32112$n646 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][3] I1=I2C_INPUT_DATA[0][0] I2=I2C_INPUT_DATA[0][2] I3=$abc$32112$n648 O=$abc$32112$n647 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][1] I1=$abc$32112$n652 I2=$abc$32112$n649 I3=$false O=$abc$32112$n648 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n650 I1=$abc$32112$n651_1 I2=$false I3=$false O=$abc$32112$n649 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[1][1] I1=I2C_INPUT_DATA[1][2] I2=I2C_INPUT_DATA[1][4] I3=I2C_INPUT_DATA[1][7] O=$abc$32112$n650 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[1][0] I1=I2C_INPUT_DATA[1][3] I2=I2C_INPUT_DATA[1][5] I3=I2C_INPUT_DATA[1][6] O=$abc$32112$n651_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][4] I1=I2C_INPUT_DATA[0][5] I2=I2C_INPUT_DATA[0][6] I3=I2C_INPUT_DATA[0][7] O=$abc$32112$n652 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[5][7] I2=$abc$32112$n654 I3=$abc$32112$n656 O=$abc$32112$n653 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][3] I1=I2C_INPUT_DATA[4][4] I2=I2C_INPUT_DATA[5][1] I3=$abc$32112$n655 O=$abc$32112$n654 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][6] I1=I2C_INPUT_DATA[5][3] I2=I2C_INPUT_DATA[5][4] I3=I2C_INPUT_DATA[4][1] O=$abc$32112$n655 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][5] I1=I2C_INPUT_DATA[4][7] I2=I2C_INPUT_DATA[5][0] I3=$abc$32112$n657 O=$abc$32112$n656 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[5][2] I1=I2C_INPUT_DATA[5][5] I2=I2C_INPUT_DATA[5][6] I3=I2C_INPUT_DATA[4][2] O=$abc$32112$n657 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][4] I1=I2C_INPUT_DATA[2][5] I2=$abc$32112$n659 I3=I2C_INPUT_DATA[3][1] O=$abc$32112$n658 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n660 I1=$abc$32112$n661 I2=$abc$32112$n662 I3=$abc$32112$n663 O=$abc$32112$n659 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][1] I1=I2C_INPUT_DATA[2][0] I2=I2C_INPUT_DATA[2][2] I3=I2C_INPUT_DATA[2][3] O=$abc$32112$n660 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][4] I1=I2C_INPUT_DATA[3][5] I2=I2C_INPUT_DATA[3][6] I3=I2C_INPUT_DATA[3][7] O=$abc$32112$n661 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][6] I1=I2C_INPUT_DATA[2][7] I2=$false I3=$false O=$abc$32112$n662 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][2] I1=I2C_INPUT_DATA[3][3] I2=$false I3=$false O=$abc$32112$n663 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n665 I3=$false O=$abc$32112$n664 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n666 I1=I2C_INPUT_LEN[2] I2=$false I3=$false O=$abc$32112$n665 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$32112$n667 I2=$false I3=$false O=$abc$32112$n666 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$32112$n667 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n648 I1=$abc$32112$n670 I2=$abc$32112$n671_1 I3=$abc$32112$n673 O=$abc$32112$n669 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[2][4] I2=$abc$32112$n661 I3=$abc$32112$n662 O=$abc$32112$n670 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][2] I1=I2C_INPUT_DATA[2][3] I2=$abc$32112$n663 I3=$abc$32112$n672 O=$abc$32112$n671_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][0] I1=I2C_INPUT_DATA[0][3] I2=I2C_INPUT_DATA[2][1] I3=I2C_INPUT_DATA[0][2] O=$abc$32112$n672 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][0] I1=I2C_INPUT_DATA[3][1] I2=I2C_INPUT_DATA[2][0] I3=$false O=$abc$32112$n673 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$32112$n666 I2=I2C_INPUT_LEN[1] I3=$false O=$abc$32112$n674 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n676 I1=I2C.is_read I2=$abc$32112$n634 I3=$false O=$abc$32112$n675 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$32112$n666 O=$abc$32112$n676 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=I2C.wr I2=last_wr I3=$abc$32112$n678_1 O=$abc$32112$n677 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011010111 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$32112$n674 I2=$abc$32112$n634 I3=$false O=$abc$32112$n678_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n628 I2=I2C.FLT_SCL.RESET I3=$abc$32112$n640 O=$abc$32112$n424 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$32112$n634 I1=I2C.is_read I2=$abc$32112$n626 I3=$false O=$abc$32112$n435 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$32112$n676 I1=I2C.is_read I2=$abc$32112$n634 I3=$false O=$abc$32112$n682 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$32112$n409 I1=$abc$32112$n25 I2=$false I3=$false O=$abc$32112$n505 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n692 I2=$false I3=$false O=$abc$32112$n518 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1053 I1=$abc$32112$n686 I2=$false I3=$false O=$abc$32112$n685 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1054 I1=$abc$32112$n1065 I2=$abc$32112$n687 I3=$abc$32112$n2097 O=$abc$32112$n686 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n506 I1=I2C.FLT_SCL.out I2=$abc$32112$n8 I3=$abc$32112$n688 O=$abc$32112$n687 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n689 I1=$abc$32112$n691 I2=$false I3=$false O=$abc$32112$n688 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$32112$n1817 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$32112$n689 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$32112$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$32112$n1817 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.i2c_state_machine O=$abc$32112$n691 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111101 +.gate SB_LUT4 I0=$abc$32112$n1051 I1=$abc$32112$n693_1 I2=$false I3=$false O=$abc$32112$n692 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$32112$n1817 I3=$false O=$abc$32112$n693_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n693_1 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n525 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n696 I2=$false I3=$false O=$abc$32112$n532 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n1051 I2=$false I3=$false O=$abc$32112$n696 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n685 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n539 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n692 I2=$false I3=$false O=$abc$32112$n546 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n686 I1=$abc$32112$n1053 I2=$false I3=$false O=$abc$32112$n699 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n693_1 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n555 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n696 I2=$false I3=$false O=$abc$32112$n562 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n699 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n577 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$32112$n1817 I3=$false O=$abc$32112$n580 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n705 I2=$false I3=$false O=$abc$32112$n592 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$32112$n705 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$32112$n707 I1=$abc$32112$n710 I2=$abc$32112$n705 I3=I2C.FLT_SCL.RESET O=$abc$32112$n595 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n708 I1=UART.tx_activity I2=$false I3=$false O=$abc$32112$n707 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$32112$n709 I3=$false O=$abc$32112$n708 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$32112$n1404 I1=$abc$32112$n1407 I2=$abc$32112$n1408 I3=$false O=$abc$32112$n709 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$32112$n711 I2=$false I3=$false O=$abc$32112$n710 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$32112$n711 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n29 I1=$abc$32112$n839 I2=$false I3=$false O=$abc$32112$n601 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n10 I2=$false I3=$false O=$abc$32112$n839 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n717 I1=$abc$32112$n630 I2=$false I3=$false O=$abc$32112$n605 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1252_1 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n630 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n718 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n717 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n2171 I1=$abc$32112$n2172 I2=$false I3=$false O=$abc$32112$n718 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n718 I1=$abc$32112$n1252_1 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n720_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$32112$n724 I1=$abc$32112$n727 I2=$abc$32112$n729 I3=$abc$32112$n730 O=$abc$32112$n723 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=$abc$32112$n563 I1=$abc$32112$n582 I2=$abc$32112$n725 I3=$abc$32112$n726 O=$abc$32112$n724 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011111110 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=$abc$32112$n575 I2=KEYBOARD.COLS_SHADOW[3] I3=KEYBOARD.is_pressed O=$abc$32112$n725 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111011 +.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$32112$n582 O=$abc$32112$n726 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000100000000 +.gate SB_LUT4 I0=$abc$32112$n728 I1=$abc$32112$n591 I2=$false I3=$false O=$abc$32112$n727 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$32112$n563 O=$abc$32112$n728 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000100000000 +.gate SB_LUT4 I0=$abc$32112$n1290 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n729 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011001000000000 +.gate SB_LUT4 I0=$abc$32112$n718 I1=$abc$32112$n839 I2=$false I3=$false O=$abc$32112$n730 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n66 I1=KEYBOARD.report[20] I2=$false I3=$false O=$abc$32112$n732_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n604 I1=$abc$32112$n605_1 I2=$abc$32112$n606 I3=$abc$32112$n607 O=$abc$32112$n738 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n717 I2=$abc$32112$n671 I3=$false O=$abc$32112$n739 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n724 I1=$abc$32112$n727 I2=$abc$32112$n729 I3=$false O=$abc$32112$n671 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$32112$n742 I1=$abc$32112$n743_1 I2=$false I3=$false O=$abc$32112$n741 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[21] I2=KEYBOARD.report[22] I3=KEYBOARD.report[23] O=$abc$32112$n742 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[17] I2=KEYBOARD.report[18] I3=KEYBOARD.report[19] O=$abc$32112$n743_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[24] I2=$abc$32112$n747 I3=$abc$32112$n749_1 O=$abc$32112$n746 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n748 I2=$abc$32112$n67 I3=KEYBOARD.report[29] O=$abc$32112$n747 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000001 +.gate SB_LUT4 I0=$abc$32112$n70 I1=KEYBOARD.report[31] I2=$false I3=$false O=$abc$32112$n748 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=KEYBOARD.report[30] I1=$abc$32112$n69 I2=KEYBOARD.report[27] I3=$abc$32112$n738 O=$abc$32112$n749_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n671 I2=$abc$32112$n755 I3=I2C.FLT_SCL.RESET O=$abc$32112$n754 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$32112$n756 I1=$abc$32112$n757 I2=$false I3=$false O=$abc$32112$n755 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[29] I2=KEYBOARD.report[30] I3=KEYBOARD.report[31] O=$abc$32112$n756 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[25] I2=KEYBOARD.report[26] I3=KEYBOARD.report[27] O=$abc$32112$n757 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n67 I1=KEYBOARD.report[37] I2=$abc$32112$n70 I3=KEYBOARD.report[39] O=$abc$32112$n762 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n769 I1=$abc$32112$n671 I2=$abc$32112$n717 I3=$false O=$abc$32112$n768 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n755 I2=$false I3=$false O=$abc$32112$n769 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n771 I1=$abc$32112$n769 I2=$abc$32112$n717 I3=$abc$32112$n671 O=$abc$32112$n770_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n772 I1=$abc$32112$n773 I2=$false I3=$false O=$abc$32112$n771 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[36] I1=KEYBOARD.report[37] I2=KEYBOARD.report[38] I3=KEYBOARD.report[39] O=$abc$32112$n772 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[32] I1=KEYBOARD.report[33] I2=KEYBOARD.report[34] I3=KEYBOARD.report[35] O=$abc$32112$n773 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n738 I1=KEYBOARD.report[43] I2=$abc$32112$n69 I3=KEYBOARD.report[46] O=$abc$32112$n777 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000111 +.gate SB_LUT4 I0=$abc$32112$n605_1 I1=$abc$32112$n614_1 I2=$abc$32112$n779_1 I3=$false O=$abc$32112$n778 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1175 I1=$abc$32112$n1174 I2=$abc$32112$n600 I3=$abc$32112$n613 O=$abc$32112$n779_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$32112$n61 I1=KEYBOARD.report[41] I2=$abc$32112$n66 I3=KEYBOARD.report[44] O=$abc$32112$n786 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n789 I1=$abc$32112$n790_1 I2=$false I3=$false O=$abc$32112$n788 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[44] I1=KEYBOARD.report[45] I2=KEYBOARD.report[46] I3=KEYBOARD.report[47] O=$abc$32112$n789 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[40] I1=KEYBOARD.report[41] I2=KEYBOARD.report[42] I3=KEYBOARD.report[43] O=$abc$32112$n790_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n794 I1=$abc$32112$n1259 I2=$false I3=$false O=$abc$32112$n693 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n801 I2=$abc$32112$n795_1 I3=$abc$32112$n720_1 O=$abc$32112$n794 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$32112$n799 I1=$abc$32112$n800_1 I2=$abc$32112$n796 I3=$abc$32112$n1254 O=$abc$32112$n795_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$32112$n797 I1=$abc$32112$n69 I2=KEYBOARD.report[54] I3=$abc$32112$n798 O=$abc$32112$n796 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n61 I1=KEYBOARD.report[49] I2=$false I3=$false O=$abc$32112$n797 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n67 I1=KEYBOARD.report[53] I2=$abc$32112$n70 I3=KEYBOARD.report[55] O=$abc$32112$n798 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n63 I1=KEYBOARD.report[50] I2=$abc$32112$n64 I3=KEYBOARD.report[51] O=$abc$32112$n799 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[48] I2=$abc$32112$n66 I3=KEYBOARD.report[52] O=$abc$32112$n800_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n802 I1=$abc$32112$n803 I2=$false I3=$false O=$abc$32112$n801 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[52] I1=KEYBOARD.report[53] I2=KEYBOARD.report[54] I3=KEYBOARD.report[55] O=$abc$32112$n802 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[48] I1=KEYBOARD.report[49] I2=KEYBOARD.report[50] I3=KEYBOARD.report[51] O=$abc$32112$n803 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n1259 I1=$abc$32112$n806_1 I2=$false I3=$false O=$abc$32112$n720 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n816_1 I2=$abc$32112$n1310 I3=$abc$32112$n720_1 O=$abc$32112$n806_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$32112$n809 I1=$abc$32112$n69 I2=KEYBOARD.report[62] I3=$abc$32112$n810 O=$abc$32112$n808 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[56] I2=$false I3=$false O=$abc$32112$n809 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n63 I1=KEYBOARD.report[58] I2=$abc$32112$n70 I3=KEYBOARD.report[63] O=$abc$32112$n810 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n801 I1=$abc$32112$n817 I2=$abc$32112$n818 I3=$false O=$abc$32112$n816_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.report[60] I1=KEYBOARD.report[61] I2=KEYBOARD.report[62] I3=KEYBOARD.report[63] O=$abc$32112$n817 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[56] I1=KEYBOARD.report[57] I2=KEYBOARD.report[58] I3=KEYBOARD.report[59] O=$abc$32112$n818 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n596 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n732 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n10 I1=$abc$32112$n563 I2=$false I3=$false O=$abc$32112$n823 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n596 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n749 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n596 I3=I2C.FLT_SCL.RESET O=$abc$32112$n765 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n566 I2=$abc$32112$n564 I3=KEYBOARD.row_time[2] O=$abc$32112$n826 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n569 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n770 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n569 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n785 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n569 I3=I2C.FLT_SCL.RESET O=$abc$32112$n790 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n795 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n585 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n806 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n585 I3=I2C.FLT_SCL.RESET O=$abc$32112$n811 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n816 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n611 I1=$abc$32112$n10 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n827 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n598 I3=I2C.FLT_SCL.RESET O=$abc$32112$n834 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_time[0] I2=$abc$32112$n839 I3=$false O=$abc$32112$n838 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$32112$n1518 I1=$abc$32112$n842 I2=$abc$32112$n1517 I3=$abc$32112$n1327 O=$abc$32112$n848 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[2] I1=I2C.FLT_SDA.counter[0] I2=I2C.FLT_SDA.counter[1] I3=$abc$32112$n1330 O=$abc$32112$n1518 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$false I3=$false O=$abc$32112$n842 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$32112$n1517 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$32112$n842 O=$abc$32112$n849 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$32112$n1519 I1=$abc$32112$n848_1 I2=$false I3=$false O=$abc$32112$n858 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n847 I1=$abc$32112$n1331 I2=$false I3=$false O=$abc$32112$n1519 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$32112$n847 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$32112$n1520 I1=$abc$32112$n1334 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$32112$n848_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$32112$n1520 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$32112$n847 I3=$false O=$abc$32112$n859 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$32112$n992 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111111 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n1383 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n1384 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n1386 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$32112$n1387 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$32112$n1389 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$32112$n1390 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$32112$n1392 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$32112$n1393 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n941_1 I1=$abc$32112$n934 I2=$abc$32112$n932 I3=$abc$32112$n861_1 O=$abc$32112$n1496 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=$abc$32112$n900 I1=$abc$32112$n931_1 I2=$abc$32112$n864_1 I3=$abc$32112$n19 O=$abc$32112$n861_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=$abc$32112$n899 I1=$abc$32112$n891 I2=$abc$32112$n897 I3=$abc$32112$n865 O=$abc$32112$n864_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n866 I1=$abc$32112$n886_1 I2=$false I3=$false O=$abc$32112$n865 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n880 I1=$abc$32112$n885_1 I2=$abc$32112$n867_1 I3=$abc$32112$n875 O=$abc$32112$n866 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n872 I2=$false I3=$false O=$abc$32112$n867_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n868_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n870 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$32112$n869 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[6] I1=I2C.byte_counter[7] I2=I2C.byte_counter[5] I3=$false O=$abc$32112$n870 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$32112$n871 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n874 I2=$false I3=$false O=$abc$32112$n872 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$32112$n873 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n874 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n869 I2=$abc$32112$n878_1 I3=$abc$32112$n879 O=$abc$32112$n875 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n877 I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n876 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n877 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[6] I2=I2C.byte_counter[7] I3=I2C.byte_counter[4] O=$abc$32112$n878_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[2] O=$abc$32112$n879 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n883 I2=$false I3=$false O=$abc$32112$n880 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[0] I2=$abc$32112$n882 I3=$false O=$abc$32112$n881 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n882 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n884 I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n883 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n884 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$abc$32112$n870 I2=$false I3=$false O=$abc$32112$n885_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n888 I1=$abc$32112$n869 I2=$abc$32112$n889 I3=$abc$32112$n887 O=$abc$32112$n886_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n888 I2=$abc$32112$n871 I3=$false O=$abc$32112$n887 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n873 I3=$false O=$abc$32112$n888 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n878_1 I1=$abc$32112$n890 I2=$abc$32112$n884 I3=$false O=$abc$32112$n889 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n890 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n892_1 I1=$abc$32112$n894 I2=$false I3=$false O=$abc$32112$n891 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n893 I2=$abc$32112$n876 I3=$abc$32112$n885_1 O=$abc$32112$n892_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n890 I3=$false O=$abc$32112$n893 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n895 I2=$abc$32112$n885_1 I3=$abc$32112$n896 O=$abc$32112$n894 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n877 I2=$false I3=$false O=$abc$32112$n895 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[2] O=$abc$32112$n896 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n893 I1=$abc$32112$n876 I2=$abc$32112$n878_1 I3=$abc$32112$n898_1 O=$abc$32112$n897 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000011111 +.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n877 I2=$abc$32112$n890 I3=$false O=$abc$32112$n898_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n890 I1=$abc$32112$n874 I2=$abc$32112$n883 I3=$abc$32112$n869 O=$abc$32112$n899 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=$abc$32112$n911 I1=$abc$32112$n905 I2=$abc$32112$n901 I3=$false O=$abc$32112$n900 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n903 I1=$abc$32112$n885_1 I2=$abc$32112$n902 I3=$false O=$abc$32112$n901 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$32112$n896 I1=$abc$32112$n903 I2=$abc$32112$n878_1 I3=$abc$32112$n904_1 O=$abc$32112$n902 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$32112$n877 I1=$abc$32112$n890 I2=$abc$32112$n888 I3=$false O=$abc$32112$n903 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$32112$n895 I1=$abc$32112$n885_1 I2=$abc$32112$n869 I3=$abc$32112$n879 O=$abc$32112$n904_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$32112$n909 I1=$abc$32112$n910 I2=$abc$32112$n885_1 I3=$abc$32112$n906 O=$abc$32112$n905 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n907 I2=$abc$32112$n908_1 I3=$abc$32112$n878_1 O=$abc$32112$n906 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n884 I2=$false I3=$false O=$abc$32112$n907 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[0] O=$abc$32112$n908_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n874 I3=$false O=$abc$32112$n909 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$32112$n910 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110011111111111 +.gate SB_LUT4 I0=$abc$32112$n885_1 I1=$abc$32112$n890 I2=I2C.byte_counter[0] I3=$false O=$abc$32112$n911 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n913 I1=$abc$32112$n918 I2=$false I3=$false O=$abc$32112$n912 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n896 I2=$abc$32112$n917 I3=$abc$32112$n914_1 O=$abc$32112$n913 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$32112$n879 I1=$abc$32112$n893 I2=$abc$32112$n885_1 I3=$abc$32112$n915 O=$abc$32112$n914_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n869 I2=$abc$32112$n916 I3=$false O=$abc$32112$n915 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$32112$n882 I2=$abc$32112$n878_1 I3=$false O=$abc$32112$n916 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n877 I2=$abc$32112$n890 I3=$false O=$abc$32112$n917 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n909 I2=$abc$32112$n919 I3=$false O=$abc$32112$n918 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n874 I2=$abc$32112$n871 I3=I2C.byte_counter[2] O=$abc$32112$n919 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n923 I1=$abc$32112$n924 I2=$false I3=$false O=$abc$32112$n922 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n923 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n874 I2=$abc$32112$n890 I3=$false O=$abc$32112$n924 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n878_1 I1=$abc$32112$n910 I2=$abc$32112$n926 I3=$abc$32112$n927_1 O=$abc$32112$n925_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n896 I2=$false I3=$false O=$abc$32112$n926 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n884 I3=$abc$32112$n870 O=$abc$32112$n927_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n883 I1=$abc$32112$n878_1 I2=$abc$32112$n872 I3=$abc$32112$n885_1 O=$abc$32112$n928_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$32112$n926 I1=$abc$32112$n922 I2=$abc$32112$n913 I3=$false O=$abc$32112$n931_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n933 I1=$abc$32112$n19 I2=$false I3=$false O=$abc$32112$n932 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n934 I1=$abc$32112$n936 I2=$abc$32112$n938 I3=$abc$32112$n940 O=$abc$32112$n933 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n878_1 I2=$abc$32112$n935 I3=$false O=$abc$32112$n934 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$32112$n895 I1=$abc$32112$n871 I2=$abc$32112$n879 I3=$abc$32112$n878_1 O=$abc$32112$n935 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$32112$n883 I1=$abc$32112$n878_1 I2=$abc$32112$n937_1 I3=$abc$32112$n917 O=$abc$32112$n936 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n896 I2=$abc$32112$n878_1 I3=$false O=$abc$32112$n937_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$32112$n939 I1=$abc$32112$n923 I2=$abc$32112$n887 I3=$false O=$abc$32112$n938 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n893 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n939 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n877 I1=$abc$32112$n878_1 I2=$abc$32112$n873 I3=$abc$32112$n919 O=$abc$32112$n940 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=$abc$32112$n923 I1=$abc$32112$n942 I2=$abc$32112$n940 I3=$false O=$abc$32112$n941_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n878_1 I2=$false I3=$false O=$abc$32112$n942 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n867_1 I1=$abc$32112$n945 I2=$abc$32112$n19 I3=$abc$32112$n944 O=$abc$32112$n1499 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$abc$32112$n937_1 I1=$abc$32112$n938 I2=$abc$32112$n935 I3=$abc$32112$n932 O=$abc$32112$n944 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$32112$n911 I1=$abc$32112$n924 I2=$abc$32112$n928_1 I3=$abc$32112$n918 O=$abc$32112$n945 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n865 I1=$abc$32112$n912 I2=$abc$32112$n902 I3=$abc$32112$n948 O=$abc$32112$n947 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$32112$n899 I1=$abc$32112$n924 I2=$false I3=$false O=$abc$32112$n948 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n878_1 I2=$abc$32112$n936 I3=$abc$32112$n950 O=$abc$32112$n949 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$32112$n951 I1=$abc$32112$n923 I2=$false I3=$false O=$abc$32112$n950 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n951 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n925_1 I1=$abc$32112$n891 I2=$abc$32112$n19 I3=$abc$32112$n953_1 O=$abc$32112$n1505 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011111111111 +.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n939 I2=$abc$32112$n950 I3=$abc$32112$n932 O=$abc$32112$n953_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000011111111 +.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n950 I2=$abc$32112$n932 I3=$abc$32112$n955_1 O=$abc$32112$n1508 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111110110000 +.gate SB_LUT4 I0=$abc$32112$n913 I1=$abc$32112$n894 I2=$abc$32112$n901 I3=$abc$32112$n19 O=$abc$32112$n955_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=$abc$32112$n901 I1=$abc$32112$n897 I2=$abc$32112$n922 I3=$abc$32112$n1312 O=$abc$32112$n960 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[2] I1=I2C.FLT_SDA.counter[0] I2=I2C.FLT_SDA.counter[1] I3=$abc$32112$n1327 O=$abc$32112$n1516 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=$abc$32112$n847 I1=$abc$32112$n1334 I2=$false I3=$false O=$abc$32112$n1521 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$32112$n914_1 I1=$abc$32112$n948 I2=$abc$32112$n1312 I3=$false O=$abc$32112$n1665 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01111111 +.gate SB_LUT4 I0=$abc$32112$n978 I1=$abc$32112$n1271_1 I2=$abc$32112$n966 I3=$abc$32112$n542 O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$32112$n967 I2=$abc$32112$n971_1 I3=$abc$32112$n969_1 O=$abc$32112$n966 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$32112$n1270 I1=$abc$32112$n970 I2=$abc$32112$n969_1 I3=$false O=$abc$32112$n967 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n666 I3=I2C_INPUT_LEN[1] O=$abc$32112$n969_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[3][0] I2=$abc$32112$n659 I3=I2C_INPUT_DATA[2][4] O=$abc$32112$n970 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n972_1 I1=I2C_OUTPUT_TYPE[1] I2=$abc$32112$n676 I3=$abc$32112$n974_1 O=$abc$32112$n971_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110000011101110 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$abc$32112$n973_1 I3=$false O=$abc$32112$n972_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n649 I1=$abc$32112$n652 I2=I2C_INPUT_DATA[0][1] I3=$false O=$abc$32112$n973_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$abc$32112$n648 I3=I2C_INPUT_DATA[0][0] O=$abc$32112$n974_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n972_1 I1=I2C_INPUT_DATA[0][0] I2=$abc$32112$n974_1 I3=$abc$32112$n969_1 O=$abc$32112$n977_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$32112$n967 I1=$abc$32112$n979 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$32112$n978 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$32112$n972_1 I1=$abc$32112$n974_1 I2=$abc$32112$n969_1 I3=$false O=$abc$32112$n979 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1271_1 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$32112$n966 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n978 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n632 I1=$abc$32112$n628 I2=$false I3=$false O=$2\UART_WR[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n985 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$abc$32112$n1695 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=I2C_TX_REPORT[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$32112$n985 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n987 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$abc$32112$n1696 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=I2C_TX_REPORT[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$32112$n987 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n989 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$abc$32112$n1697 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=I2C_TX_REPORT[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$32112$n989 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n991_1 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$abc$32112$n1699 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=I2C_TX_REPORT[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$32112$n991_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n993 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$abc$32112$n1700 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=I2C_TX_REPORT[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$32112$n993 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n995 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$abc$32112$n1702 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=I2C_TX_REPORT[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$32112$n995 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n997 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$abc$32112$n1704 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=I2C_TX_REPORT[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$32112$n997 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n999 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$abc$32112$n1705 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=I2C_TX_REPORT[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$32112$n999 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1706 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n630_1 I3=$false O=$2\I2C_INPUT_LEN[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1710 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1712 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1714 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1716 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1718 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1720 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[8][0] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1723 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][1] I1=I2C_INPUT_DATA[8][1] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1726 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][2] I1=I2C_INPUT_DATA[8][2] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1729 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1730 I2=$false I3=$false O=$0\rststate[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n675 I1=$abc$32112$n647 I2=$abc$32112$n665 I3=$abc$32112$n1013 O=$abc$32112$n1012 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$32112$n670 I1=$abc$32112$n1014_1 I2=$abc$32112$n660 I3=$false O=$abc$32112$n1013 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][1] I1=$abc$32112$n1015_1 I2=$abc$32112$n663 I3=$false O=$abc$32112$n1014_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_DATA[3][0] I3=$false O=$abc$32112$n1015_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1732 I2=$false I3=$false O=$0\rststate[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1734 I2=$false I3=$false O=$0\rststate[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1736 I2=$false I3=$false O=$0\rststate[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1023 I2=$false I3=$false O=$abc$32112$n1807 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n951 I1=$abc$32112$n1272_1 I2=$false I3=$false O=$abc$32112$n1020 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n907 I3=$false O=$abc$32112$n1022_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1028 I1=$abc$32112$n1027 I2=$abc$32112$n1024 I3=$abc$32112$n1628 O=$abc$32112$n1023 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111111101110 +.gate SB_LUT4 I0=$abc$32112$n1025 I1=$abc$32112$n1026 I2=$false I3=$false O=$abc$32112$n1024 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[8] I1=KEYBOARD.report[40] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1025 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[56] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1026 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[0] I1=KEYBOARD.report[32] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1027 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[48] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1028 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1030 I1=$abc$32112$n1272_1 I2=$abc$32112$n951 I3=$abc$32112$n2168 O=$abc$32112$n1808 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010001000100 +.gate SB_LUT4 I0=$abc$32112$n1031 I1=$abc$32112$n1034 I2=$abc$32112$n1036 I3=$false O=$abc$32112$n1030 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$32112$n1032 I1=$abc$32112$n1033 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1031 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000010100000011 +.gate SB_LUT4 I0=KEYBOARD.report[9] I1=KEYBOARD.report[1] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1032 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[41] I1=KEYBOARD.report[33] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1033 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[25] I1=KEYBOARD.report[17] I2=$abc$32112$n1628 I3=$abc$32112$n1035 O=$abc$32112$n1034 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n1035 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[57] I1=KEYBOARD.report[49] I2=$abc$32112$n1628 I3=$abc$32112$n882 O=$abc$32112$n1036 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1038 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1809 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1042 I1=$abc$32112$n1043 I2=$abc$32112$n1039 I3=$abc$32112$n1628 O=$abc$32112$n1038 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$32112$n1040 I1=$abc$32112$n1041 I2=$false I3=$false O=$abc$32112$n1039 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[10] I1=KEYBOARD.report[42] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1040 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[26] I1=KEYBOARD.report[58] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1041 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[2] I1=KEYBOARD.report[34] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1042 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[18] I1=KEYBOARD.report[50] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1043 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$32112$n1045 I1=$abc$32112$n1272_1 I2=$abc$32112$n951 I3=$abc$32112$n2168 O=$abc$32112$n1810 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010001000100 +.gate SB_LUT4 I0=$abc$32112$n1046 I1=$abc$32112$n1049 I2=$abc$32112$n1050 I3=$false O=$abc$32112$n1045 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$32112$n1047 I1=$abc$32112$n1048 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1046 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000010100000011 +.gate SB_LUT4 I0=KEYBOARD.report[11] I1=KEYBOARD.report[3] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1047 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[43] I1=KEYBOARD.report[35] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1048 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[27] I1=KEYBOARD.report[19] I2=$abc$32112$n1628 I3=$abc$32112$n1035 O=$abc$32112$n1049 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=KEYBOARD.report[59] I1=KEYBOARD.report[51] I2=$abc$32112$n1628 I3=$abc$32112$n882 O=$abc$32112$n1050 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1052 I2=$false I3=$false O=$abc$32112$n1811 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1057 I1=$abc$32112$n1056 I2=$abc$32112$n1053_1 I3=$abc$32112$n1628 O=$abc$32112$n1052 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111111101110 +.gate SB_LUT4 I0=$abc$32112$n1054_1 I1=$abc$32112$n1055 I2=$false I3=$false O=$abc$32112$n1053_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[12] I1=KEYBOARD.report[44] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1054_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[60] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1055 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[4] I1=KEYBOARD.report[36] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1056 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[52] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1057 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1059 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1812 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1063 I1=$abc$32112$n1064 I2=$abc$32112$n1060 I3=$abc$32112$n1628 O=$abc$32112$n1059 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$32112$n1061 I1=$abc$32112$n1062 I2=$false I3=$false O=$abc$32112$n1060 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[13] I1=KEYBOARD.report[45] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1061 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[29] I1=KEYBOARD.report[61] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1062 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[5] I1=KEYBOARD.report[37] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1063 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[21] I1=KEYBOARD.report[53] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1064 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1066 I2=$false I3=$false O=$abc$32112$n1813 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1071 I1=$abc$32112$n1070 I2=$abc$32112$n1067 I3=$abc$32112$n1628 O=$abc$32112$n1066 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111111101110 +.gate SB_LUT4 I0=$abc$32112$n1068 I1=$abc$32112$n1069 I2=$false I3=$false O=$abc$32112$n1067 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[14] I1=KEYBOARD.report[46] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1068 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[30] I1=KEYBOARD.report[62] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1069 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[6] I1=KEYBOARD.report[38] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1070 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[22] I1=KEYBOARD.report[54] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1071 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1073 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1814 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1077 I1=$abc$32112$n1078 I2=$abc$32112$n1074 I3=$abc$32112$n1628 O=$abc$32112$n1073 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$32112$n1075 I1=$abc$32112$n1076 I2=$false I3=$false O=$abc$32112$n1074 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[15] I1=KEYBOARD.report[47] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1075 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[31] I1=KEYBOARD.report[63] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1076 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[7] I1=KEYBOARD.report[39] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1077 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[23] I1=KEYBOARD.report[55] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1078 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$32112$n1819 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$32112$n1821 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$32112$n1823 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$32112$n1817 I2=$false I3=$false O=$abc$32112$n2139 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1317_1 I1=$abc$32112$n1105 I2=$abc$32112$n1097 I3=$false O=$abc$32112$n1833 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$32112$n985 I1=$abc$32112$n993 I2=$abc$32112$n1053 I3=$abc$32112$n692 O=$abc$32112$n1086 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$32112$n989 I1=$abc$32112$n997 I2=$abc$32112$n1053 I3=$abc$32112$n696 O=$abc$32112$n1087 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$32112$n987 I1=$abc$32112$n991_1 I2=$abc$32112$n693_1 I3=$abc$32112$n1051 O=$abc$32112$n1091 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$32112$n8 I2=$false I3=$false O=$abc$32112$n1093_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1093_1 I2=$false I3=$false O=$abc$32112$n1094 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.is_ack I2=$false I3=$false O=$abc$32112$n1095 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.SDA_DIR O=$abc$32112$n1096 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=$abc$32112$n1096 I1=I2C.i2c_state_machine I2=$abc$32112$n1098 I3=$false O=$abc$32112$n1097 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$32112$n1104 I1=$abc$32112$n1096 I2=$abc$32112$n1099_1 I3=$abc$32112$n688 O=$abc$32112$n1098 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$32112$n1273 I1=$abc$32112$n1103 I2=$false I3=$false O=$abc$32112$n1099_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1102 I1=I2C.received_byte[3] I2=I2C.received_byte[5] I3=I2C.received_byte[6] O=$abc$32112$n1101 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[4] I3=I2C.received_byte[7] O=$abc$32112$n1102 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1104 I2=$false I3=$false O=$abc$32112$n1103 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1093_1 I1=$abc$32112$n506 I2=$false I3=$false O=$abc$32112$n1104 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n689 I2=$false I3=$false O=$abc$32112$n1105 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1103 I1=$abc$32112$n1273 I2=$abc$32112$n688 I3=$abc$32112$n1107 O=$abc$32112$n1835 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=$abc$32112$n1109 I1=$abc$32112$n506 I2=$abc$32112$n1108 I3=$abc$32112$n1105 O=$abc$32112$n1107 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=I2C.FLT_SCL.out I2=$abc$32112$n8 I3=$false O=$abc$32112$n1108 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$32112$n16 I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$32112$n1109 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$32112$n1274_1 I1=I2C.received_byte[0] I2=$abc$32112$n1103 I3=$abc$32112$n689 O=$abc$32112$n1837 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111110000000 +.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1819 I2=$abc$32112$n1116 I3=$abc$32112$n1051 O=$abc$32112$n1839 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$32112$n1115 I1=$abc$32112$n1114 I2=$false I3=$false O=$abc$32112$n1113 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1104 I2=$abc$32112$n688 I3=$abc$32112$n687 O=$abc$32112$n1114 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=$abc$32112$n1108 I1=$abc$32112$n1093_1 I2=$abc$32112$n506 I3=$abc$32112$n1105 O=$abc$32112$n1115 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1117 I1=$abc$32112$n506 I2=$false I3=$false O=$abc$32112$n1116 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n689 I2=I2C.FLT_SCL.out I3=$abc$32112$n8 O=$abc$32112$n1117 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111111111011 +.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1821 I2=$abc$32112$n693_1 I3=$abc$32112$n1116 O=$abc$32112$n1841 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1823 I2=$abc$32112$n1116 I3=$abc$32112$n1053 O=$abc$32112$n1843 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$32112$n1984 I1=$abc$32112$n1128 I2=$abc$32112$n1383 I3=$abc$32112$n1126 O=$abc$32112$n1847 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000011101110 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n1127 I2=$false I3=$false O=$abc$32112$n1126 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n1094 I1=$abc$32112$n1108 I2=$abc$32112$n689 I3=$abc$32112$n506 O=$abc$32112$n1127 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=$abc$32112$n1129 I1=$abc$32112$n1392 I2=$false I3=$false O=$abc$32112$n1128 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1384 I1=$abc$32112$n890 I2=$abc$32112$n1130 I3=I2C.byte_counter[0] O=$abc$32112$n1129 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[7] I3=$false O=$abc$32112$n1130 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n1384 I1=$abc$32112$n1275_1 I2=$abc$32112$n1126 I3=$false O=$abc$32112$n1849 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$32112$n1986 I1=$abc$32112$n1386 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1851 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n1135 I2=$false I3=$false O=$abc$32112$n1134 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1128 I1=$abc$32112$n1127 I2=$false I3=$false O=$abc$32112$n1135 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1137 I1=I2C.byte_counter[3] I2=$abc$32112$n691 I3=$false O=$abc$32112$n1853 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$32112$n1987 I1=$abc$32112$n1387 I2=$abc$32112$n1135 I3=$false O=$abc$32112$n1137 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1139 I1=I2C.byte_counter[4] I2=$abc$32112$n691 I3=$false O=$abc$32112$n1855 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$32112$n1988 I1=$abc$32112$n1128 I2=$abc$32112$n1389 I3=$abc$32112$n1127 O=$abc$32112$n1139 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$32112$n1989 I1=$abc$32112$n1390 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1857 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1127 I1=$abc$32112$n1392 I2=$abc$32112$n1142 I3=$false O=$abc$32112$n1859 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$32112$n1990 I1=$abc$32112$n1135 I2=I2C.byte_counter[6] I3=$abc$32112$n691 O=$abc$32112$n1142 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$32112$n1991 I1=$abc$32112$n1393 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1861 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1145 I1=$abc$32112$n1146 I2=I2C.is_ack I3=$abc$32112$n691 O=$abc$32112$n1863 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000010111011 +.gate SB_LUT4 I0=$abc$32112$n506 I1=$abc$32112$n1095 I2=$abc$32112$n1099_1 I3=$abc$32112$n689 O=$abc$32112$n1145 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011110100 +.gate SB_LUT4 I0=$abc$32112$n506 I1=$abc$32112$n689 I2=$abc$32112$n1095 I3=$abc$32112$n1093_1 O=$abc$32112$n1146 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111011100001111 +.gate SB_LUT4 I0=$abc$32112$n1108 I1=$abc$32112$n506 I2=$abc$32112$n689 I3=$abc$32112$n1150 O=$abc$32112$n1149 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n689 I2=$abc$32112$n1093_1 I3=$abc$32112$n506 O=$abc$32112$n1150 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110110011000000 +.gate SB_LUT4 I0=$abc$32112$n1109 I1=$abc$32112$n2170 I2=$abc$32112$n689 I3=$abc$32112$n1108 O=$abc$32112$n1151 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.wr O=$abc$32112$n1153 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=$abc$32112$n1404 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1993 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$32112$n1995 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$32112$n1407 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1997 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n1408 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1999 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n708 I1=$abc$32112$n710 I2=$abc$32112$n705 I3=$false O=$abc$32112$n2000 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[0] I2=$abc$32112$n621 I3=$false O=$abc$32112$n2065 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[1] I2=$abc$32112$n1161 I3=$false O=$abc$32112$n2068 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n61 I1=$abc$32112$n63 I2=$abc$32112$n60 I3=$false O=$abc$32112$n1161 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[2] I2=$abc$32112$n1163 I3=$false O=$abc$32112$n2071 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n63 I2=$abc$32112$n61 I3=$false O=$abc$32112$n1163 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[3] I2=$abc$32112$n1165 I3=$false O=$abc$32112$n2074 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n63 I1=$abc$32112$n61 I2=$abc$32112$n60 I3=$false O=$abc$32112$n1165 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[4] I2=$abc$32112$n1167 I3=$false O=$abc$32112$n2077 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n61 I2=$abc$32112$n63 I3=$false O=$abc$32112$n1167 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[5] I2=$abc$32112$n601_1 I3=$false O=$abc$32112$n2080 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[6] I2=$abc$32112$n593 I3=$false O=$abc$32112$n2083 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[7] I2=$abc$32112$n568 I3=$false O=$abc$32112$n2086 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n60 I2=$false I3=$false O=$abc$32112$n2087 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n61 I2=$false I3=$false O=$abc$32112$n2088 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n63 I2=$false I3=$false O=$abc$32112$n2089 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n64 I2=$false I3=$false O=$abc$32112$n2090 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n66 I2=$false I3=$false O=$abc$32112$n2091 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n67 I2=$false I3=$false O=$abc$32112$n2092 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n671 I2=$false I3=$false O=$abc$32112$n2093 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n70 I2=$false I3=$false O=$abc$32112$n2094 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n882 I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I3=$abc$32112$n1180 O=$abc$32112$n2227 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n939 I1=I2C.is_read I2=$abc$32112$n637 I3=$abc$32112$n2178 O=$abc$32112$n1180 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1182 I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] O=$abc$32112$n2231 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I1=$abc$32112$n1180 I2=$false I3=$false O=$abc$32112$n1182 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$abc$32112$n1182 O=$abc$32112$n2235 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n1180 I1=$abc$32112$n1185 I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I3=$false O=$abc$32112$n2237 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n1185 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n1185 I2=$abc$32112$n1180 I3=$false O=$abc$32112$n2241 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[1] I2=$abc$32112$n1182 I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] O=$abc$32112$n2244 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n882 I2=$abc$32112$n1182 I3=$false O=$abc$32112$n2246 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$32112$n1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$32112$n7 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n10 I1=$false I2=$false I3=$false O=COM_DSR +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n12 I1=$false I2=$false I3=$false O=COM_TX +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$32112$n21 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n23 I1=$false I2=$false I3=$false O=$abc$32112$n22 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$0\KBD_FREEZE[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$abc$32112$n994 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$abc$32112$n1009 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$abc$32112$n1011 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$abc$32112$n1014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$abc$32112$n1015 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$abc$32112$n1017 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$abc$32112$n1018 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2139 I1=$false I2=$false I3=$false O=$abc$32112$n1825 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$false I2=$false I3=$false O=$abc$32112$n2003 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1384 I1=$false I2=$false I3=$false O=$abc$32112$n2095 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1821 I1=$false I2=$false I3=$false O=$abc$32112$n2096 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$32112$n2100 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2106 I1=$false I2=$false I3=$false O=$abc$32112$n2105 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$32112$n2130 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$32112$n2131 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$32112$n2132 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$32112$n2133 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$32112$n2134 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$32112$n2135 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$32112$n2136 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1819 I1=$false I2=$false I3=$false O=$abc$32112$n2137 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1823 I1=$false I2=$false I3=$false O=$abc$32112$n2138 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2107 I1=$false I2=$false I3=$false O=$abc$32112$n2140 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2141 I1=$false I2=$false I3=$false O=$abc$32112$n2142 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2143 I1=$false I2=$false I3=$false O=$abc$32112$n2144 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1386 I1=$false I2=$false I3=$false O=$abc$32112$n2145 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1387 I1=$false I2=$false I3=$false O=$abc$32112$n2146 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1389 I1=$false I2=$false I3=$false O=$abc$32112$n2147 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1390 I1=$false I2=$false I3=$false O=$abc$32112$n2148 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1392 I1=$false I2=$false I3=$false O=$abc$32112$n2149 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1393 I1=$false I2=$false I3=$false O=$abc$32112$n2150 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n584 I1=$abc$32112$n609 I2=$abc$32112$n569 I3=$abc$32112$n617 O=$abc$32112$n69 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110000011111111 +.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$false I3=$false O=$abc$32112$n74 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n682 I1=$abc$32112$n1293 I2=$abc$32112$n627 I3=$false O=$abc$32112$n501 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$abc$32112$n10 I3=$false O=$abc$32112$n1252_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$32112$n1328 I1=$abc$32112$n739 I2=$abc$32112$n720_1 I3=$false O=$abc$32112$n614 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$abc$32112$n723 I3=$false O=$abc$32112$n1254 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$32112$n768 I1=$abc$32112$n770_1 I2=$abc$32112$n720_1 I3=$false O=$abc$32112$n1255 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n788 I1=$abc$32112$n770_1 I2=$false I3=$false O=$abc$32112$n1257_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n770_1 I1=$abc$32112$n788 I2=$abc$32112$n1278_1 I3=$false O=$abc$32112$n1259 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n596 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n743 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n569 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n779 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n585 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n800 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n598 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n822 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n949 I1=$abc$32112$n947 I2=$abc$32112$n19 I3=$false O=$abc$32112$n1502 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n960 I2=$abc$32112$n19 I3=$false O=$abc$32112$n1514 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$32112$n646 I1=$abc$32112$n674 I2=I2C_INPUT_DATA[3][1] I3=$false O=$abc$32112$n1270 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n967 I1=$abc$32112$n972_1 I2=I2C_OUTPUT_TYPE[0] I3=$abc$32112$n977_1 O=$abc$32112$n1271_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100111100001010 +.gate SB_LUT4 I0=$abc$32112$n1022_1 I1=$abc$32112$n2175 I2=$abc$32112$n2168 I3=$false O=$abc$32112$n1272_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1101 I1=$abc$32112$n879 I2=$abc$32112$n871 I3=$false O=$abc$32112$n1273 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n879 I2=$abc$32112$n871 I3=$false O=$abc$32112$n1274_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n1128 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$false O=$abc$32112$n1275_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000001 +.gate SB_LUT4 I0=$abc$32112$n1277_1 I1=$abc$32112$n1153 I2=$abc$32112$n691 I3=$false O=$abc$32112$n1865 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$32112$n1149 I1=$abc$32112$n1153 I2=$abc$32112$n1151 I3=$abc$32112$n506 O=$abc$32112$n1277_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$32112$n739 I1=$abc$32112$n771 I2=$abc$32112$n768 I3=$false O=$abc$32112$n1278_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=KEYBOARD.last_data[9] I1=KEYBOARD.last_data[1] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1279 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.last_data[13] I1=KEYBOARD.last_data[5] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1280_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1280_1 I1=$abc$32112$n1279 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1281_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.last_data[11] I1=KEYBOARD.last_data[3] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1282 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.last_data[15] I1=KEYBOARD.last_data[7] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1283 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1283 I1=$abc$32112$n1282 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1284 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.last_data[10] I1=KEYBOARD.last_data[2] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1285_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.last_data[14] I1=KEYBOARD.last_data[6] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1286_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1286_1 I1=$abc$32112$n1285_1 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1287 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.last_data[8] I1=KEYBOARD.last_data[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1288_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.last_data[12] I1=KEYBOARD.last_data[4] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1289_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1289_1 I1=$abc$32112$n1288_1 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1290 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$32112$n645 I1=$abc$32112$n669 I2=I2C_INPUT_LEN[2] I3=I2C_INPUT_LEN[3] O=$abc$32112$n1291_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101011000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n1291_1 I3=$abc$32112$n667 O=$abc$32112$n1292_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111111111111 +.gate SB_LUT4 I0=$abc$32112$n675 I1=$abc$32112$n1292_1 I2=$abc$32112$n631_1 I3=$false O=$abc$32112$n1293 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=KEYBOARD.report[19] I1=KEYBOARD.report[22] I2=$abc$32112$n69 I3=$abc$32112$n738 O=$abc$32112$n1294_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000110000010 +.gate SB_LUT4 I0=KEYBOARD.report[27] I1=$abc$32112$n64 I2=KEYBOARD.report[26] I3=$abc$32112$n63 O=$abc$32112$n1297 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000000001011 +.gate SB_LUT4 I0=$abc$32112$n69 I1=KEYBOARD.report[30] I2=KEYBOARD.report[28] I3=$abc$32112$n66 O=$abc$32112$n1298 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000000001011 +.gate SB_LUT4 I0=KEYBOARD.report[25] I1=$abc$32112$n61 I2=$abc$32112$n1298 I3=$abc$32112$n1297 O=$abc$32112$n1299_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n746 I1=$abc$32112$n1299_1 I2=$abc$32112$n754 I3=$abc$32112$n720_1 O=$abc$32112$n631 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=KEYBOARD.report[34] I1=$abc$32112$n63 I2=KEYBOARD.report[35] I3=$abc$32112$n64 O=$abc$32112$n1301 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[45] I1=KEYBOARD.report[47] I2=$abc$32112$n70 I3=$abc$32112$n778 O=$abc$32112$n1305_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000110000010 +.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n720_1 I2=$abc$32112$n1335 I3=$abc$32112$n1278_1 O=$abc$32112$n678 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.report[57] I1=$abc$32112$n61 I2=KEYBOARD.report[59] I3=$abc$32112$n64 O=$abc$32112$n1308_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[60] I1=$abc$32112$n66 I2=KEYBOARD.report[61] I3=$abc$32112$n778 O=$abc$32112$n1309_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000100110010000 +.gate SB_LUT4 I0=$abc$32112$n1309_1 I1=$abc$32112$n808 I2=$abc$32112$n1308_1 I3=$abc$32112$n1254 O=$abc$32112$n1310 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n884 I2=$abc$32112$n879 I3=I2C.byte_counter[6] O=$abc$32112$n1311 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[7] I3=$abc$32112$n1311 O=$abc$32112$n1312 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$32112$n999 I1=$abc$32112$n995 I2=$abc$32112$n693_1 I3=$abc$32112$n1051 O=$abc$32112$n1313_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$32112$n1091 I1=$abc$32112$n1313_1 I2=$abc$32112$n1087 I3=$abc$32112$n1053 O=$abc$32112$n1314_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000001100001010 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1096 I2=$false I3=$false O=$abc$32112$n1315 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$32112$n1086 I1=$abc$32112$n1314_1 I2=$abc$32112$n1315 I3=$abc$32112$n506 O=$abc$32112$n1316_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000010111011 +.gate SB_LUT4 I0=$abc$32112$n1096 I1=$abc$32112$n1316_1 I2=$abc$32112$n1093_1 I3=$false O=$abc$32112$n1317_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$32112$n2139 I1=$abc$32112$n1054 I2=$abc$32112$n1117 I3=$false O=$abc$32112$n1318 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$32112$n1093_1 I1=I2C.is_ack I2=$abc$32112$n1108 I3=$abc$32112$n689 O=$abc$32112$n1319_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$32112$n1117 I1=$abc$32112$n1319_1 I2=$abc$32112$n691 I3=$abc$32112$n2139 O=$abc$32112$n1320_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110010101111 +.gate SB_LUT4 I0=$abc$32112$n1318 I1=$abc$32112$n1320_1 I2=$abc$32112$n506 I3=$false O=$abc$32112$n1845 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$32112$n907 I1=$abc$32112$n873 I2=$abc$32112$n899 I3=$abc$32112$n924 O=$abc$32112$n1322_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$32112$n1322_1 I1=$abc$32112$n875 I2=$abc$32112$n892_1 I3=$abc$32112$n914_1 O=$abc$32112$n1323_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111111111111 +.gate SB_LUT4 I0=$abc$32112$n19 I1=$abc$32112$n1323_1 I2=$abc$32112$n923 I3=$false O=$abc$32112$n1511 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=KEYBOARD.report[17] I1=$abc$32112$n61 I2=KEYBOARD.report[18] I3=$abc$32112$n63 O=$abc$32112$n1325 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[21] I1=$abc$32112$n67 I2=KEYBOARD.report[23] I3=$abc$32112$n70 O=$abc$32112$n1326 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n732_1 I1=$abc$32112$n1326 I2=$abc$32112$n1325 I3=$abc$32112$n1294_1 O=$abc$32112$n1327_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n1327_1 I1=KEYBOARD.report[16] I2=$abc$32112$n60 I3=$abc$32112$n1254 O=$abc$32112$n1328 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=KEYBOARD.report[32] I1=$abc$32112$n60 I2=KEYBOARD.report[33] I3=$abc$32112$n61 O=$abc$32112$n1329 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[36] I1=$abc$32112$n66 I2=KEYBOARD.report[38] I3=$abc$32112$n69 O=$abc$32112$n1330_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n1329 I1=$abc$32112$n1330_1 I2=$abc$32112$n762 I3=$abc$32112$n1301 O=$abc$32112$n1331_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111111111111 +.gate SB_LUT4 I0=$abc$32112$n1254 I1=$abc$32112$n1331_1 I2=$abc$32112$n1255 I3=$false O=$abc$32112$n651 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=KEYBOARD.report[43] I1=$abc$32112$n738 I2=KEYBOARD.report[42] I3=$abc$32112$n63 O=$abc$32112$n1333 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110000000001110 +.gate SB_LUT4 I0=$abc$32112$n1333 I1=$abc$32112$n777 I2=$abc$32112$n786 I3=$abc$32112$n1305_1 O=$abc$32112$n1334_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$32112$n1254 I1=$abc$32112$n60 I2=KEYBOARD.report[40] I3=$abc$32112$n1334_1 O=$abc$32112$n1335 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101011101010101 +.gate SB_CARRY CI=$abc$32112$n2140 CO=$auto$alumacc.cc:470:replace_alu$4536.C[2] I0=$false I1=$abc$32112$n2106 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4536.C[2] CO=$auto$alumacc.cc:470:replace_alu$4536.C[3] I0=$false I1=$abc$32112$n2142 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4536.C[3] CO=$abc$32112$n2002 I0=$false I1=$abc$32112$n2144 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n64 CO=$auto$alumacc.cc:470:replace_alu$4541.C[5] I0=$abc$32112$n66 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[5] CO=$auto$alumacc.cc:470:replace_alu$4541.C[6] I0=$abc$32112$n67 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[6] CO=$auto$alumacc.cc:470:replace_alu$4541.C[7] I0=$abc$32112$n69 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[7] CO=$abc$32112$n2171 I0=$abc$32112$n70 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n60 CO=$auto$alumacc.cc:470:replace_alu$4546.C[2] I0=$abc$32112$n61 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[2] CO=$auto$alumacc.cc:470:replace_alu$4546.C[3] I0=$abc$32112$n63 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[3] CO=$auto$alumacc.cc:470:replace_alu$4546.C[4] I0=$abc$32112$n64 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[4] CO=$auto$alumacc.cc:470:replace_alu$4546.C[5] I0=$abc$32112$n66 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[5] CO=$auto$alumacc.cc:470:replace_alu$4546.C[6] I0=$abc$32112$n67 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[6] CO=$auto$alumacc.cc:470:replace_alu$4546.C[7] I0=$abc$32112$n69 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[7] CO=$abc$32112$n2172 I0=$abc$32112$n70 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n2137 CO=$auto$alumacc.cc:470:replace_alu$4557.C[2] I0=$false I1=$abc$32112$n2096 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4557.C[2] CO=$auto$alumacc.cc:470:replace_alu$4557.C[3] I0=$false I1=$abc$32112$n2138 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4557.C[3] CO=$abc$32112$n506 I0=$false I1=$abc$32112$n2139 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n1011 CO=$auto$alumacc.cc:470:replace_alu$4562.C[2] I0=$true I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[2] CO=$auto$alumacc.cc:470:replace_alu$4562.C[3] I0=$false I1=$abc$32112$n994 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[3] CO=$auto$alumacc.cc:470:replace_alu$4562.C[4] I0=$false I1=$abc$32112$n1009 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[4] CO=$auto$alumacc.cc:470:replace_alu$4562.C[5] I0=$false I1=$abc$32112$n1018 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[5] CO=$auto$alumacc.cc:470:replace_alu$4562.C[6] I0=$false I1=$abc$32112$n1017 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[6] CO=$auto$alumacc.cc:470:replace_alu$4562.C[7] I0=$false I1=$abc$32112$n1015 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[7] CO=$abc$32112$n2175 I0=$false I1=$abc$32112$n1014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n1011 CO=$auto$alumacc.cc:470:replace_alu$4573.C[2] I0=$false I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[2] CO=$auto$alumacc.cc:470:replace_alu$4573.C[3] I0=$true I1=$abc$32112$n994 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[3] CO=$auto$alumacc.cc:470:replace_alu$4573.C[4] I0=$true I1=$abc$32112$n1009 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[4] CO=$auto$alumacc.cc:470:replace_alu$4573.C[5] I0=$false I1=$abc$32112$n1018 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[5] CO=$auto$alumacc.cc:470:replace_alu$4573.C[6] I0=$false I1=$abc$32112$n1017 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[6] CO=$auto$alumacc.cc:470:replace_alu$4573.C[7] I0=$false I1=$abc$32112$n1015 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[7] CO=$abc$32112$n2178 I0=$false I1=$abc$32112$n1014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n994 CO=$auto$alumacc.cc:470:replace_alu$4584.C[4] I0=$true I1=$abc$32112$n1009 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[4] CO=$auto$alumacc.cc:470:replace_alu$4584.C[5] I0=$false I1=$abc$32112$n1018 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[5] CO=$auto$alumacc.cc:470:replace_alu$4584.C[6] I0=$false I1=$abc$32112$n1017 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[6] CO=$auto$alumacc.cc:470:replace_alu$4584.C[7] I0=$false I1=$abc$32112$n1015 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[7] CO=$abc$32112$n2168 I0=$false I1=$abc$32112$n1014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n2130 CO=$auto$alumacc.cc:470:replace_alu$4591.C[3] I0=$false I1=$abc$32112$n2131 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[3] CO=$auto$alumacc.cc:470:replace_alu$4591.C[4] I0=$false I1=$abc$32112$n2132 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[4] CO=$auto$alumacc.cc:470:replace_alu$4591.C[5] I0=$false I1=$abc$32112$n2133 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[5] CO=$auto$alumacc.cc:470:replace_alu$4591.C[6] I0=$false I1=$abc$32112$n2134 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[6] CO=$auto$alumacc.cc:470:replace_alu$4591.C[7] I0=$false I1=$abc$32112$n2135 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[7] CO=$abc$32112$n2169 I0=$false I1=$abc$32112$n2136 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$0\KBD_FREEZE[0:0] I2=rststate[0] I3=$false O=$abc$32112$n1730 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$4596.C[1] I0=$0\KBD_FREEZE[0:0] I1=rststate[0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$4596.C[1] O=$abc$32112$n1732 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4596.C[1] CO=$auto$alumacc.cc:470:replace_alu$4596.C[2] I0=$false I1=rststate[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$4596.C[2] O=$abc$32112$n1734 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4596.C[2] CO=$auto$alumacc.cc:470:replace_alu$4596.C[3] I0=$false I1=rststate[2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$4596.C[3] O=$abc$32112$n1736 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$abc$32112$n1706 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4599.C[2] I0=I2C.byte_counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[2] O=$abc$32112$n1710 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[2] CO=$auto$alumacc.cc:470:replace_alu$4599.C[3] I0=I2C.byte_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[3] O=$abc$32112$n1712 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[3] CO=$auto$alumacc.cc:470:replace_alu$4599.C[4] I0=I2C.byte_counter[3] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[4] O=$abc$32112$n1714 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[4] CO=$auto$alumacc.cc:470:replace_alu$4599.C[5] I0=I2C.byte_counter[4] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[5] O=$abc$32112$n1716 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[5] CO=$auto$alumacc.cc:470:replace_alu$4599.C[6] I0=I2C.byte_counter[5] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[6] O=$abc$32112$n1718 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[6] CO=$auto$alumacc.cc:470:replace_alu$4599.C[7] I0=I2C.byte_counter[6] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[7] O=$abc$32112$n1720 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.byte_counter[1] CO=$auto$alumacc.cc:470:replace_alu$4602.C[3] I0=I2C.byte_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4602.C[3] O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$abc$32112$n1628 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$32112$n2095 CO=$auto$alumacc.cc:470:replace_alu$4608.C[3] I0=$false I1=$abc$32112$n2145 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[3] CO=$auto$alumacc.cc:470:replace_alu$4608.C[4] I0=$false I1=$abc$32112$n2146 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[4] CO=$auto$alumacc.cc:470:replace_alu$4608.C[5] I0=$false I1=$abc$32112$n2147 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[5] CO=$auto$alumacc.cc:470:replace_alu$4608.C[6] I0=$false I1=$abc$32112$n2148 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[6] CO=$auto$alumacc.cc:470:replace_alu$4608.C[7] I0=$false I1=$abc$32112$n2149 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[7] CO=$abc$32112$n2170 I0=$false I1=$abc$32112$n2150 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=$abc$32112$n1383 I3=$false O=$abc$32112$n1984 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$32112$n1383 CO=$auto$alumacc.cc:470:replace_alu$4613.C[2] I0=$false I1=$abc$32112$n1384 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1386 I3=$auto$alumacc.cc:470:replace_alu$4613.C[2] O=$abc$32112$n1986 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[2] CO=$auto$alumacc.cc:470:replace_alu$4613.C[3] I0=$false I1=$abc$32112$n1386 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1387 I3=$auto$alumacc.cc:470:replace_alu$4613.C[3] O=$abc$32112$n1987 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[3] CO=$auto$alumacc.cc:470:replace_alu$4613.C[4] I0=$false I1=$abc$32112$n1387 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1389 I3=$auto$alumacc.cc:470:replace_alu$4613.C[4] O=$abc$32112$n1988 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[4] CO=$auto$alumacc.cc:470:replace_alu$4613.C[5] I0=$false I1=$abc$32112$n1389 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1390 I3=$auto$alumacc.cc:470:replace_alu$4613.C[5] O=$abc$32112$n1989 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[5] CO=$auto$alumacc.cc:470:replace_alu$4613.C[6] I0=$false I1=$abc$32112$n1390 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1392 I3=$auto$alumacc.cc:470:replace_alu$4613.C[6] O=$abc$32112$n1990 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[6] CO=$auto$alumacc.cc:470:replace_alu$4613.C[7] I0=$false I1=$abc$32112$n1392 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1393 I3=$auto$alumacc.cc:470:replace_alu$4613.C[7] O=$abc$32112$n1991 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$abc$32112$n1819 I2=$false I3=$true O=$abc$32112$n1051 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$32112$n1819 CO=$auto$alumacc.cc:470:replace_alu$4616.C[2] I0=$abc$32112$n1821 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$32112$n1823 I2=$true I3=$auto$alumacc.cc:470:replace_alu$4616.C[2] O=$abc$32112$n1053 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4616.C[2] CO=$auto$alumacc.cc:470:replace_alu$4616.C[3] I0=$abc$32112$n1823 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$32112$n1825 I2=$true I3=$auto$alumacc.cc:470:replace_alu$4616.C[3] O=$abc$32112$n1054 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4616.C[3] CO=$abc$32112$n2097 I0=$abc$32112$n1825 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$32112$n2097 O=$abc$32112$n1065 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$32112$n1331 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$4619.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4619.C[2] O=$abc$32112$n1334 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$32112$n1327 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$4622.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4622.C[2] O=$abc$32112$n1330 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$auto$wreduce.cc:347:run$4460[0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$4628.C[10] O=$auto$wreduce.cc:347:run$4460[10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[10] CO=$auto$alumacc.cc:470:replace_alu$4628.C[11] I0=$false I1=KEYBOARD.row_time[10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$4628.C[11] O=$auto$wreduce.cc:347:run$4460[11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[11] CO=$auto$alumacc.cc:470:replace_alu$4628.C[12] I0=$false I1=KEYBOARD.row_time[11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$4628.C[12] O=$auto$wreduce.cc:347:run$4460[12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[12] CO=$auto$alumacc.cc:470:replace_alu$4628.C[13] I0=$false I1=KEYBOARD.row_time[12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$4628.C[13] O=$auto$wreduce.cc:347:run$4460[13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[13] CO=$auto$alumacc.cc:470:replace_alu$4628.C[14] I0=$false I1=KEYBOARD.row_time[13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$4628.C[14] O=$auto$wreduce.cc:347:run$4460[14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[14] CO=$auto$alumacc.cc:470:replace_alu$4628.C[15] I0=$false I1=KEYBOARD.row_time[14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$4628.C[15] O=$auto$wreduce.cc:347:run$4460[15] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$4628.C[2] I0=$false I1=KEYBOARD.row_time[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$4628.C[2] O=$auto$wreduce.cc:347:run$4460[2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[2] CO=$auto$alumacc.cc:470:replace_alu$4628.C[3] I0=$false I1=KEYBOARD.row_time[2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$4628.C[3] O=$auto$wreduce.cc:347:run$4460[3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[3] CO=$auto$alumacc.cc:470:replace_alu$4628.C[4] I0=$false I1=KEYBOARD.row_time[3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$4628.C[4] O=$auto$wreduce.cc:347:run$4460[4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[4] CO=$auto$alumacc.cc:470:replace_alu$4628.C[5] I0=$false I1=KEYBOARD.row_time[4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$4628.C[5] O=$auto$wreduce.cc:347:run$4460[5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[5] CO=$auto$alumacc.cc:470:replace_alu$4628.C[6] I0=$false I1=KEYBOARD.row_time[5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$4628.C[6] O=$auto$wreduce.cc:347:run$4460[6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[6] CO=$auto$alumacc.cc:470:replace_alu$4628.C[7] I0=$false I1=KEYBOARD.row_time[6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$4628.C[7] O=$auto$wreduce.cc:347:run$4460[7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[7] CO=$auto$alumacc.cc:470:replace_alu$4628.C[8] I0=$false I1=KEYBOARD.row_time[7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$4628.C[8] O=$auto$wreduce.cc:347:run$4460[8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[8] CO=$auto$alumacc.cc:470:replace_alu$4628.C[9] I0=$false I1=KEYBOARD.row_time[8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$4628.C[9] O=$auto$wreduce.cc:347:run$4460[9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[9] CO=$auto$alumacc.cc:470:replace_alu$4628.C[10] I0=$false I1=KEYBOARD.row_time[9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$32112$n1404 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4640.C[2] I0=UART.tx_clk_counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4640.C[2] O=$abc$32112$n1407 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4640.C[2] CO=$auto$alumacc.cc:470:replace_alu$4640.C[3] I0=UART.tx_clk_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4640.C[3] O=$abc$32112$n1408 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$32112$n2107 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4643.C[2] I0=UART.tx_bit_counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4643.C[2] O=$abc$32112$n2141 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4643.C[2] CO=$auto$alumacc.cc:470:replace_alu$4643.C[3] I0=UART.tx_bit_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4643.C[3] O=$abc$32112$n2143 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n2140 I3=$true O=$abc$32112$n1572 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$32112$n2140 CO=$auto$alumacc.cc:470:replace_alu$4646.C[2] I0=$false I1=$abc$32112$n2106 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n2142 I3=$auto$alumacc.cc:470:replace_alu$4646.C[2] O=$abc$32112$n1558 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1496 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[0] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1499 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[1] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1502 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[2] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1505 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[3] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1508 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[4] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1511 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[5] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1665 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[6] R=$abc$32112$n19 +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1514 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[7] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$0\KBD_FREEZE[0:0] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n5 E=$abc$32112$n396 Q=LED1 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1807 E=$abc$32112$n403 Q=I2C_TX_REPORT[0] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1808 E=$abc$32112$n403 Q=I2C_TX_REPORT[1] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1809 E=$abc$32112$n403 Q=I2C_TX_REPORT[2] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1810 E=$abc$32112$n403 Q=I2C_TX_REPORT[3] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1811 E=$abc$32112$n403 Q=I2C_TX_REPORT[4] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1812 E=$abc$32112$n403 Q=I2C_TX_REPORT[5] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1813 E=$abc$32112$n403 Q=I2C_TX_REPORT[6] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1814 E=$abc$32112$n403 Q=I2C_TX_REPORT[7] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$32112$n405 Q=UART_WR R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1695 E=$abc$32112$n409 Q=UART_TX_DATA[0] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1696 E=$abc$32112$n409 Q=UART_TX_DATA[1] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1697 E=$abc$32112$n409 Q=UART_TX_DATA[2] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1699 E=$abc$32112$n409 Q=UART_TX_DATA[3] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1700 E=$abc$32112$n409 Q=UART_TX_DATA[4] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1702 E=$abc$32112$n409 Q=UART_TX_DATA[5] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1704 E=$abc$32112$n409 Q=UART_TX_DATA[6] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1705 E=$abc$32112$n409 Q=UART_TX_DATA[7] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SCL.RESET E=$abc$32112$n419 Q=$abc$32112$n10 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$32112$n424 Q=I2C_INPUT_LEN[0] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$32112$n424 Q=I2C_INPUT_LEN[1] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$32112$n424 Q=I2C_INPUT_LEN[2] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$32112$n424 Q=I2C_INPUT_LEN[3] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$32112$n424 Q=I2C_INPUT_LEN[4] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$32112$n424 Q=I2C_INPUT_LEN[5] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$32112$n424 Q=I2C_INPUT_LEN[6] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$32112$n424 Q=I2C_INPUT_LEN[7] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[0] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[1] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[2] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[0] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[1] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[2] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[3] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[4] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[5] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[6] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[7] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1723 E=$abc$32112$n501 Q=LED2 R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1726 E=$abc$32112$n501 Q=LED3 R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1729 E=$abc$32112$n501 Q=LED4 R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.wr E=I2C.FLT_SCL.RESET Q=last_wr +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C_TRANS E=I2C.FLT_SCL.RESET Q=last_trans +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART.tx_activity E=I2C.FLT_SCL.RESET Q=last_uart_active +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=KEYBOARD.isr E=I2C.FLT_SCL.RESET Q=last_isr +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$abc$32112$n505 Q=uart_double_ff +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF +.attr src "i2c_slave.v:47|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF +.attr src "i2c_slave.v:47|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFF C=CLK D=$abc$32112$n1865 Q=I2C.wr +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1863 Q=I2C.is_ack +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1847 Q=I2C.byte_counter[0] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1849 Q=I2C.byte_counter[1] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1851 Q=I2C.byte_counter[2] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1853 Q=I2C.byte_counter[3] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1855 Q=I2C.byte_counter[4] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1857 Q=I2C.byte_counter[5] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1859 Q=I2C.byte_counter[6] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1861 Q=I2C.byte_counter[7] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n518 Q=I2C.received_byte[0] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n525 Q=I2C.received_byte[1] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n532 Q=I2C.received_byte[2] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n539 Q=I2C.received_byte[3] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n546 Q=I2C.received_byte[4] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n555 Q=I2C.received_byte[5] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n562 Q=I2C.received_byte[6] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n577 Q=I2C.received_byte[7] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$32112$n1839 Q=I2C.i2c_bit_counter[0] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1841 Q=I2C.i2c_bit_counter[1] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1843 Q=I2C.i2c_bit_counter[2] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1845 Q=I2C.i2c_bit_counter[3] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1837 Q=I2C.is_read +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$32112$n1817 E=$abc$32112$n580 Q=I2C.i2c_start_latency +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$32112$n7 Q=$abc$32112$n16 +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1 Q=$abc$32112$n8 +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1833 Q=I2C.SDA_DIR +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$32112$n2000 E=I2C.FLT_SCL.RESET Q=UART.tx_activity +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n3 E=$abc$32112$n595 Q=$abc$32112$n12 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1993 E=$abc$32112$n592 Q=UART.tx_clk_counter[0] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1995 E=$abc$32112$n592 Q=UART.tx_clk_counter[1] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1997 E=$abc$32112$n592 Q=UART.tx_clk_counter[2] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1999 E=$abc$32112$n592 Q=UART.tx_clk_counter[3] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n2107 E=$abc$32112$n595 Q=UART.tx_bit_counter[0] S=$abc$32112$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2105 E=$abc$32112$n595 Q=UART.tx_bit_counter[1] R=$abc$32112$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2141 E=$abc$32112$n595 Q=UART.tx_bit_counter[2] R=$abc$32112$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n2143 E=$abc$32112$n595 Q=UART.tx_bit_counter[3] S=$abc$32112$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n671 E=$abc$32112$n839 Q=KEYBOARD.is_pressed +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] +.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] +.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] +.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] +.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[0] R=$abc$32112$n22 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2003 E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[1] R=$abc$32112$n22 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[2] R=$abc$32112$n23 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2003 E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[3] R=$abc$32112$n23 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n74 E=$abc$32112$n839 Q=KEYBOARD.isr +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2065 E=$abc$32112$n605 Q=KEYBOARD.report[0] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2068 E=$abc$32112$n605 Q=KEYBOARD.report[1] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2071 E=$abc$32112$n605 Q=KEYBOARD.report[2] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2074 E=$abc$32112$n605 Q=KEYBOARD.report[3] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2077 E=$abc$32112$n605 Q=KEYBOARD.report[4] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2080 E=$abc$32112$n605 Q=KEYBOARD.report[5] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2083 E=$abc$32112$n605 Q=KEYBOARD.report[6] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2086 E=$abc$32112$n605 Q=KEYBOARD.report[7] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[8] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[9] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[10] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[11] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[12] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[13] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[14] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[15] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n614 Q=KEYBOARD.report[16] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n614 Q=KEYBOARD.report[17] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n614 Q=KEYBOARD.report[18] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n614 Q=KEYBOARD.report[19] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n614 Q=KEYBOARD.report[20] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n614 Q=KEYBOARD.report[21] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n614 Q=KEYBOARD.report[22] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n614 Q=KEYBOARD.report[23] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n631 Q=KEYBOARD.report[24] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n631 Q=KEYBOARD.report[25] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n631 Q=KEYBOARD.report[26] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n631 Q=KEYBOARD.report[27] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n631 Q=KEYBOARD.report[28] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n631 Q=KEYBOARD.report[29] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n631 Q=KEYBOARD.report[30] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n631 Q=KEYBOARD.report[31] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n651 Q=KEYBOARD.report[32] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n651 Q=KEYBOARD.report[33] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n651 Q=KEYBOARD.report[34] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n651 Q=KEYBOARD.report[35] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n651 Q=KEYBOARD.report[36] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n651 Q=KEYBOARD.report[37] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n651 Q=KEYBOARD.report[38] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n651 Q=KEYBOARD.report[39] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n678 Q=KEYBOARD.report[40] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n678 Q=KEYBOARD.report[41] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n678 Q=KEYBOARD.report[42] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n678 Q=KEYBOARD.report[43] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n678 Q=KEYBOARD.report[44] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n678 Q=KEYBOARD.report[45] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n678 Q=KEYBOARD.report[46] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n678 Q=KEYBOARD.report[47] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n693 Q=KEYBOARD.report[48] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n693 Q=KEYBOARD.report[49] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n693 Q=KEYBOARD.report[50] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n693 Q=KEYBOARD.report[51] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n693 Q=KEYBOARD.report[52] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n693 Q=KEYBOARD.report[53] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n693 Q=KEYBOARD.report[54] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n693 Q=KEYBOARD.report[55] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n720 Q=KEYBOARD.report[56] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n720 Q=KEYBOARD.report[57] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n720 Q=KEYBOARD.report[58] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n720 Q=KEYBOARD.report[59] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n720 Q=KEYBOARD.report[60] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n720 Q=KEYBOARD.report[61] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n720 Q=KEYBOARD.report[62] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n720 Q=KEYBOARD.report[63] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n732 Q=KEYBOARD.last_data[0] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n743 Q=KEYBOARD.last_data[1] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n749 Q=KEYBOARD.last_data[2] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n765 Q=KEYBOARD.last_data[3] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n770 Q=KEYBOARD.last_data[4] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n779 Q=KEYBOARD.last_data[5] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n785 Q=KEYBOARD.last_data[6] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n790 Q=KEYBOARD.last_data[7] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n795 Q=KEYBOARD.last_data[8] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n800 Q=KEYBOARD.last_data[9] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n806 Q=KEYBOARD.last_data[10] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n811 Q=KEYBOARD.last_data[11] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n816 Q=KEYBOARD.last_data[12] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n822 Q=KEYBOARD.last_data[13] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n827 Q=KEYBOARD.last_data[14] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n834 Q=KEYBOARD.last_data[15] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1174 E=$abc$32112$n839 Q=KEYBOARD.row_counter[0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1175 E=$abc$32112$n839 Q=KEYBOARD.row_counter[1] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[0] E=$abc$32112$n839 Q=KEYBOARD.row_time[0] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2100 E=$abc$32112$n838 Q=KEYBOARD.row_time[1] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[2] E=$abc$32112$n839 Q=KEYBOARD.row_time[2] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[3] E=$abc$32112$n839 Q=KEYBOARD.row_time[3] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[4] E=$abc$32112$n839 Q=KEYBOARD.row_time[4] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[5] E=$abc$32112$n839 Q=KEYBOARD.row_time[5] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[6] E=$abc$32112$n839 Q=KEYBOARD.row_time[6] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[7] E=$abc$32112$n839 Q=KEYBOARD.row_time[7] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[8] E=$abc$32112$n839 Q=KEYBOARD.row_time[8] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[9] E=$abc$32112$n839 Q=KEYBOARD.row_time[9] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[10] E=$abc$32112$n839 Q=KEYBOARD.row_time[10] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[11] E=$abc$32112$n839 Q=KEYBOARD.row_time[11] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[12] E=$abc$32112$n839 Q=KEYBOARD.row_time[12] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[13] E=$abc$32112$n839 Q=KEYBOARD.row_time[13] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[14] E=$abc$32112$n839 Q=KEYBOARD.row_time[14] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[15] E=$abc$32112$n839 Q=KEYBOARD.row_time[15] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$32112$n848 Q=I2C.FLT_SDA.out S=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$32112$n1516 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[0] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$32112$n1517 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[1] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$32112$n1518 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[2] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$32112$n858 Q=I2C.FLT_SCL.out S=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$32112$n1519 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[0] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$32112$n1520 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[1] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$32112$n1521 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[2] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFR C=CLK D=$abc$32112$n1835 Q=I2C.i2c_state_machine R=$0\KBD_FREEZE[0:0] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" +.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA +.attr src "i2c_slave.v:177" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.names I2C.is_read COM_RTS +1 1 +.names CLK I2C.CLK +1 1 +.names I2C.byte_counter[0] I2C.COUNTER[0] +1 1 +.names I2C.byte_counter[1] I2C.COUNTER[1] +1 1 +.names I2C.byte_counter[2] I2C.COUNTER[2] +1 1 +.names I2C.byte_counter[3] I2C.COUNTER[3] +1 1 +.names I2C.byte_counter[4] I2C.COUNTER[4] +1 1 +.names I2C.byte_counter[5] I2C.COUNTER[5] +1 1 +.names I2C.byte_counter[6] I2C.COUNTER[6] +1 1 +.names I2C.byte_counter[7] I2C.COUNTER[7] +1 1 +.names CLK I2C.FLT_SCL.CLK +1 1 +.names I2C.SCLF I2C.FLT_SCL.IN +1 1 +.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT +1 1 +.names CLK I2C.FLT_SDA.CLK +1 1 +.names I2C.SDAF I2C.FLT_SDA.IN +1 1 +.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT +1 1 +.names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET +1 1 +.names I2C.is_ack I2C.IS_ACK +1 1 +.names I2C.is_read I2C.IS_READ +1 1 +.names I2C_TRANS I2C.IS_TRANSMISSION +1 1 +.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0] +1 1 +.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1] +1 1 +.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2] +1 1 +.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3] +1 1 +.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4] +1 1 +.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5] +1 1 +.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6] +1 1 +.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] +1 1 +.names I2C.FLT_SCL.RESET I2C.RESET +1 1 +.names SCL I2C.SCL +1 1 +.names I2C.FLT_SCL.out I2C.SCLD +1 1 +.names SDA I2C.SDA +1 1 +.names I2C.FLT_SDA.out I2C.SDAD +1 1 +.names I2C.wr I2C.WR +1 1 +.names I2C.is_ack I2C_ACK +1 1 +.names I2C.byte_counter[0] I2C_COUNTER[0] +1 1 +.names I2C.byte_counter[1] I2C_COUNTER[1] +1 1 +.names I2C.byte_counter[2] I2C_COUNTER[2] +1 1 +.names I2C.byte_counter[3] I2C_COUNTER[3] +1 1 +.names I2C.byte_counter[4] I2C_COUNTER[4] +1 1 +.names I2C.byte_counter[5] I2C_COUNTER[5] +1 1 +.names I2C.byte_counter[6] I2C_COUNTER[6] +1 1 +.names I2C.byte_counter[7] I2C_COUNTER[7] +1 1 +.names $false I2C_COUNTER[8] +1 1 +.names $false I2C_COUNTER[9] +1 1 +.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0] +1 1 +.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1] +1 1 +.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2] +1 1 +.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3] +1 1 +.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4] +1 1 +.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5] +1 1 +.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6] +1 1 +.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7] +1 1 +.names CLK I2C_HID_DESC.CLK +1 1 +.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0] +1 1 +.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1] +1 1 +.names I2C.wr I2C_HID_DESC.RD_REQUEST +1 1 +.names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET +1 1 +.names $undef I2C_INPUT_DATA[8][3] +1 1 +.names $undef I2C_INPUT_DATA[8][4] +1 1 +.names $undef I2C_INPUT_DATA[8][5] +1 1 +.names $undef I2C_INPUT_DATA[8][6] +1 1 +.names $undef I2C_INPUT_DATA[8][7] +1 1 +.names I2C.is_read I2C_READ +1 1 +.names I2C.received_byte[0] I2C_RX[0] +1 1 +.names I2C.received_byte[1] I2C_RX[1] +1 1 +.names I2C.received_byte[2] I2C_RX[2] +1 1 +.names I2C.received_byte[3] I2C_RX[3] +1 1 +.names I2C.received_byte[4] I2C_RX[4] +1 1 +.names I2C.received_byte[5] I2C_RX[5] +1 1 +.names I2C.received_byte[6] I2C_RX[6] +1 1 +.names I2C.received_byte[7] I2C_RX[7] +1 1 +.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0] +1 1 +.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1] +1 1 +.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] +1 1 +.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] +1 1 +.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] +1 1 +.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] +1 1 +.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] +1 1 +.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] +1 1 +.names I2C.wr I2C_WR +1 1 +.names COM_DCD INT +1 1 +.names COM_DCD INTERRUPT +1 1 +.names KEYBOARD.isr ISR +1 1 +.names COM_DSR KBD_FREEZE +1 1 +.names LED2 KBD_LED_STATUS[0] +1 1 +.names LED3 KBD_LED_STATUS[1] +1 1 +.names LED4 KBD_LED_STATUS[2] +1 1 +.names $undef KBD_LED_STATUS[3] +1 1 +.names $undef KBD_LED_STATUS[4] +1 1 +.names $undef KBD_LED_STATUS[5] +1 1 +.names $undef KBD_LED_STATUS[6] +1 1 +.names $undef KBD_LED_STATUS[7] +1 1 +.names CLK KEYBOARD.CLK +1 1 +.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] +1 1 +.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1] +1 1 +.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2] +1 1 +.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] +1 1 +.names COM_DSR KEYBOARD.FREEZE +1 1 +.names KEYBOARD.isr KEYBOARD.INT +1 1 +.names I2C.FLT_SCL.RESET KEYBOARD.RESET +1 1 +.names KBD_ROWS[0] KEYBOARD.ROWS[0] +1 1 +.names KBD_ROWS[1] KEYBOARD.ROWS[1] +1 1 +.names KBD_ROWS[2] KEYBOARD.ROWS[2] +1 1 +.names KBD_ROWS[3] KEYBOARD.ROWS[3] +1 1 +.names KEYBOARD.report[0] KEYBOARD.kbd_report[0] +1 1 +.names KEYBOARD.report[1] KEYBOARD.kbd_report[1] +1 1 +.names KEYBOARD.report[2] KEYBOARD.kbd_report[2] +1 1 +.names KEYBOARD.report[3] KEYBOARD.kbd_report[3] +1 1 +.names KEYBOARD.report[4] KEYBOARD.kbd_report[4] +1 1 +.names KEYBOARD.report[5] KEYBOARD.kbd_report[5] +1 1 +.names KEYBOARD.report[6] KEYBOARD.kbd_report[6] +1 1 +.names KEYBOARD.report[7] KEYBOARD.kbd_report[7] +1 1 +.names KEYBOARD.report[8] KEYBOARD.kbd_report[8] +1 1 +.names KEYBOARD.report[9] KEYBOARD.kbd_report[9] +1 1 +.names KEYBOARD.report[10] KEYBOARD.kbd_report[10] +1 1 +.names KEYBOARD.report[11] KEYBOARD.kbd_report[11] +1 1 +.names KEYBOARD.report[12] KEYBOARD.kbd_report[12] +1 1 +.names KEYBOARD.report[13] KEYBOARD.kbd_report[13] +1 1 +.names KEYBOARD.report[14] KEYBOARD.kbd_report[14] +1 1 +.names KEYBOARD.report[15] KEYBOARD.kbd_report[15] +1 1 +.names KEYBOARD.report[16] KEYBOARD.kbd_report[16] +1 1 +.names KEYBOARD.report[17] KEYBOARD.kbd_report[17] +1 1 +.names KEYBOARD.report[18] KEYBOARD.kbd_report[18] +1 1 +.names KEYBOARD.report[19] KEYBOARD.kbd_report[19] +1 1 +.names KEYBOARD.report[20] KEYBOARD.kbd_report[20] +1 1 +.names KEYBOARD.report[21] KEYBOARD.kbd_report[21] +1 1 +.names KEYBOARD.report[22] KEYBOARD.kbd_report[22] +1 1 +.names KEYBOARD.report[23] KEYBOARD.kbd_report[23] +1 1 +.names KEYBOARD.report[24] KEYBOARD.kbd_report[24] +1 1 +.names KEYBOARD.report[25] KEYBOARD.kbd_report[25] +1 1 +.names KEYBOARD.report[26] KEYBOARD.kbd_report[26] +1 1 +.names KEYBOARD.report[27] KEYBOARD.kbd_report[27] +1 1 +.names KEYBOARD.report[28] KEYBOARD.kbd_report[28] +1 1 +.names KEYBOARD.report[29] KEYBOARD.kbd_report[29] +1 1 +.names KEYBOARD.report[30] KEYBOARD.kbd_report[30] +1 1 +.names KEYBOARD.report[31] KEYBOARD.kbd_report[31] +1 1 +.names KEYBOARD.report[32] KEYBOARD.kbd_report[32] +1 1 +.names KEYBOARD.report[33] KEYBOARD.kbd_report[33] +1 1 +.names KEYBOARD.report[34] KEYBOARD.kbd_report[34] +1 1 +.names KEYBOARD.report[35] KEYBOARD.kbd_report[35] +1 1 +.names KEYBOARD.report[36] KEYBOARD.kbd_report[36] +1 1 +.names KEYBOARD.report[37] KEYBOARD.kbd_report[37] +1 1 +.names KEYBOARD.report[38] KEYBOARD.kbd_report[38] +1 1 +.names KEYBOARD.report[39] KEYBOARD.kbd_report[39] +1 1 +.names KEYBOARD.report[40] KEYBOARD.kbd_report[40] +1 1 +.names KEYBOARD.report[41] KEYBOARD.kbd_report[41] +1 1 +.names KEYBOARD.report[42] KEYBOARD.kbd_report[42] +1 1 +.names KEYBOARD.report[43] KEYBOARD.kbd_report[43] +1 1 +.names KEYBOARD.report[44] KEYBOARD.kbd_report[44] +1 1 +.names KEYBOARD.report[45] KEYBOARD.kbd_report[45] +1 1 +.names KEYBOARD.report[46] KEYBOARD.kbd_report[46] +1 1 +.names KEYBOARD.report[47] KEYBOARD.kbd_report[47] +1 1 +.names KEYBOARD.report[48] KEYBOARD.kbd_report[48] +1 1 +.names KEYBOARD.report[49] KEYBOARD.kbd_report[49] +1 1 +.names KEYBOARD.report[50] KEYBOARD.kbd_report[50] +1 1 +.names KEYBOARD.report[51] KEYBOARD.kbd_report[51] +1 1 +.names KEYBOARD.report[52] KEYBOARD.kbd_report[52] +1 1 +.names KEYBOARD.report[53] KEYBOARD.kbd_report[53] +1 1 +.names KEYBOARD.report[54] KEYBOARD.kbd_report[54] +1 1 +.names KEYBOARD.report[55] KEYBOARD.kbd_report[55] +1 1 +.names KEYBOARD.report[56] KEYBOARD.kbd_report[56] +1 1 +.names KEYBOARD.report[57] KEYBOARD.kbd_report[57] +1 1 +.names KEYBOARD.report[58] KEYBOARD.kbd_report[58] +1 1 +.names KEYBOARD.report[59] KEYBOARD.kbd_report[59] +1 1 +.names KEYBOARD.report[60] KEYBOARD.kbd_report[60] +1 1 +.names KEYBOARD.report[61] KEYBOARD.kbd_report[61] +1 1 +.names KEYBOARD.report[62] KEYBOARD.kbd_report[62] +1 1 +.names KEYBOARD.report[63] KEYBOARD.kbd_report[63] +1 1 +.names I2C_TRANS LED5 +1 1 +.names I2C.FLT_SCL.RESET RESET +1 1 +.names CLK UART.CLK +1 1 +.names I2C.FLT_SCL.RESET UART.RESET +1 1 +.names UART.tx_activity UART.TX_ACTIVITY +1 1 +.names UART_TX_DATA[0] UART.TX_BYTE[0] +1 1 +.names UART_TX_DATA[1] UART.TX_BYTE[1] +1 1 +.names UART_TX_DATA[2] UART.TX_BYTE[2] +1 1 +.names UART_TX_DATA[3] UART.TX_BYTE[3] +1 1 +.names UART_TX_DATA[4] UART.TX_BYTE[4] +1 1 +.names UART_TX_DATA[5] UART.TX_BYTE[5] +1 1 +.names UART_TX_DATA[6] UART.TX_BYTE[6] +1 1 +.names UART_TX_DATA[7] UART.TX_BYTE[7] +1 1 +.names COM_TX UART.TX_LINE +1 1 +.names UART_WR UART.TX_SIGNAL +1 1 +.names COM_TX UART.tx_line +1 1 +.names UART.tx_activity UART_ACTIVE +1 1 +.names COM_TX UART_TX_LINE +1 1 +.names KEYBOARD.report[0] kbd_report[0] +1 1 +.names KEYBOARD.report[1] kbd_report[1] +1 1 +.names KEYBOARD.report[2] kbd_report[2] +1 1 +.names KEYBOARD.report[3] kbd_report[3] +1 1 +.names KEYBOARD.report[4] kbd_report[4] +1 1 +.names KEYBOARD.report[5] kbd_report[5] +1 1 +.names KEYBOARD.report[6] kbd_report[6] +1 1 +.names KEYBOARD.report[7] kbd_report[7] +1 1 +.names KEYBOARD.report[8] kbd_report[8] +1 1 +.names KEYBOARD.report[9] kbd_report[9] +1 1 +.names KEYBOARD.report[10] kbd_report[10] +1 1 +.names KEYBOARD.report[11] kbd_report[11] +1 1 +.names KEYBOARD.report[12] kbd_report[12] +1 1 +.names KEYBOARD.report[13] kbd_report[13] +1 1 +.names KEYBOARD.report[14] kbd_report[14] +1 1 +.names KEYBOARD.report[15] kbd_report[15] +1 1 +.names KEYBOARD.report[16] kbd_report[16] +1 1 +.names KEYBOARD.report[17] kbd_report[17] +1 1 +.names KEYBOARD.report[18] kbd_report[18] +1 1 +.names KEYBOARD.report[19] kbd_report[19] +1 1 +.names KEYBOARD.report[20] kbd_report[20] +1 1 +.names KEYBOARD.report[21] kbd_report[21] +1 1 +.names KEYBOARD.report[22] kbd_report[22] +1 1 +.names KEYBOARD.report[23] kbd_report[23] +1 1 +.names KEYBOARD.report[24] kbd_report[24] +1 1 +.names KEYBOARD.report[25] kbd_report[25] +1 1 +.names KEYBOARD.report[26] kbd_report[26] +1 1 +.names KEYBOARD.report[27] kbd_report[27] +1 1 +.names KEYBOARD.report[28] kbd_report[28] +1 1 +.names KEYBOARD.report[29] kbd_report[29] +1 1 +.names KEYBOARD.report[30] kbd_report[30] +1 1 +.names KEYBOARD.report[31] kbd_report[31] +1 1 +.names KEYBOARD.report[32] kbd_report[32] +1 1 +.names KEYBOARD.report[33] kbd_report[33] +1 1 +.names KEYBOARD.report[34] kbd_report[34] +1 1 +.names KEYBOARD.report[35] kbd_report[35] +1 1 +.names KEYBOARD.report[36] kbd_report[36] +1 1 +.names KEYBOARD.report[37] kbd_report[37] +1 1 +.names KEYBOARD.report[38] kbd_report[38] +1 1 +.names KEYBOARD.report[39] kbd_report[39] +1 1 +.names KEYBOARD.report[40] kbd_report[40] +1 1 +.names KEYBOARD.report[41] kbd_report[41] +1 1 +.names KEYBOARD.report[42] kbd_report[42] +1 1 +.names KEYBOARD.report[43] kbd_report[43] +1 1 +.names KEYBOARD.report[44] kbd_report[44] +1 1 +.names KEYBOARD.report[45] kbd_report[45] +1 1 +.names KEYBOARD.report[46] kbd_report[46] +1 1 +.names KEYBOARD.report[47] kbd_report[47] +1 1 +.names KEYBOARD.report[48] kbd_report[48] +1 1 +.names KEYBOARD.report[49] kbd_report[49] +1 1 +.names KEYBOARD.report[50] kbd_report[50] +1 1 +.names KEYBOARD.report[51] kbd_report[51] +1 1 +.names KEYBOARD.report[52] kbd_report[52] +1 1 +.names KEYBOARD.report[53] kbd_report[53] +1 1 +.names KEYBOARD.report[54] kbd_report[54] +1 1 +.names KEYBOARD.report[55] kbd_report[55] +1 1 +.names KEYBOARD.report[56] kbd_report[56] +1 1 +.names KEYBOARD.report[57] kbd_report[57] +1 1 +.names KEYBOARD.report[58] kbd_report[58] +1 1 +.names KEYBOARD.report[59] kbd_report[59] +1 1 +.names KEYBOARD.report[60] kbd_report[60] +1 1 +.names KEYBOARD.report[61] kbd_report[61] +1 1 +.names KEYBOARD.report[62] kbd_report[62] +1 1 +.names KEYBOARD.report[63] kbd_report[63] +1 1 +.end diff --git a/i2c_keyboard/i2c_kbd_alt.bin b/i2c_keyboard/i2c_kbd_alt.bin new file mode 100644 index 0000000..501267a Binary files /dev/null and b/i2c_keyboard/i2c_kbd_alt.bin differ diff --git a/i2c_keyboard/i2c_kbd_alt.blif b/i2c_keyboard/i2c_kbd_alt.blif new file mode 100644 index 0000000..722ce30 --- /dev/null +++ b/i2c_keyboard/i2c_kbd_alt.blif @@ -0,0 +1,3600 @@ +# Generated by Yosys 0.5+ (git sha1 f13e387, gcc 5.3.1-8ubuntu2 -O2 -fstack-protector-strong -fPIC -Os) + +.model top +.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] +.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] +.names $false +.names $true +1 +.names $undef +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$60252 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$60421$n532 I1=$abc$60421$n533 I2=$abc$60421$n534_1 I3=$abc$60421$n535 O=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[13] I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[12] O=$abc$60421$n532 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000010 +.gate SB_LUT4 I0=KEYBOARD.row_time[7] I1=KEYBOARD.row_time[11] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$60421$n533 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[1] I3=KEYBOARD.row_time[2] O=$abc$60421$n534_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.row_time[14] I1=KEYBOARD.row_time[15] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[10] O=$abc$60421$n535 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=I2C_OUTPUT_TYPE[1] I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$60416 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=RESET +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$auto$simplemap.cc:256:simplemap_eqne$23468 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111111 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$auto$simplemap.cc:309:simplemap_lut$44816 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$33874[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$60421$n543_1 I1=$abc$60421$n560 I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:309:simplemap_lut$44816 I2=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I3=$abc$60421$n555_1 O=$abc$60421$n543_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$60421$n545 I1=$abc$60421$n550 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=KEYBOARD.COLS_SHADOW[1] O=$abc$60421$n544_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001110101100 +.gate SB_LUT4 I0=$abc$60421$n548_1 I1=$abc$60421$n547 I2=$abc$60421$n546 I3=$abc$60421$n549 O=$abc$60421$n545 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[1] O=$abc$60421$n546 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000100000000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[5] O=$abc$60421$n547 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010000111 +.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.last_data[13] I2=$auto$rtlil.cc:1692:NotGate$60414 I3=KEYBOARD.row_counter[0] O=$abc$60421$n548_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110111010000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[9] O=$abc$60421$n549 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001100000000000 +.gate SB_LUT4 I0=$abc$60421$n552_1 I1=$abc$60421$n551 I2=$abc$60421$n553 I3=$abc$60421$n554 O=$abc$60421$n550 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[4] O=$abc$60421$n551 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010000111 +.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.last_data[12] I2=$auto$rtlil.cc:1692:NotGate$60414 I3=KEYBOARD.row_counter[0] O=$abc$60421$n552_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110111010000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[8] O=$abc$60421$n553 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001100000000000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[0] O=$abc$60421$n554 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000100000000 +.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n558 I2=$abc$60421$n559 I3=$false O=$abc$60421$n555_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n535 I1=$abc$60421$n557 I2=$false I3=$false O=$abc$60421$n556_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.row_time[11] I1=KEYBOARD.row_time[13] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[12] O=$abc$60421$n557 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[7] I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$60421$n558 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[1] I3=KEYBOARD.row_time[2] O=$abc$60421$n559 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000010000 +.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n572_1 I2=$abc$60421$n574 I3=KEYBOARD.COLS_SHADOW[3] O=$abc$60421$n560 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000010000000 +.gate SB_LUT4 I0=$abc$60421$n567_1 I1=$abc$60421$n562 I2=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I3=$false O=$abc$60421$n561 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$60421$n564 I1=$abc$60421$n563 I2=$abc$60421$n565 I3=$abc$60421$n566 O=$abc$60421$n562 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[15] O=$abc$60421$n563 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.last_data[7] I2=$auto$rtlil.cc:1692:NotGate$60414 I3=KEYBOARD.row_counter[0] O=$abc$60421$n564 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111011100000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[11] O=$abc$60421$n565 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001100000000000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[3] O=$abc$60421$n566 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000100000000 +.gate SB_LUT4 I0=$abc$60421$n569 I1=$abc$60421$n568 I2=$abc$60421$n570 I3=$abc$60421$n571 O=$abc$60421$n567_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[14] O=$abc$60421$n568 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.last_data[6] I2=$auto$rtlil.cc:1692:NotGate$60414 I3=KEYBOARD.row_counter[0] O=$abc$60421$n569 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111011100000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[10] O=$abc$60421$n570 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001100000000000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[2] O=$abc$60421$n571 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000100000000 +.gate SB_LUT4 I0=$abc$60421$n573 I1=$abc$60421$n555_1 I2=$false I3=$false O=$abc$60421$n572_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$60421$n573 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n575 I1=$abc$60421$n558 I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$60421$n574 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=$abc$60421$n556_1 I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[2] I3=$false O=$abc$60421$n575 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$abc$60421$n583 I1=$abc$60421$n578 I2=$abc$60421$n577 I3=$abc$60421$n560 O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111110010 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:309:simplemap_lut$44816 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n577 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n582 I2=$abc$60421$n581 I3=$abc$60421$n579 O=$abc$60421$n578 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011101100001011 +.gate SB_LUT4 I0=$abc$60421$n562 I1=$abc$60421$n567_1 I2=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I3=KEYBOARD.COLS_SHADOW[2] O=$abc$60421$n579 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001110101100 +.gate SB_LUT4 I0=$abc$60421$n550 I1=KEYBOARD.COLS_SHADOW[0] I2=$false I3=$false O=$abc$60421$n580 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$60421$n575 I1=$abc$60421$n558 I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$60421$n581 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n534_1 I2=$abc$60421$n558 I3=$false O=$abc$60421$n582 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$60421$n583 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n590 I1=$abc$60421$n585 I2=$abc$60421$n589 I3=$abc$60421$n588_1 O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111110100 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n586 I2=$false I3=$false O=$abc$60421$n585 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n587_1 I1=$abc$60421$n555_1 I2=$false I3=$false O=$abc$60421$n586 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$auto$simplemap.cc:309:simplemap_lut$44816 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$false I3=$false O=$abc$60421$n587_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n581 I3=$false O=$abc$60421$n588_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n590 I1=$abc$60421$n550 I2=$abc$60421$n582 I3=KEYBOARD.COLS_SHADOW[0] O=$abc$60421$n589 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000010000 +.gate SB_LUT4 I0=$auto$simplemap.cc:309:simplemap_lut$44816 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$false I3=$false O=$abc$60421$n590 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n592 I1=$abc$60421$n595 I2=$abc$60421$n596 I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11111101 +.gate SB_LUT4 I0=$abc$60421$n593 I1=$abc$60421$n579 I2=$abc$60421$n594 I3=$abc$60421$n581 O=$abc$60421$n592 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101010001010101 +.gate SB_LUT4 I0=$abc$60421$n550 I1=$abc$60421$n583 I2=$abc$60421$n582 I3=KEYBOARD.COLS_SHADOW[0] O=$abc$60421$n593 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010000000010000 +.gate SB_LUT4 I0=$auto$simplemap.cc:309:simplemap_lut$44816 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$false I3=$false O=$abc$60421$n594 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n595 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n597 I2=$abc$60421$n574 I3=KEYBOARD.COLS_SHADOW[3] O=$abc$60421$n596 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000010000000 +.gate SB_LUT4 I0=$abc$60421$n573 I1=$abc$60421$n555_1 I2=$false I3=$false O=$abc$60421$n597 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I1=$abc$60421$n599 I2=$abc$60421$n601 I3=$abc$60421$n600 O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111110010 +.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n574 I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$60421$n599 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110111 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n581 I2=$auto$simplemap.cc:309:simplemap_lut$44816 I3=$auto$simplemap.cc:250:simplemap_eqne$33874[2] O=$abc$60421$n600 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001000000 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n587_1 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n601 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n587_1 I1=$abc$60421$n599 I2=$abc$60421$n604 I3=$abc$60421$n603 O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000111111111 +.gate SB_LUT4 I0=$abc$60421$n589 I1=$abc$60421$n544_1 I2=$abc$60421$n594 I3=$abc$60421$n555_1 O=$abc$60421$n603 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100010101010101 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n581 I3=$false O=$abc$60421$n604 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n606 I1=$abc$60421$n607 I2=$abc$60421$n608 I3=$false O=$auto$alumacc.cc:470:replace_alu$22821.C[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n581 I2=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I3=$false O=$abc$60421$n606 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000100 +.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n574 I2=$abc$60421$n583 I3=KEYBOARD.COLS_SHADOW[3] O=$abc$60421$n607 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000010000000 +.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n609 I2=$abc$60421$n586 I3=$abc$60421$n544_1 O=$abc$60421$n608 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011101100001011 +.gate SB_LUT4 I0=$abc$60421$n594 I1=$abc$60421$n582 I2=$false I3=$false O=$abc$60421$n609 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n543_1 I1=$abc$60421$n611 I2=$abc$60421$n612 I3=$false O=$auto$alumacc.cc:470:replace_alu$22821.AA[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n587_1 I2=$abc$60421$n581 I3=$false O=$abc$60421$n611 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n574 I1=$abc$60421$n561 I2=KEYBOARD.COLS_SHADOW[3] I3=$abc$60421$n593 O=$abc$60421$n612 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011010111 +.gate SB_LUT4 I0=$abc$60421$n614 I1=$abc$60421$n615 I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$53051[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] I2=$auto$alumacc.cc:470:replace_alu$22821.C[1] I3=$false O=$abc$60421$n614 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I2=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] I3=$auto$alumacc.cc:470:replace_alu$22821.AA[5] O=$abc$60421$n615 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n617 I1=I2C.is_read I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$45135 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n618 I1=RESET I2=$false I3=$false O=$abc$60421$n617 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=RESET I3=$false O=$abc$60421$n618 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$60421$n620 I1=$abc$60421$n617 I2=$false I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$45588[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n621 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$60421$n620 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n618 I1=$auto$rtlil.cc:1692:NotGate$60252 I2=$false I3=$false O=$abc$60421$n621 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$2\INT[0:0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$2\INT[0:0] I1=$abc$60421$n627 I2=$abc$60421$n625 I3=$abc$60421$n618 O=$auto$dff2dffe.cc:175:make_patterns_logic$45702 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$60421$n621 I1=$0\uart_double_ff[0:0] I2=$false I3=$false O=$abc$60421$n625 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$0\uart_double_ff[0:0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$60421$n627 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n634 I1=I2C.is_read I2=$abc$60421$n620 I3=$abc$60421$n629 O=$auto$dff2dffe.cc:175:make_patterns_logic$45905 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$60421$n627 I1=$abc$60421$n633 I2=$abc$60421$n630 I3=$abc$60421$n631 O=$abc$60421$n629 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$60421$n625 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$60421$n630 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n617 I1=$abc$60421$n632 I2=$false I3=$false O=$abc$60421$n631 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$auto$rtlil.cc:1692:NotGate$60252 I2=$abc$60421$n618 I3=$false O=$abc$60421$n632 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=UART_WR I1=KEYBOARD.isr I2=INT I3=last_isr O=$abc$60421$n633 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=$auto$alumacc.cc:484:replace_alu$22868[7] O=$abc$60421$n634 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001000001 +.gate SB_LUT4 I0=$abc$60421$n636 I1=$abc$60421$n672 I2=$abc$60421$n674_1 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$46096 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000100 +.gate SB_LUT4 I0=$2\INT[0:0] I1=$abc$60421$n637 I2=$abc$60421$n625 I3=$false O=$abc$60421$n636 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$60421$n638 I1=$abc$60421$n669_1 I2=$abc$60421$n671_1 I3=I2C.is_read O=$abc$60421$n637 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n657 I1=$abc$60421$n667_1 I2=$abc$60421$n664 I3=$abc$60421$n639 O=$abc$60421$n638 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011101100001011 +.gate SB_LUT4 I0=$abc$60421$n640 I1=$abc$60421$n649 I2=$abc$60421$n651 I3=$abc$60421$n656 O=$abc$60421$n639 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n641 I1=$abc$60421$n647 I2=$abc$60421$n648 I3=$false O=$abc$60421$n640 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n642 I1=$abc$60421$n645 I2=$abc$60421$n646_1 I3=$false O=$abc$60421$n641 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n643 I1=$abc$60421$n644 I2=$false I3=$false O=$abc$60421$n642 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[1][4] I1=I2C_INPUT_DATA[1][5] I2=I2C_INPUT_DATA[1][6] I3=I2C_INPUT_DATA[1][7] O=$abc$60421$n643 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[1][0] I1=I2C_INPUT_DATA[1][1] I2=I2C_INPUT_DATA[1][2] I3=I2C_INPUT_DATA[1][3] O=$abc$60421$n644 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][0] I1=I2C_INPUT_DATA[0][2] I2=I2C_INPUT_DATA[0][3] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n645 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][4] I1=I2C_INPUT_DATA[0][5] I2=I2C_INPUT_DATA[0][6] I3=I2C_INPUT_DATA[0][7] O=$abc$60421$n646_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][4] I1=I2C_INPUT_DATA[3][5] I2=I2C_INPUT_DATA[3][6] I3=I2C_INPUT_DATA[3][7] O=$abc$60421$n647 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][1] I1=I2C_INPUT_DATA[2][0] I2=I2C_INPUT_DATA[2][2] I3=I2C_INPUT_DATA[2][3] O=$abc$60421$n648 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n650 I1=I2C_INPUT_DATA[2][6] I2=I2C_INPUT_DATA[2][7] I3=$false O=$abc$60421$n649 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][2] I1=I2C_INPUT_DATA[3][3] I2=$false I3=$false O=$abc$60421$n650 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n652 I1=$abc$60421$n653 I2=$abc$60421$n654_1 I3=$abc$60421$n655 O=$abc$60421$n651 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[4][5] I2=I2C_INPUT_DATA[4][6] I3=I2C_INPUT_DATA[4][2] O=$abc$60421$n652 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[5][1] I1=I2C_INPUT_DATA[5][2] I2=I2C_INPUT_DATA[5][4] I3=I2C_INPUT_DATA[5][7] O=$abc$60421$n653 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][3] I1=I2C_INPUT_DATA[4][4] I2=I2C_INPUT_DATA[4][7] I3=I2C_INPUT_DATA[4][1] O=$abc$60421$n654_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[5][0] I1=I2C_INPUT_DATA[5][3] I2=I2C_INPUT_DATA[5][5] I3=I2C_INPUT_DATA[5][6] O=$abc$60421$n655 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[3][0] I2=I2C_INPUT_DATA[2][4] I3=I2C_INPUT_DATA[3][1] O=$abc$60421$n656 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=$abc$60421$n658_1 I1=$abc$60421$n642 I2=$abc$60421$n662 I3=$false O=$abc$60421$n657 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n659_1 I1=$abc$60421$n646_1 I2=$abc$60421$n661 I3=$false O=$abc$60421$n658_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n650 I1=$abc$60421$n660 I2=I2C_INPUT_DATA[2][2] I3=I2C_INPUT_DATA[2][3] O=$abc$60421$n659_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][0] I1=I2C_INPUT_DATA[0][2] I2=I2C_INPUT_DATA[0][3] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n660 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000100 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][1] I1=I2C_INPUT_DATA[3][0] I2=I2C_INPUT_DATA[3][1] I3=I2C_INPUT_DATA[2][0] O=$abc$60421$n661 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$60421$n647 I1=$abc$60421$n663 I2=$false I3=$false O=$abc$60421$n662 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[2][4] I2=I2C_INPUT_DATA[2][6] I3=I2C_INPUT_DATA[2][7] O=$abc$60421$n663 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n665_1 I1=$abc$60421$n666 I2=$false I3=$false O=$abc$60421$n664 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$60421$n665_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$60421$n666 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001000000 +.gate SB_LUT4 I0=$abc$60421$n665_1 I1=$abc$60421$n668_1 I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$60421$n667_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=$false I3=$false O=$abc$60421$n668_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n670 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$60421$n669_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$abc$60421$n665_1 I1=I2C_INPUT_LEN[2] I2=I2C_INPUT_LEN[3] I3=$false O=$abc$60421$n670 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$abc$60421$n670 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$60421$n671_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=RESET I1=I2C.wr I2=last_wr I3=$abc$60421$n673_1 O=$abc$60421$n672 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011010111 +.gate SB_LUT4 I0=$abc$60421$n625 I1=$abc$60421$n671_1 I2=$2\INT[0:0] I3=I2C.is_read O=$abc$60421$n673_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010000000 +.gate SB_LUT4 I0=$abc$60421$n675 I1=$abc$60421$n664 I2=$abc$60421$n667_1 I3=$false O=$abc$60421$n674_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$abc$60421$n625 I1=$abc$60421$n676_1 I2=$abc$60421$n671_1 I3=I2C.is_read O=$abc$60421$n675 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=$abc$60421$n669_1 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$60421$n676_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$2\INT[0:0] I1=$abc$60421$n627 I2=$abc$60421$n625 I3=$abc$60421$n632 O=$auto$dff2dffe.cc:175:make_patterns_logic$46377 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$60421$n620 I2=$abc$60421$n629 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$48057 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$60421$n636 I1=$abc$60421$n674_1 I2=$abc$60421$n673_1 I3=$abc$60421$n680 O=$auto$dff2dffe.cc:175:make_patterns_logic$48550 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$60421$n669_1 I1=I2C.is_read I2=$abc$60421$n620 I3=$abc$60421$n631 O=$abc$60421$n680 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=RESET I1=$auto$rtlil.cc:1692:NotGate$60252 I2=$auto$dff2dffe.cc:175:make_patterns_logic$45702 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$48902 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$60421$n683 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$48969 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$60421$n684 I1=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I2=$false I3=$false O=$abc$60421$n683 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n685 I1=$abc$60421$n688 I2=$false I3=$false O=$abc$60421$n684 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n686 I1=$auto$simplemap.cc:250:simplemap_eqne$28997[3] I2=$auto$simplemap.cc:250:simplemap_eqne$28997[4] I3=$auto$alumacc.cc:484:replace_alu$22893[30] O=$abc$60421$n685 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C.is_read I1=$techmap\I2C.$procmux$12628_Y I2=I2C.i2c_state_machine I3=I2C.i2c_start_latency O=$abc$60421$n686 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101000101010 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=$false O=$techmap\I2C.$procmux$12628_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11011111 +.gate SB_LUT4 I0=$abc$60421$n689 I1=$abc$60421$n690 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$false O=$abc$60421$n688 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$60421$n689 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.i2c_state_machine O=$abc$60421$n690 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111011100100000 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1] I1=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] I2=$false I3=$false O=$abc$60421$n691 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n683 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49036 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n683 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49103 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$abc$60421$n683 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49170 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$abc$60421$n698 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49237 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$60421$n684 I1=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I2=$false I3=$false O=$abc$60421$n698 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n698 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49304 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n698 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49371 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$abc$60421$n698 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49438 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$techmap\I2C.$procmux$12628_Y I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$49447 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00101111 +.gate SB_LUT4 I0=RESET I1=$abc$60421$n704 I2=UART.tx_activity I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$49597 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11111101 +.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=$false I3=$false O=$abc$60421$n704 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=UART.tx_activity I1=$abc$60421$n707 I2=RESET I3=$techmap\UART.$procmux$739_Y O=$auto$dff2dffe.cc:175:make_patterns_logic$49554 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$60421$n704 I1=$abc$60421$n707 I2=$abc$60421$n709 I3=UART.tx_activity O=$techmap\UART.$procmux$739_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011111110101010 +.gate SB_LUT4 I0=$abc$60421$n708 I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$abc$60421$n707 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00101000 +.gate SB_LUT4 I0=$techmap\UART.$sub$uart.v:30$342_Y[0] I1=$techmap\UART.$sub$uart.v:30$342_Y[2] I2=$techmap\UART.$sub$uart.v:30$342_Y[3] I3=$false O=$abc$60421$n708 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_bit_counter[3] O=$abc$60421$n709 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$50030 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=RESET I1=KBD_FREEZE I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$50051 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n714 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$auto$dff2dffe.cc:175:make_patterns_logic$50579 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$50377 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=RESET I1=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I2=KBD_FREEZE I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$50579 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011101 +.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$22823[7] I1=$auto$alumacc.cc:484:replace_alu$22818[7] I2=$false I3=$false O=$abc$60421$n714 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n757 I1=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I2=$abc$60421$n777 I3=$abc$60421$n716 O=$auto$dff2dffe.cc:175:make_patterns_logic$51066 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$60421$n717 I1=$abc$60421$n756 I2=$false I3=$false O=$abc$60421$n716 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n714 I1=$abc$60421$n743 I2=$abc$60421$n718 I3=$auto$dff2dffe.cc:175:make_patterns_logic$50051 O=$abc$60421$n717 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010111111111 +.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=$abc$60421$n734 I3=$abc$60421$n739 O=$abc$60421$n718 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n720 I1=$abc$60421$n721 I2=$abc$60421$n724 I3=$abc$60421$n725 O=$abc$60421$n719 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n590 I2=$abc$60421$n582 I3=$false O=$abc$60421$n720 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n722 I3=$false O=$abc$60421$n721 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n558 I2=$abc$60421$n723 I3=$false O=$abc$60421$n722 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[1] I3=KEYBOARD.row_time[2] O=$abc$60421$n723 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n594 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n724 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n587_1 I2=$abc$60421$n727 I3=$false O=$abc$60421$n725 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n562 I1=$abc$60421$n567_1 I2=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I3=KEYBOARD.COLS_SHADOW[3] O=$abc$60421$n726 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001110101100 +.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n558 I2=$abc$60421$n728 I3=$false O=$abc$60421$n727 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[1] I3=KEYBOARD.row_time[2] O=$abc$60421$n728 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$60421$n730 I1=$abc$60421$n731 I2=$abc$60421$n732 I3=$abc$60421$n733 O=$abc$60421$n729 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n594 I2=$abc$60421$n582 I3=$false O=$abc$60421$n730 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$abc$60421$n722 I3=$false O=$abc$60421$n731 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n587_1 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n732 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n583 I2=$abc$60421$n727 I3=$false O=$abc$60421$n733 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n735 I1=$abc$60421$n736 I2=$abc$60421$n737 I3=$abc$60421$n738 O=$abc$60421$n734 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n583 I2=$abc$60421$n582 I3=$false O=$abc$60421$n735 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n594 I2=$abc$60421$n722 I3=$false O=$abc$60421$n736 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n737 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n573 I2=$abc$60421$n727 I3=$false O=$abc$60421$n738 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n740 I1=$abc$60421$n741 I2=$abc$60421$n742 I3=$false O=$abc$60421$n739 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n594 I2=$abc$60421$n722 I3=$false O=$abc$60421$n740 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n587_1 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n741 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n726 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$abc$60421$n727 I3=$false O=$abc$60421$n742 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n744 I1=$abc$60421$n749 I2=$abc$60421$n752 I3=$false O=$abc$60421$n743 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n745 I1=$abc$60421$n746 I2=$abc$60421$n747 I3=$abc$60421$n748 O=$abc$60421$n744 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n583 I2=$abc$60421$n582 I3=$false O=$abc$60421$n745 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n583 I2=$abc$60421$n722 I3=$false O=$abc$60421$n746 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n573 I2=$abc$60421$n727 I3=$false O=$abc$60421$n747 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:309:simplemap_lut$44816 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n748 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n720 I1=$abc$60421$n750 I2=$abc$60421$n751 I3=$false O=$abc$60421$n749 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n722 I3=$false O=$abc$60421$n750 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n573 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n751 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n735 I1=$abc$60421$n753 I2=$abc$60421$n754 I3=$abc$60421$n755 O=$abc$60421$n752 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n587_1 I2=$abc$60421$n722 I3=$false O=$abc$60421$n753 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n590 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n754 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n727 I2=$false I3=$false O=$abc$60421$n755 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=RESET I1=KBD_FREEZE I2=$false I3=$false O=$abc$60421$n756 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n758 I1=$abc$60421$n770 I2=$abc$60421$n771 I3=$abc$60421$n776_1 O=$abc$60421$n757 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$abc$60421$n769 I2=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I3=KEYBOARD.report[20] O=$abc$60421$n758 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000100 +.gate SB_LUT4 I0=$abc$60421$n768_1 I1=$abc$60421$n764_1 I2=$abc$60421$n760 I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$53186[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101110 +.gate SB_LUT4 I0=$abc$60421$n555_1 I1=$abc$60421$n763 I2=$abc$60421$n761 I3=$abc$60421$n722 O=$abc$60421$n760 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001110 +.gate SB_LUT4 I0=$abc$60421$n544_1 I1=KEYBOARD.is_pressed I2=$abc$60421$n762 I3=$abc$60421$n555_1 O=$abc$60421$n761 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=$abc$60421$n550 I1=$abc$60421$n545 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=KEYBOARD.COLS_SHADOW[1] O=$abc$60421$n762 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000110101 +.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n727 I2=KEYBOARD.COLS_SHADOW[3] I3=KEYBOARD.is_pressed O=$abc$60421$n763 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000011110111 +.gate SB_LUT4 I0=$abc$60421$n765 I1=$abc$60421$n767 I2=$false I3=$false O=$abc$60421$n764_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$60421$n579 I2=$abc$60421$n722 I3=$abc$60421$n766 O=$abc$60421$n765 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001110000 +.gate SB_LUT4 I0=$abc$60421$n567_1 I1=$abc$60421$n562 I2=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I3=KEYBOARD.COLS_SHADOW[2] O=$abc$60421$n766 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000110101 +.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n534_1 I2=$abc$60421$n558 I3=$false O=$abc$60421$n767 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n550 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$60421$n582 O=$abc$60421$n768_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100110100000000 +.gate SB_LUT4 I0=$abc$60421$n734 I1=KEYBOARD.report[19] I2=$false I3=$false O=$abc$60421$n769 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$abc$60421$n729 I2=KEYBOARD.report[16] I3=KEYBOARD.report[23] O=$abc$60421$n770 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010100000010100 +.gate SB_LUT4 I0=$abc$60421$n772 I1=$abc$60421$n719 I2=KEYBOARD.report[17] I3=KEYBOARD.report[21] O=$abc$60421$n771 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010000101000 +.gate SB_LUT4 I0=$abc$60421$n543_1 I1=$abc$60421$n773 I2=$abc$60421$n774 I3=$abc$60421$n775_1 O=$abc$60421$n772 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000100 +.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n727 I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$60421$n773 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110111 +.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n583 I2=$abc$60421$n767 I3=$false O=$abc$60421$n774 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n587_1 I2=$abc$60421$n722 I3=$false O=$abc$60421$n775_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I2=KEYBOARD.report[18] I3=KEYBOARD.report[22] O=$abc$60421$n776_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000001001000001 +.gate SB_LUT4 I0=$abc$60421$n778 I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I3=$abc$60421$n714 O=$abc$60421$n777 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001110000 +.gate SB_LUT4 I0=$abc$60421$n779 I1=$abc$60421$n780 I2=$false I3=$false O=$abc$60421$n778 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[21] I2=KEYBOARD.report[22] I3=KEYBOARD.report[23] O=$abc$60421$n779 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[17] I2=KEYBOARD.report[18] I3=KEYBOARD.report[19] O=$abc$60421$n780 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n801 I1=$abc$60421$n791 I2=$abc$60421$n716 I3=$abc$60421$n782 O=$auto$dff2dffe.cc:175:make_patterns_logic$52061 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$60421$n787 I1=$abc$60421$n783 I2=$abc$60421$n785 I3=$false O=$abc$60421$n782 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$60421$n615 I1=$abc$60421$n614 I2=$abc$60421$n784 I3=$false O=$abc$60421$n783 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$60421$n768_1 I1=$abc$60421$n764_1 I2=$abc$60421$n760 I3=$abc$60421$n714 O=$abc$60421$n784 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010101110 +.gate SB_LUT4 I0=$abc$60421$n615 I1=$abc$60421$n614 I2=$abc$60421$n784 I3=$abc$60421$n786 O=$abc$60421$n785 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I1=$abc$60421$n778 I2=$false I3=$false O=$abc$60421$n786 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I1=$abc$60421$n778 I2=$abc$60421$n788 I3=$false O=$abc$60421$n787 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$abc$60421$n789 I1=$abc$60421$n790 I2=$false I3=$false O=$abc$60421$n788 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[29] I2=KEYBOARD.report[30] I3=KEYBOARD.report[31] O=$abc$60421$n789 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[25] I2=KEYBOARD.report[26] I3=KEYBOARD.report[27] O=$abc$60421$n790 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n792_1 I1=$abc$60421$n793_1 I2=$abc$60421$n796 I3=$abc$60421$n800 O=$abc$60421$n791 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[24] I3=KEYBOARD.report[25] O=$abc$60421$n792_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010000101000 +.gate SB_LUT4 I0=$abc$60421$n794 I1=$abc$60421$n734 I2=KEYBOARD.report[26] I3=KEYBOARD.report[27] O=$abc$60421$n793_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001001001001000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I1=$abc$60421$n773 I2=$abc$60421$n795 I3=$abc$60421$n601 O=$abc$60421$n794 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n594 I2=$abc$60421$n722 I3=$false O=$abc$60421$n795 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[28] I3=KEYBOARD.report[29] O=$abc$60421$n796 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001001001001000 +.gate SB_LUT4 I0=$abc$60421$n590 I1=$abc$60421$n798 I2=$abc$60421$n585 I3=$abc$60421$n799 O=$abc$60421$n797 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010101011 +.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n767 I2=$false I3=$false O=$abc$60421$n798 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n722 I3=$false O=$abc$60421$n799 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=KEYBOARD.report[30] I3=KEYBOARD.report[31] O=$abc$60421$n800 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000001001000001 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$abc$60421$n714 I3=$false O=$abc$60421$n801 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000100 +.gate SB_LUT4 I0=$abc$60421$n813 I1=$abc$60421$n803 I2=$abc$60421$n807 I3=$abc$60421$n804 O=$auto$dff2dffe.cc:175:make_patterns_logic$53084 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$60421$n783 I1=$abc$60421$n787 I2=$false I3=$false O=$abc$60421$n803 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n717 I1=$abc$60421$n785 I2=$abc$60421$n805 I3=$abc$60421$n756 O=$abc$60421$n804 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000010 +.gate SB_LUT4 I0=$abc$60421$n615 I1=$abc$60421$n614 I2=$abc$60421$n784 I3=$abc$60421$n806 O=$abc$60421$n805 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I1=$abc$60421$n778 I2=$abc$60421$n788 I3=$false O=$abc$60421$n806 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I1=$abc$60421$n801 I2=$abc$60421$n808 I3=$false O=$abc$60421$n807 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$60421$n809 I1=$abc$60421$n810 I2=$abc$60421$n811 I3=$abc$60421$n812 O=$abc$60421$n808 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[32] I3=KEYBOARD.report[33] O=$abc$60421$n809 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010000101000 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I1=$abc$60421$n734 I2=KEYBOARD.report[34] I3=KEYBOARD.report[35] O=$abc$60421$n810 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010000110000100 +.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[36] I3=KEYBOARD.report[37] O=$abc$60421$n811 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001001001001000 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=KEYBOARD.report[38] I3=KEYBOARD.report[39] O=$abc$60421$n812 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000001001000001 +.gate SB_LUT4 I0=$abc$60421$n814 I1=$abc$60421$n815 I2=$false I3=$false O=$abc$60421$n813 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[36] I1=KEYBOARD.report[37] I2=KEYBOARD.report[38] I3=KEYBOARD.report[39] O=$abc$60421$n814 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[32] I1=KEYBOARD.report[33] I2=KEYBOARD.report[34] I3=KEYBOARD.report[35] O=$abc$60421$n815 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n823_1 I1=$abc$60421$n803 I2=$abc$60421$n817 I3=$abc$60421$n804 O=$auto$dff2dffe.cc:175:make_patterns_logic$53393 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$60421$n801 I1=$abc$60421$n818 I2=$false I3=$false O=$abc$60421$n817 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n819 I1=$abc$60421$n820 I2=$abc$60421$n821 I3=$abc$60421$n822 O=$abc$60421$n818 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[40] I3=KEYBOARD.report[41] O=$abc$60421$n819 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010000101000 +.gate SB_LUT4 I0=$abc$60421$n794 I1=$abc$60421$n734 I2=KEYBOARD.report[42] I3=KEYBOARD.report[43] O=$abc$60421$n820 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001001001001000 +.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[44] I3=KEYBOARD.report[45] O=$abc$60421$n821 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001001001001000 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=KEYBOARD.report[46] I3=KEYBOARD.report[47] O=$abc$60421$n822 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000001001000001 +.gate SB_LUT4 I0=$abc$60421$n813 I1=$abc$60421$n824 I2=$false I3=$false O=$abc$60421$n823_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n825 I1=$abc$60421$n826_1 I2=$false I3=$false O=$abc$60421$n824 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[44] I1=KEYBOARD.report[45] I2=KEYBOARD.report[46] I3=KEYBOARD.report[47] O=$abc$60421$n825 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[40] I1=KEYBOARD.report[41] I2=KEYBOARD.report[42] I3=KEYBOARD.report[43] O=$abc$60421$n826_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n829 I1=$abc$60421$n828 I2=$abc$60421$n804 I3=$abc$60421$n835 O=$auto$dff2dffe.cc:175:make_patterns_logic$54691 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I1=$abc$60421$n801 I2=$false I3=$false O=$abc$60421$n828 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n830 I1=$abc$60421$n833_1 I2=$abc$60421$n834 I3=$false O=$abc$60421$n829 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n831 I1=$abc$60421$n744 I2=$abc$60421$n832 I3=KEYBOARD.report[54] O=$abc$60421$n830 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010000010000000 +.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[52] I3=KEYBOARD.report[53] O=$abc$60421$n831 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001001001001000 +.gate SB_LUT4 I0=$abc$60421$n747 I1=$abc$60421$n754 I2=KEYBOARD.report[55] I3=$false O=$abc$60421$n832 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100001 +.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[48] I3=KEYBOARD.report[49] O=$abc$60421$n833_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010000101000 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I1=$abc$60421$n734 I2=KEYBOARD.report[50] I3=KEYBOARD.report[51] O=$abc$60421$n834 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010000110000100 +.gate SB_LUT4 I0=$abc$60421$n787 I1=$abc$60421$n813 I2=$abc$60421$n836 I3=$abc$60421$n783 O=$abc$60421$n835 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011111111111 +.gate SB_LUT4 I0=$abc$60421$n787 I1=$abc$60421$n823_1 I2=$abc$60421$n837 I3=$false O=$abc$60421$n836 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=$abc$60421$n838 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$abc$60421$n778 I3=$false O=$abc$60421$n837 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$60421$n839 I1=$abc$60421$n788 I2=$abc$60421$n813 I3=$false O=$abc$60421$n838 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$abc$60421$n841 I1=$abc$60421$n840 I2=$abc$60421$n824 I3=$false O=$abc$60421$n839 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=KEYBOARD.report[52] I1=KEYBOARD.report[53] I2=KEYBOARD.report[54] I3=KEYBOARD.report[55] O=$abc$60421$n840 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[48] I1=KEYBOARD.report[49] I2=KEYBOARD.report[50] I3=KEYBOARD.report[51] O=$abc$60421$n841 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n848 I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n843_1 I3=RESET O=$auto$dff2dffe.cc:175:make_patterns_logic$56016 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110000011111111 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I1=$abc$60421$n844 I2=$abc$60421$n714 I3=KBD_FREEZE O=$abc$60421$n843_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000010 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$abc$60421$n845 I2=$false I3=$false O=$abc$60421$n844 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n838 I1=$abc$60421$n778 I2=$abc$60421$n846 I3=$abc$60421$n847 O=$abc$60421$n845 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010000000000000 +.gate SB_LUT4 I0=KEYBOARD.report[60] I1=KEYBOARD.report[61] I2=KEYBOARD.report[62] I3=KEYBOARD.report[63] O=$abc$60421$n846 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[56] I1=KEYBOARD.report[57] I2=KEYBOARD.report[58] I3=KEYBOARD.report[59] O=$abc$60421$n847 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$60421$n849 I1=$abc$60421$n850 I2=$abc$60421$n851_1 I3=$abc$60421$n852 O=$abc$60421$n848 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[56] I3=KEYBOARD.report[57] O=$abc$60421$n849 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010000101000 +.gate SB_LUT4 I0=$abc$60421$n794 I1=$abc$60421$n734 I2=KEYBOARD.report[58] I3=KEYBOARD.report[59] O=$abc$60421$n850 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001001001001000 +.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[60] I3=KEYBOARD.report[61] O=$abc$60421$n851_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001001001001000 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=KEYBOARD.report[62] I3=KEYBOARD.report[63] O=$abc$60421$n852 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000001001000001 +.gate SB_LUT4 I0=$abc$60421$n859 I1=$abc$60421$n858 I2=$abc$60421$n854 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$57616 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$60421$n855 I1=$abc$60421$n756 I2=$false I3=$false O=$abc$60421$n854 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n856 I1=$abc$60421$n857_1 I2=$false I3=$false O=$abc$60421$n855 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n581 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$abc$60421$n856 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n555_1 I1=$abc$60421$n582 I2=$abc$60421$n722 I3=$auto$dff2dffe.cc:175:make_patterns_logic$50051 O=$abc$60421$n857_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I1=$abc$60421$n582 I2=$abc$60421$n590 I3=$false O=$abc$60421$n858 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n861 I2=$false I3=$false O=$abc$60421$n859 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n555_1 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$abc$60421$n860 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n582 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$abc$60421$n861 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n590 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=$abc$60421$n863 O=$auto$dff2dffe.cc:175:make_patterns_logic$57696 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101010100000000 +.gate SB_LUT4 I0=$abc$60421$n855 I1=$abc$60421$n861 I2=$abc$60421$n756 I3=$false O=$abc$60421$n863 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=$abc$60421$n590 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n865 O=$auto$dff2dffe.cc:175:make_patterns_logic$57800 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010111100000000 +.gate SB_LUT4 I0=$abc$60421$n590 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n865 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010111100000000 +.gate SB_LUT4 I0=$abc$60421$n727 I1=$abc$60421$n857_1 I2=$abc$60421$n867 I3=$false O=$abc$60421$n866 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n861 I2=$abc$60421$n756 I3=$false O=$abc$60421$n867 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$60421$n574 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$abc$60421$n868 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n590 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n870 O=$auto$dff2dffe.cc:175:make_patterns_logic$57905 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$60421$n590 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n870 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$60421$n872 I1=$abc$60421$n583 I2=$abc$60421$n859 I3=$abc$60421$n854 O=$auto$dff2dffe.cc:175:make_patterns_logic$57988 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$60421$n860 I1=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I2=$false I3=$false O=$abc$60421$n872 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n583 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=$abc$60421$n863 O=$auto$dff2dffe.cc:175:make_patterns_logic$58068 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101010100000000 +.gate SB_LUT4 I0=$abc$60421$n583 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n875 O=$auto$dff2dffe.cc:175:make_patterns_logic$58172 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010111100000000 +.gate SB_LUT4 I0=$abc$60421$n583 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n875 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010111100000000 +.gate SB_LUT4 I0=$abc$60421$n583 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n877 O=$auto$dff2dffe.cc:175:make_patterns_logic$58277 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$60421$n583 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n877 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$60421$n872 I1=$abc$60421$n594 I2=$abc$60421$n859 I3=$abc$60421$n854 O=$auto$dff2dffe.cc:175:make_patterns_logic$58360 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n594 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=$abc$60421$n863 O=$auto$dff2dffe.cc:175:make_patterns_logic$58440 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101010100000000 +.gate SB_LUT4 I0=$abc$60421$n594 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n881_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$58544 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010111100000000 +.gate SB_LUT4 I0=$abc$60421$n594 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n881_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010111100000000 +.gate SB_LUT4 I0=$abc$60421$n594 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n883 O=$auto$dff2dffe.cc:175:make_patterns_logic$58649 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$60421$n594 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n883 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$60421$n872 I1=$abc$60421$n573 I2=$abc$60421$n859 I3=$abc$60421$n854 O=$auto$dff2dffe.cc:175:make_patterns_logic$58732 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n573 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=$abc$60421$n863 O=$auto$dff2dffe.cc:175:make_patterns_logic$58812 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101010100000000 +.gate SB_LUT4 I0=$abc$60421$n573 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n887_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$58916 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010111100000000 +.gate SB_LUT4 I0=$abc$60421$n573 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n887_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010111100000000 +.gate SB_LUT4 I0=$abc$60421$n573 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n889 O=$auto$dff2dffe.cc:175:make_patterns_logic$59021 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$60421$n573 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n889 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=RESET I1=$abc$60421$n891 I2=I2C.wr I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59498 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=I2C_OUTPUT_TYPE[1] I2=I2C_HID_DESC.last_rd_request I3=$false O=$abc$60421$n891 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000110 +.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$979_Y[0] I1=$abc$60421$n895 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59776 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n894_1 I1=$auto$wreduce.cc:310:run$22796[0] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$979_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$60421$n894_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$979_Y[1] I1=$auto$wreduce.cc:310:run$22796[2] I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$60421$n895 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$979_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$60421$n894_1 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$59791 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01111101 +.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$979_Y[2] I1=$abc$60421$n901_1 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59836 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n900 I1=$auto$wreduce.cc:310:run$22795[2] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$979_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$60421$n900 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$979_Y[1] I1=$auto$wreduce.cc:310:run$22795[0] I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$60421$n901_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$979_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$60421$n900 I1=I2C.FLT_SCL.out I2=I2C.SCLF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$59851 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01111101 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:484:replace_alu$22890[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[4] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[5] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[6] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[7] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n913 I1=$abc$60421$n919 I2=$false I3=$false O=$procmux$16791_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n917 I1=$abc$60421$n918 I2=$abc$60421$n914 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n913 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000111110000 +.gate SB_LUT4 I0=$abc$60421$n916 I1=$abc$60421$n915_1 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n914 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[48] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n915_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[0] I1=KEYBOARD.report[32] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n916 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[56] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n917 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010000011000000 +.gate SB_LUT4 I0=KEYBOARD.report[8] I1=KEYBOARD.report[40] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n918 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=$abc$60421$n920 I1=$abc$60421$n923 I2=$auto$alumacc.cc:484:replace_alu$22861[7] I3=$auto$alumacc.cc:484:replace_alu$22839[7] O=$abc$60421$n919 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000010000 +.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n922_1 I2=$false I3=$false O=$abc$60421$n920 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$60421$n921 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n922_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000010 +.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n924 I2=$false I3=$false O=$abc$60421$n923 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n924 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000001000000000 +.gate SB_LUT4 I0=$abc$60421$n920 I1=$abc$60421$n926 I2=$auto$alumacc.cc:484:replace_alu$22839[7] I3=$auto$alumacc.cc:484:replace_alu$22861[7] O=$procmux$16791_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010111000000000 +.gate SB_LUT4 I0=$abc$60421$n930 I1=$abc$60421$n927 I2=$abc$60421$n923 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n926 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=$abc$60421$n929_1 I1=$abc$60421$n928 I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n927 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[1] I1=KEYBOARD.report[17] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n928 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[33] I1=KEYBOARD.report[49] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n929_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$60421$n932 I1=$abc$60421$n931 I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n930 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[9] I1=KEYBOARD.report[25] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n931 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[41] I1=KEYBOARD.report[57] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n932 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n934 I2=$false I3=$false O=$procmux$16791_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n938 I1=$abc$60421$n939 I2=$abc$60421$n935 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n934 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000111110000 +.gate SB_LUT4 I0=$abc$60421$n937 I1=$abc$60421$n936_1 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n935 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[18] I1=KEYBOARD.report[50] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n936_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[2] I1=KEYBOARD.report[34] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n937 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[26] I1=KEYBOARD.report[58] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n938 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010000011000000 +.gate SB_LUT4 I0=KEYBOARD.report[10] I1=KEYBOARD.report[42] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n939 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=$abc$60421$n920 I1=$abc$60421$n941 I2=$auto$alumacc.cc:484:replace_alu$22839[7] I3=$auto$alumacc.cc:484:replace_alu$22861[7] O=$procmux$16791_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010111000000000 +.gate SB_LUT4 I0=$abc$60421$n945 I1=$abc$60421$n942 I2=$abc$60421$n923 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n941 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=$abc$60421$n944 I1=$abc$60421$n943 I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n942 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[3] I1=KEYBOARD.report[19] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n943 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[35] I1=KEYBOARD.report[51] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n944 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$60421$n947 I1=$abc$60421$n946 I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n945 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[11] I1=KEYBOARD.report[27] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n946 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[43] I1=KEYBOARD.report[59] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n947 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n949 I2=$false I3=$false O=$procmux$16791_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n953_1 I1=$abc$60421$n954 I2=$abc$60421$n950 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n949 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000111110000 +.gate SB_LUT4 I0=$abc$60421$n952 I1=$abc$60421$n951 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n950 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[52] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n951 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[4] I1=KEYBOARD.report[36] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n952 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[60] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n953_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010000011000000 +.gate SB_LUT4 I0=KEYBOARD.report[12] I1=KEYBOARD.report[44] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n954 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n956_1 I2=$false I3=$false O=$procmux$16791_Y[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n960 I1=$abc$60421$n961 I2=$abc$60421$n957 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n956_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000111110000 +.gate SB_LUT4 I0=$abc$60421$n959 I1=$abc$60421$n958 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n957 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[21] I1=KEYBOARD.report[53] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n958 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[5] I1=KEYBOARD.report[37] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n959 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[29] I1=KEYBOARD.report[61] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n960 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010000011000000 +.gate SB_LUT4 I0=KEYBOARD.report[13] I1=KEYBOARD.report[45] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n961 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n963 I2=$false I3=$false O=$procmux$16791_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n967 I1=$abc$60421$n968_1 I2=$abc$60421$n964 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n963 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000111110000 +.gate SB_LUT4 I0=$abc$60421$n966 I1=$abc$60421$n965 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n964 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[22] I1=KEYBOARD.report[54] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n965 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[6] I1=KEYBOARD.report[38] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n966 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[30] I1=KEYBOARD.report[62] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n967 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010000011000000 +.gate SB_LUT4 I0=KEYBOARD.report[14] I1=KEYBOARD.report[46] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n968_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n970 I2=$false I3=$false O=$procmux$16791_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n974 I1=$abc$60421$n975 I2=$abc$60421$n971_1 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n970 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000111110000 +.gate SB_LUT4 I0=$abc$60421$n973 I1=$abc$60421$n972 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n971_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[23] I1=KEYBOARD.report[55] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n972 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[7] I1=KEYBOARD.report[39] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n973 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[31] I1=KEYBOARD.report[63] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n974 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010000011000000 +.gate SB_LUT4 I0=KEYBOARD.report[15] I1=KEYBOARD.report[47] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n975 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=$abc$60421$n977_1 I1=$add$top.v:47$12_Y[0] I2=$false I3=$false O=$0\rststate[3:0][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n978 I1=$abc$60421$n982 I2=$abc$60421$n645 I3=$false O=$abc$60421$n977_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n979 I1=$abc$60421$n621 I2=$abc$60421$n662 I3=$abc$60421$n981_1 O=$abc$60421$n978 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n980 I1=$abc$60421$n665_1 I2=$abc$60421$n648 I3=$false O=$abc$60421$n979 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$2\INT[0:0] I1=I2C_INPUT_DATA[3][0] I2=I2C_INPUT_DATA[3][1] I3=I2C.is_read O=$abc$60421$n980 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=$abc$60421$n650 I1=$abc$60421$n668_1 I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$60421$n981_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=$abc$60421$n642 I1=$abc$60421$n646_1 I2=$false I3=$false O=$abc$60421$n982 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n977_1 I1=$add$top.v:47$12_Y[1] I2=$false I3=$false O=$0\rststate[3:0][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n977_1 I1=$add$top.v:47$12_Y[2] I2=$false I3=$false O=$0\rststate[3:0][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n977_1 I1=$add$top.v:47$12_Y[3] I2=$false I3=$false O=$0\rststate[3:0][3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C_INPUT_DATA[8][0] I1=I2C_INPUT_DATA[4][0] I2=$abc$60421$n667_1 I3=$false O=$procmux$18231_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_INPUT_DATA[8][1] I1=I2C_INPUT_DATA[4][1] I2=$abc$60421$n667_1 I3=$false O=$procmux$18231_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_INPUT_DATA[8][2] I1=I2C_INPUT_DATA[4][2] I2=$abc$60421$n667_1 I3=$false O=$procmux$18231_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[0] I3=$false O=$2\I2C_INPUT_LEN[7:0][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=I2C.wr I3=last_wr O=$2\I2C_INPUT_LEN[7:0][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010010000 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[2] I3=$false O=$2\I2C_INPUT_LEN[7:0][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[3] I3=$false O=$2\I2C_INPUT_LEN[7:0][3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[4] I3=$false O=$2\I2C_INPUT_LEN[7:0][4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[5] I3=$false O=$2\I2C_INPUT_LEN[7:0][5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[6] I3=$false O=$2\I2C_INPUT_LEN[7:0][6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[7] I3=$false O=$2\I2C_INPUT_LEN[7:0][7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$60421$n998 I2=I2C.is_read I3=$false O=$procmux$19212_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_TX_REPORT[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$60421$n998 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$60421$n1000 I2=I2C.is_read I3=$false O=$procmux$19212_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_TX_REPORT[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$60421$n1000 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$60421$n1002 I2=I2C.is_read I3=$false O=$procmux$19212_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_TX_REPORT[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$60421$n1002 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$60421$n1004 I2=I2C.is_read I3=$false O=$procmux$19212_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_TX_REPORT[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$60421$n1004 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$60421$n1006 I2=I2C.is_read I3=$false O=$procmux$19212_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_TX_REPORT[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$60421$n1006 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$60421$n1008_1 I2=I2C.is_read I3=$false O=$procmux$19212_Y[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_TX_REPORT[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$60421$n1008_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$60421$n1010 I2=I2C.is_read I3=$false O=$procmux$19212_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_TX_REPORT[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$60421$n1010 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$60421$n1012 I2=I2C.is_read I3=$false O=$procmux$19212_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_TX_REPORT[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$60421$n1012 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$auto$rtlil.cc:1692:NotGate$60252 I2=$abc$60421$n627 I3=$false O=$2\UART_WR[0:0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11111011 +.gate SB_LUT4 I0=$abc$60421$n1015 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$60421$n1020_1 I1=$abc$60421$n1016 I2=I2C_OUTPUT_TYPE[0] I3=$abc$60421$n671_1 O=$abc$60421$n1015 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101010111111100 +.gate SB_LUT4 I0=$abc$60421$n640 I1=$abc$60421$n1017 I2=$abc$60421$n1019 I3=I2C_INPUT_DATA[3][0] O=$abc$60421$n1016 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010000000 +.gate SB_LUT4 I0=$abc$60421$n651 I1=$abc$60421$n1018 I2=$false I3=$false O=$abc$60421$n1017 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n665_1 I1=$abc$60421$n668_1 I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$60421$n1018 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=$abc$60421$n649 I1=I2C_INPUT_DATA[2][5] I2=I2C_INPUT_DATA[2][4] I3=I2C_INPUT_DATA[3][1] O=$abc$60421$n1019 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010000000000000 +.gate SB_LUT4 I0=$abc$60421$n1024 I1=$abc$60421$n1021 I2=I2C_OUTPUT_TYPE[0] I3=$abc$60421$n1023 O=$abc$60421$n1020_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010101011 +.gate SB_LUT4 I0=$abc$60421$n982 I1=$abc$60421$n1022 I2=I2C_INPUT_DATA[0][0] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n1021 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$false I3=$false O=$abc$60421$n1022 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n982 I1=$abc$60421$n1022 I2=I2C_INPUT_DATA[0][0] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n1023 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010000000 +.gate SB_LUT4 I0=$abc$60421$n982 I1=$abc$60421$n1022 I2=I2C_INPUT_DATA[0][0] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n1024 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=$abc$60421$n1026 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$60421$n671_1 I2=$abc$60421$n1027 I3=$abc$60421$n1016 O=$abc$60421$n1026 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$60421$n1028 I2=$abc$60421$n671_1 I3=$abc$60421$n1023 O=$abc$60421$n1027 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010110000 +.gate SB_LUT4 I0=$abc$60421$n1021 I1=$abc$60421$n1024 I2=$false I3=$false O=$abc$60421$n1028 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n1030 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n671_1 I1=$abc$60421$n1031 I2=$abc$60421$n1016 I3=I2C_OUTPUT_TYPE[2] O=$abc$60421$n1030 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$60421$n1028 I1=$abc$60421$n1023 I2=$false I3=$false O=$abc$60421$n1031 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n1026 I1=$abc$60421$n1030 I2=$abc$60421$n1015 I3=$2\INT[0:0] O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010000100000000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.i2c_bit_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.BB[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n1047 I1=$abc$60421$n1044 I2=$abc$60421$n1036 I3=$abc$60421$n1043 O=$techmap\I2C.$procmux$13499_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111111000 +.gate SB_LUT4 I0=$abc$60421$n1042 I1=$abc$60421$n1041 I2=$abc$60421$n690 I3=$abc$60421$n1037 O=$abc$60421$n1036 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101010001010 +.gate SB_LUT4 I0=$abc$60421$n1040 I1=$abc$60421$n1038 I2=$abc$60421$n686 I3=$auto$simplemap.cc:250:simplemap_eqne$49134[4] O=$abc$60421$n1037 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011000001010000 +.gate SB_LUT4 I0=$abc$60421$n1039 I1=$abc$60421$n689 I2=$false I3=$false O=$abc$60421$n1038 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.is_ack I2=$false I3=$false O=$abc$60421$n1039 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$60421$n1040 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n1039 I1=$abc$60421$n1040 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$abc$60421$n686 O=$abc$60421$n1041 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.wr O=$abc$60421$n1042 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101011100000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$60421$n1046 I2=$abc$60421$n1044 I3=$abc$60421$n1045 O=$abc$60421$n1043 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$60421$n1038 I1=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I2=$false I3=$false O=$abc$60421$n1044 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n686 I1=$abc$60421$n690 I2=$false I3=$false O=$abc$60421$n1045 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.SDA_DIR O=$abc$60421$n1046 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101011100000000 +.gate SB_LUT4 I0=$abc$60421$n686 I1=$abc$60421$n690 I2=$auto$alumacc.cc:484:replace_alu$22885[7] I3=$false O=$abc$60421$n1047 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000100 +.gate SB_LUT4 I0=I2C.is_ack I1=$abc$60421$n1049 I2=$abc$60421$n690 I3=$false O=$techmap\I2C.$procmux$13453_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$60421$n1058 I1=$abc$60421$n1050 I2=$abc$60421$n686 I3=$false O=$abc$60421$n1049 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$60421$n1040 I1=$abc$60421$n1039 I2=$abc$60421$n1051 I3=$auto$simplemap.cc:250:simplemap_eqne$49134[4] O=$abc$60421$n1050 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101100110011 +.gate SB_LUT4 I0=$abc$60421$n1056 I1=$abc$60421$n1052 I2=$abc$60421$n1055 I3=$false O=$abc$60421$n1051 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1053_1 I2=$techmap\I2C.$procmux$12628_Y I3=$false O=$abc$60421$n1052 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$60421$n1054 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1053_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$60421$n1054 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n1039 I1=$abc$60421$n1040 I2=$false I3=$false O=$abc$60421$n1055 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n1057 I1=I2C.received_byte[3] I2=I2C.received_byte[5] I3=I2C.received_byte[6] O=$abc$60421$n1056 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[4] I3=I2C.received_byte[7] O=$abc$60421$n1057 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I1=$abc$60421$n1039 I2=$abc$60421$n1040 I3=$false O=$abc$60421$n1058 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$22890[0] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[0] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101011111100 +.gate SB_LUT4 I0=$abc$60421$n1061 I1=$abc$60421$n690 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$false O=$abc$60421$n1060 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111111 +.gate SB_LUT4 I0=$abc$60421$n1055 I1=$abc$60421$n1038 I2=$abc$60421$n686 I3=$false O=$abc$60421$n1061 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[6] I1=$abc$60421$n1063 I2=$false I3=$false O=$abc$60421$n1062 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n1064 I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$60421$n1063 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1064 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n1060 I1=$abc$60421$n1062 I2=$auto$alumacc.cc:484:replace_alu$22890[0] I3=$auto$alumacc.cc:483:replace_alu$22889[1] O=$techmap\I2C.$procmux$13407_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111101010100 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[2] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[2] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101011111100 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[3] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[3] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101011111100 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[4] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[4] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101011111100 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[5] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[5] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101011111100 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[6] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[6] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101011111100 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[7] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[7] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101011111100 +.gate SB_LUT4 I0=$abc$60421$n1074 I1=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I2=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] I3=$abc$60421$n1073 O=$techmap\I2C.$procmux$13315_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$60421$n688 I1=$abc$60421$n1041 I2=$abc$60421$n690 I3=$abc$60421$n1037 O=$abc$60421$n1073 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111101000101 +.gate SB_LUT4 I0=$abc$60421$n1075_1 I1=$abc$60421$n690 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$false O=$abc$60421$n1074 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000100 +.gate SB_LUT4 I0=$abc$60421$n686 I1=I2C.SCL_LAST I2=I2C.FLT_SCL.out I3=$false O=$abc$60421$n1075_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100111 +.gate SB_LUT4 I0=$abc$60421$n1074 I1=$abc$60421$n691 I2=$abc$60421$n1073 I3=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1] O=$techmap\I2C.$procmux$13315_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111001000100010 +.gate SB_LUT4 I0=$abc$60421$n1074 I1=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I2=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2] I3=$abc$60421$n1073 O=$techmap\I2C.$procmux$13315_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$60421$n1074 I1=$auto$simplemap.cc:250:simplemap_eqne$28997[3] I2=$auto$alumacc.cc:470:replace_alu$22832.BB[3] I3=$abc$60421$n1073 O=$techmap\I2C.$procmux$13315_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110111011101 +.gate SB_LUT4 I0=$abc$60421$n686 I1=$abc$60421$n1052 I2=$abc$60421$n1080 I3=$false O=$techmap\I2C.$procmux$13269_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111010 +.gate SB_LUT4 I0=$abc$60421$n1055 I1=$abc$60421$n690 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=I2C.received_byte[0] O=$abc$60421$n1080 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n1083 I1=$abc$60421$n1082 I2=$abc$60421$n686 I3=$abc$60421$n690 O=$techmap\I2C.$procmux$13223_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$60421$n1051 I1=$abc$60421$n1055 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$false O=$abc$60421$n1082 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$60421$n1044 I1=$abc$60421$n1046 I2=I2C.FLT_SDA.out I3=$false O=$abc$60421$n1083 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$abc$60421$n1046 I1=$abc$60421$n1085 I2=$abc$60421$n690 I3=$false O=$techmap\I2C.$procmux$13177_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$60421$n1094 I1=$abc$60421$n1086 I2=$abc$60421$n686 I3=$false O=$abc$60421$n1085 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$60421$n1055 I1=$abc$60421$n1046 I2=$abc$60421$n1087 I3=$auto$simplemap.cc:250:simplemap_eqne$49134[4] O=$abc$60421$n1086 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011101111110000 +.gate SB_LUT4 I0=$abc$60421$n1046 I1=$abc$60421$n1091 I2=$abc$60421$n1088 I3=$abc$60421$n1040 O=$abc$60421$n1087 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111110001010101 +.gate SB_LUT4 I0=$abc$60421$n1090 I1=$abc$60421$n1089_1 I2=$abc$60421$n691 I3=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] O=$abc$60421$n1088 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000110101 +.gate SB_LUT4 I0=$abc$60421$n1006 I1=$abc$60421$n998 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I3=$false O=$abc$60421$n1089_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$60421$n1010 I1=$abc$60421$n1002 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I3=$false O=$abc$60421$n1090 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$60421$n1093 I1=$abc$60421$n1092 I2=$abc$60421$n691 I3=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] O=$abc$60421$n1091 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$60421$n1008_1 I1=$abc$60421$n1000 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I3=$false O=$abc$60421$n1092 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$60421$n1012 I1=$abc$60421$n1004 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I3=$false O=$abc$60421$n1093 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$60421$n1040 I1=$abc$60421$n1051 I2=$abc$60421$n1046 I3=$auto$simplemap.cc:250:simplemap_eqne$49134[4] O=$abc$60421$n1094 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010001100001111 +.gate SB_LUT4 I0=$abc$60421$n1101 I1=$abc$60421$n1096 I2=$auto$alumacc.cc:484:replace_alu$22813[3] I3=$techmap\UART.$sub$uart.v:38$347_Y[0] O=$techmap\UART.$procmux$878_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111110011111010 +.gate SB_LUT4 I0=$abc$60421$n1100 I1=$abc$60421$n1099 I2=$abc$60421$n1097 I3=$false O=$abc$60421$n1096 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.BB[1] I1=$auto$alumacc.cc:470:replace_alu$22811.B_buf[0] I2=$false I3=$false O=$abc$60421$n1097 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.BB[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[1] I2=$techmap\UART.$sub$uart.v:38$347_Y[2] I3=$false O=$abc$60421$n1099 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[3] I2=$techmap\UART.$sub$uart.v:38$347_Y[2] I3=$false O=$abc$60421$n1100 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$60421$n1103 I1=$abc$60421$n1102 I2=$abc$60421$n1097 I3=$false O=$abc$60421$n1101 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[0] I2=$techmap\UART.$sub$uart.v:38$347_Y[2] I3=$false O=$abc$60421$n1102 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[2] I2=$techmap\UART.$sub$uart.v:38$347_Y[2] I3=$false O=$abc$60421$n1103 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$60421$n707 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:30$342_Y[0] I3=$false O=$techmap\UART.$procmux$725_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11111011 +.gate SB_LUT4 I0=UART.tx_activity I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$techmap\UART.$procmux$725_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000010 +.gate SB_LUT4 I0=$abc$60421$n707 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:30$342_Y[2] I3=$false O=$techmap\UART.$procmux$725_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11111011 +.gate SB_LUT4 I0=$abc$60421$n707 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:30$342_Y[3] I3=$false O=$techmap\UART.$procmux$725_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11111011 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$auto$alumacc.cc:470:replace_alu$22821.C[1] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$auto$alumacc.cc:470:replace_alu$22821.AA[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[0] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n614 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[1] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n1118_1 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=$abc$60421$n739 I3=$false O=$abc$60421$n1118_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=KEYBOARD.report[2] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n1120 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=$abc$60421$n739 I3=$false O=$abc$60421$n1120 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.report[3] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n1122 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=$abc$60421$n739 I3=$false O=$abc$60421$n1122 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] I2=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I3=KEYBOARD.report[4] O=$techmap\KEYBOARD.$procmux$3961_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111110000000 +.gate SB_LUT4 I0=KEYBOARD.report[5] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n795 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[6] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n724 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.report[7] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n607 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$60421$n722 I3=$false O=$techmap\KEYBOARD.$procmux$3661_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$60421$n582 I3=$false O=$techmap\KEYBOARD.$procmux$3193_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$60421$n1168_1 I1=$abc$60421$n1130 I2=$abc$60421$n1177 I3=$false O=$techmap\I2C_HID_DESC.$procmux$468_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$60421$n1131 I1=$abc$60421$n1149 I2=$abc$60421$n1159 I3=$abc$60421$n1163 O=$abc$60421$n1130 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n1132 I1=$abc$60421$n1141 I2=$abc$60421$n923 I3=$abc$60421$n1148 O=$abc$60421$n1131 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=$abc$60421$n1133 I1=$abc$60421$n1137 I2=$false I3=$false O=$abc$60421$n1132 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$60421$n1134 I1=$abc$60421$n1136 I2=$false I3=$false O=$abc$60421$n1133 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n1135_1 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$60421$n1134 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[6] I2=I2C.byte_counter[7] I3=$false O=$abc$60421$n1135_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000010 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1136 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000100000 +.gate SB_LUT4 I0=$abc$60421$n1139 I1=$abc$60421$n1140 I2=$abc$60421$n1138 I3=$abc$60421$n1134 O=$abc$60421$n1137 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$60421$n1054 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1138 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n1135_1 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$60421$n1139 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1140 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010000000 +.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1142 I2=$auto$rtlil.cc:1692:NotGate$60416 I3=$abc$60421$n1145 O=$abc$60421$n1141 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$abc$60421$n1143 I1=$abc$60421$n1144 I2=$false I3=$false O=$abc$60421$n1142 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1143 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1144 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110011111111111 +.gate SB_LUT4 I0=$abc$60421$n1147_1 I1=$abc$60421$n1064 I2=$abc$60421$n1146 I3=$false O=$abc$60421$n1145 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$60421$n1146 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000100 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1147_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1147_1 I2=$false I3=$false O=$abc$60421$n1148 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n1158 I1=$abc$60421$n1134 I2=$abc$60421$n1150 I3=$abc$60421$n1154 O=$abc$60421$n1149 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$60421$n1152 I1=$abc$60421$n1146 I2=$abc$60421$n1151 I3=$abc$60421$n1153 O=$abc$60421$n1150 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000001110111 +.gate SB_LUT4 I0=$abc$60421$n1139 I1=$abc$60421$n921 I2=$false I3=$false O=$abc$60421$n1151 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n1054 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1152 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1153 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=$abc$60421$n1157 I1=$abc$60421$n1134 I2=$abc$60421$n1155 I3=$false O=$abc$60421$n1154 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$60421$n1156 I3=$false O=$abc$60421$n1155 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$60421$n921 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1156 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1157 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011110011111111 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1158 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000010000000000 +.gate SB_LUT4 I0=$abc$60421$n1160 I1=$abc$60421$n1161_1 I2=$false I3=$false O=$abc$60421$n1159 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1136 I2=$abc$60421$n1151 I3=$abc$60421$n1138 O=$abc$60421$n1160 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000001110111 +.gate SB_LUT4 I0=$abc$60421$n1147_1 I1=$abc$60421$n1162 I2=$abc$60421$n1139 I3=$false O=$abc$60421$n1161_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1162 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0010000000000000 +.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1167 I2=$abc$60421$n1140 I3=$abc$60421$n1164 O=$abc$60421$n1163 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101011100000000 +.gate SB_LUT4 I0=$abc$60421$n1165 I1=$abc$60421$n1166 I2=$false I3=$false O=$abc$60421$n1164 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1153 I2=$abc$60421$n1053_1 I3=$abc$60421$n1139 O=$abc$60421$n1165 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$60421$n1152 I1=$abc$60421$n1167 I2=$abc$60421$n1140 I3=$abc$60421$n1134 O=$abc$60421$n1166 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000111111111 +.gate SB_LUT4 I0=$abc$60421$n1054 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1167 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001000 +.gate SB_LUT4 I0=$abc$60421$n1169 I1=$abc$60421$n1173 I2=$abc$60421$n1174 I3=$abc$60421$n1175 O=$abc$60421$n1168_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n1152 I1=$abc$60421$n1139 I2=$abc$60421$n1172 I3=$abc$60421$n1170 O=$abc$60421$n1169 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1138 I2=$abc$60421$n1053_1 I3=$abc$60421$n1171 O=$abc$60421$n1170 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001010111 +.gate SB_LUT4 I0=$abc$60421$n1153 I1=I2C.byte_counter[5] I2=$abc$60421$n922_1 I3=$abc$60421$n1135_1 O=$abc$60421$n1171 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111001000000000 +.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1140 I2=$false I3=$false O=$abc$60421$n1172 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n920 I1=$abc$60421$n1167 I2=$abc$60421$n1158 I3=$abc$60421$n1151 O=$abc$60421$n1173 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=$abc$60421$n1139 I1=$abc$60421$n1136 I2=$abc$60421$n1053_1 I3=$abc$60421$n1134 O=$abc$60421$n1174 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$60421$n1176 I1=$abc$60421$n1146 I2=$abc$60421$n1064 I3=$abc$60421$n1134 O=$abc$60421$n1175 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$60421$n922_1 I1=$abc$60421$n1162 I2=$false I3=$false O=$abc$60421$n1176 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$60421$n1182_1 I1=$abc$60421$n1134 I2=$abc$60421$n1178 I3=$abc$60421$n1181 O=$abc$60421$n1177 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=$abc$60421$n1179 I1=$abc$60421$n1180 I2=$auto$rtlil.cc:1692:NotGate$60416 I3=$false O=$abc$60421$n1178 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$60421$n1156 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$false O=$abc$60421$n1179 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00100000 +.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1136 I2=$false I3=$false O=$abc$60421$n1180 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1153 I2=$abc$60421$n1053_1 I3=$abc$60421$n1134 O=$abc$60421$n1181 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=I2C.byte_counter[0] I3=I2C.byte_counter[3] O=$abc$60421$n1182_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100111011110101 +.gate SB_LUT4 I0=$abc$60421$n1186 I1=$abc$60421$n1184 I2=$abc$60421$n1181 I3=$abc$60421$n1190 O=$techmap\I2C_HID_DESC.$procmux$468_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001010101010101 +.gate SB_LUT4 I0=$abc$60421$n1152 I1=$abc$60421$n1134 I2=$abc$60421$n1185 I3=$false O=$abc$60421$n1184 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$60421$n1133 I1=$abc$60421$n920 I2=$abc$60421$n1180 I3=$false O=$abc$60421$n1185 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$60421$n1187 I1=$abc$60421$n1188 I2=$abc$60421$n1189 I3=$abc$60421$n1148 O=$abc$60421$n1186 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010000000 +.gate SB_LUT4 I0=$abc$60421$n1143 I1=$abc$60421$n1139 I2=$abc$60421$n1179 I3=$false O=$abc$60421$n1187 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$60421$n1158 I1=$abc$60421$n1151 I2=$auto$rtlil.cc:1692:NotGate$60416 I3=$abc$60421$n1145 O=$abc$60421$n1188 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1158 I2=$abc$60421$n1162 I3=$abc$60421$n1134 O=$abc$60421$n1189 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1167 I2=$abc$60421$n1138 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$abc$60421$n1190 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101011100000000 +.gate SB_LUT4 I0=$abc$60421$n1194_1 I1=$abc$60421$n1184 I2=$abc$60421$n1192 I3=$false O=$techmap\I2C_HID_DESC.$procmux$468_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$60421$n1168_1 I1=$abc$60421$n1193 I2=$abc$60421$n1164 I3=$false O=$abc$60421$n1192 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n1187 I1=$abc$60421$n1161_1 I2=$abc$60421$n1148 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$abc$60421$n1193 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000010 +.gate SB_LUT4 I0=$abc$60421$n1176 I1=$abc$60421$n1134 I2=$abc$60421$n1172 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$abc$60421$n1194_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$60421$n1185 I1=$abc$60421$n1200 I2=$abc$60421$n1196 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$techmap\I2C_HID_DESC.$procmux$468_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101110100001111 +.gate SB_LUT4 I0=$abc$60421$n1197 I1=$abc$60421$n1150 I2=$abc$60421$n1160 I3=$false O=$abc$60421$n1196 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1152 I2=$abc$60421$n1198 I3=$false O=$abc$60421$n1197 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$60421$n1144 I1=$abc$60421$n1134 I2=$abc$60421$n1199 I3=$false O=$abc$60421$n1198 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1139 I2=$abc$60421$n924 I3=$false O=$abc$60421$n1199 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$60421$n1138 I1=$abc$60421$n921 I2=$false I3=$false O=$abc$60421$n1200 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n1185 I1=$abc$60421$n1202 I2=$auto$rtlil.cc:1692:NotGate$60416 I3=$false O=$techmap\I2C_HID_DESC.$procmux$468_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$60421$n1163 I1=$abc$60421$n1169 I2=$abc$60421$n1150 I3=$false O=$abc$60421$n1202 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60416 I1=$abc$60421$n1205_1 I2=$abc$60421$n1204 I3=$abc$60421$n1180 O=$techmap\I2C_HID_DESC.$procmux$468_Y[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100010101 +.gate SB_LUT4 I0=$abc$60421$n1159 I1=$abc$60421$n1170 I2=$abc$60421$n1174 I3=$false O=$abc$60421$n1204 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n1147_1 I1=$abc$60421$n1206 I2=$abc$60421$n921 I3=$false O=$abc$60421$n1205_1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1206 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=$abc$60421$n1133 I1=$abc$60421$n1208 I2=$abc$60421$n1180 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$techmap\I2C_HID_DESC.$procmux$468_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010101011110011 +.gate SB_LUT4 I0=$abc$60421$n1163 I1=$abc$60421$n1132 I2=$abc$60421$n1209 I3=$false O=$abc$60421$n1208 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n1210 I1=$abc$60421$n1053_1 I2=$abc$60421$n1205_1 I3=$false O=$abc$60421$n1209 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$60421$n1210 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000010000 +.gate SB_LUT4 I0=$abc$60421$n894_1 I1=$auto$wreduce.cc:310:run$22796[2] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$979_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$60421$n900 I1=$auto$wreduce.cc:310:run$22795[0] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$979_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$60421$n1170 I1=$abc$60421$n1161_1 I2=$abc$60421$n1209 I3=$false O=$techmap$techmap\I2C_HID_DESC.$procmux$364.$ternary$/usr/bin/../share/yosys/techmap.v:445$40329_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11011111 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$auto$simplemap.cc:309:simplemap_lut$44580[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1217 I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] O=$memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=$abc$60421$n617 I1=$abc$60421$n1200 I2=I2C.is_read I3=$auto$alumacc.cc:484:replace_alu$22850[7] O=$abc$60421$n1216 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000001000000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] I2=$false I3=$false O=$abc$60421$n1217 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0010 +.gate SB_LUT4 I0=$abc$60421$n1219 I1=I2C.byte_counter[1] I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$44949 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$60421$n1216 I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] O=$abc$60421$n1219 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010000010 +.gate SB_LUT4 I0=$abc$60421$n1219 I1=$abc$60421$n1217 I2=$false I3=$false O=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1222 I2=I2C.byte_counter[1] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] O=$auto$simplemap.cc:250:simplemap_eqne$49500 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] I3=$false O=$abc$60421$n1222 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000110 +.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1222 I2=I2C.byte_counter[1] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] O=$auto$dff2dffe.cc:158:make_patterns_logic$49819 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1222 I2=I2C.byte_counter[1] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] O=$auto$dff2dffe.cc:158:make_patterns_logic$44967 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1217 I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] O=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001000 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$eq$top.v:152$130_Y +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=RESET I1=$false I2=$false I3=$false O=$0\KBD_FREEZE[0:0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$60420 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$sub$top.v:74$27_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22837.BB[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22832.BB[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.B_buf[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:262$28020_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.C[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.BB[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.B_buf[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.B_buf[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.C[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.BB[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.BB[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:484:replace_alu$22885[1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.B_buf[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.BB[2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.B_buf[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.BB[3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=INT I1=$false I2=$false I3=$false O=LED1 +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22811.C[1] CO=$auto$alumacc.cc:470:replace_alu$22811.C[2] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22811.BB[1] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22811.C[2] CO=$auto$alumacc.cc:470:replace_alu$22811.C[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22811.BB[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22811.C[3] CO=$auto$alumacc.cc:484:replace_alu$22813[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22811.BB[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] CO=$auto$alumacc.cc:470:replace_alu$22816.C[5] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22816.C[5] CO=$auto$alumacc.cc:470:replace_alu$22816.C[6] I0=$auto$alumacc.cc:470:replace_alu$22821.AA[5] I1=$false +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22816.C[6] CO=$auto$alumacc.cc:470:replace_alu$22816.C[7] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I1=$false +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22816.C[7] CO=$auto$alumacc.cc:484:replace_alu$22818[7] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$false +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[1] CO=$auto$alumacc.cc:470:replace_alu$22821.C[2] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] I1=$false +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[2] CO=$auto$alumacc.cc:470:replace_alu$22821.C[3] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I1=$false +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[3] CO=$auto$alumacc.cc:470:replace_alu$22821.C[4] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] I1=$false +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[4] CO=$auto$alumacc.cc:470:replace_alu$22821.C[5] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I1=$false +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[5] CO=$auto$alumacc.cc:470:replace_alu$22821.C[6] I0=$auto$alumacc.cc:470:replace_alu$22821.AA[5] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[6] CO=$auto$alumacc.cc:470:replace_alu$22821.C[7] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I1=$false +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[7] CO=$auto$alumacc.cc:484:replace_alu$22823[7] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$false +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22832.C[1] CO=$auto$alumacc.cc:470:replace_alu$22832.C[2] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.BB[1] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22832.C[2] CO=$auto$alumacc.cc:470:replace_alu$22832.C[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.BB[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22832.C[3] CO=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.BB[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$22849[0] CO=$auto$alumacc.cc:470:replace_alu$22837.C[2] I0=$true I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[1] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[2] CO=$auto$alumacc.cc:470:replace_alu$22837.C[3] I0=$false I1=$sub$top.v:74$27_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[3] CO=$auto$alumacc.cc:470:replace_alu$22837.C[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22837.BB[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[4] CO=$auto$alumacc.cc:470:replace_alu$22837.C[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[5] CO=$auto$alumacc.cc:470:replace_alu$22837.C[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[6] CO=$auto$alumacc.cc:470:replace_alu$22837.C[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[7] CO=$auto$alumacc.cc:484:replace_alu$22839[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[7] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$22849[0] CO=$auto$alumacc.cc:484:replace_alu$22850[1] I0=$false I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[1] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[1] CO=$auto$alumacc.cc:484:replace_alu$22850[2] I0=$true I1=$sub$top.v:74$27_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[2] CO=$auto$alumacc.cc:484:replace_alu$22850[3] I0=$true I1=$auto$alumacc.cc:470:replace_alu$22837.BB[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[3] CO=$auto$alumacc.cc:484:replace_alu$22850[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[4] CO=$auto$alumacc.cc:484:replace_alu$22850[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[5] CO=$auto$alumacc.cc:484:replace_alu$22850[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[6] CO=$auto$alumacc.cc:484:replace_alu$22850[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[7] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$sub$top.v:74$27_Y[2] CO=$auto$alumacc.cc:484:replace_alu$22861[3] I0=$true I1=$auto$alumacc.cc:470:replace_alu$22837.BB[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22861[3] CO=$auto$alumacc.cc:484:replace_alu$22861[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22861[4] CO=$auto$alumacc.cc:484:replace_alu$22861[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22861[5] CO=$auto$alumacc.cc:484:replace_alu$22861[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22861[6] CO=$auto$alumacc.cc:484:replace_alu$22861[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[7] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$22867[1] CO=$auto$alumacc.cc:484:replace_alu$22868[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[2] CO=$auto$alumacc.cc:484:replace_alu$22868[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[3] CO=$auto$alumacc.cc:484:replace_alu$22868[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[4] CO=$auto$alumacc.cc:484:replace_alu$22868[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[5] CO=$auto$alumacc.cc:484:replace_alu$22868[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[6] CO=$auto$alumacc.cc:484:replace_alu$22868[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[7] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$0\KBD_FREEZE[0:0] I2=rststate[0] I3=$false O=$add$top.v:47$12_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:484:replace_alu$22873[0] I0=$0\KBD_FREEZE[0:0] I1=rststate[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:484:replace_alu$22873[0] O=$add$top.v:47$12_Y[1] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22873[0] CO=$auto$alumacc.cc:484:replace_alu$22873[1] I0=$false I1=rststate[1] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:484:replace_alu$22873[1] O=$add$top.v:47$12_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22873[1] CO=$auto$alumacc.cc:484:replace_alu$22873[2] I0=$false I1=rststate[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:484:replace_alu$22873[2] O=$add$top.v:47$12_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$sub$top.v:60$17_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:484:replace_alu$22876[1] I0=I2C.byte_counter[1] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[1] O=$sub$top.v:60$17_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[1] CO=$auto$alumacc.cc:484:replace_alu$22876[2] I0=I2C.byte_counter[2] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[2] O=$sub$top.v:60$17_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[2] CO=$auto$alumacc.cc:484:replace_alu$22876[3] I0=I2C.byte_counter[3] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[3] O=$sub$top.v:60$17_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[3] CO=$auto$alumacc.cc:484:replace_alu$22876[4] I0=I2C.byte_counter[4] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[4] O=$sub$top.v:60$17_Y[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[4] CO=$auto$alumacc.cc:484:replace_alu$22876[5] I0=I2C.byte_counter[5] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[5] O=$sub$top.v:60$17_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[5] CO=$auto$alumacc.cc:484:replace_alu$22876[6] I0=I2C.byte_counter[6] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[6] O=$sub$top.v:60$17_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.byte_counter[1] CO=$auto$alumacc.cc:484:replace_alu$22879[2] I0=I2C.byte_counter[2] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22879[2] O=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$sub$top.v:74$27_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[1] CO=$auto$alumacc.cc:484:replace_alu$22885[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[2] CO=$auto$alumacc.cc:484:replace_alu$22885[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[3] CO=$auto$alumacc.cc:484:replace_alu$22885[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[4] CO=$auto$alumacc.cc:484:replace_alu$22885[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[5] CO=$auto$alumacc.cc:484:replace_alu$22885[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[6] CO=$auto$alumacc.cc:484:replace_alu$22885[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[7] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=$auto$alumacc.cc:484:replace_alu$22890[0] I3=$false O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[0] CO=$auto$alumacc.cc:484:replace_alu$22890[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[1] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[2] I3=$auto$alumacc.cc:484:replace_alu$22890[1] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[1] CO=$auto$alumacc.cc:484:replace_alu$22890[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[3] I3=$auto$alumacc.cc:484:replace_alu$22890[2] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[2] CO=$auto$alumacc.cc:484:replace_alu$22890[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[4] I3=$auto$alumacc.cc:484:replace_alu$22890[3] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[3] CO=$auto$alumacc.cc:484:replace_alu$22890[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[5] I3=$auto$alumacc.cc:484:replace_alu$22890[4] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[4] CO=$auto$alumacc.cc:484:replace_alu$22890[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[6] I3=$auto$alumacc.cc:484:replace_alu$22890[5] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[5] CO=$auto$alumacc.cc:484:replace_alu$22890[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[7] I3=$auto$alumacc.cc:484:replace_alu$22890[6] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] I2=$false I3=$true O=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] CO=$auto$alumacc.cc:484:replace_alu$22893[1] I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22893[1] O=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22893[1] CO=$auto$alumacc.cc:484:replace_alu$22893[2] I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.B_buf[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22893[2] O=$auto$simplemap.cc:250:simplemap_eqne$28997[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22893[2] CO=$auto$alumacc.cc:484:replace_alu$22893[30] I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[3] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$auto$alumacc.cc:484:replace_alu$22893[30] O=$auto$simplemap.cc:250:simplemap_eqne$28997[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$22795[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:484:replace_alu$22896[1] I0=I2C.FLT_SCL.counter[1] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22896[1] O=$auto$wreduce.cc:310:run$22795[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$22796[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:484:replace_alu$22899[1] I0=I2C.FLT_SDA.counter[1] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22899[1] O=$auto$wreduce.cc:310:run$22796[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:484:replace_alu$22905[9] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[10] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[9] CO=$auto$alumacc.cc:484:replace_alu$22905[10] I0=$false I1=KEYBOARD.row_time[10] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:484:replace_alu$22905[10] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[11] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[10] CO=$auto$alumacc.cc:484:replace_alu$22905[11] I0=$false I1=KEYBOARD.row_time[11] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:484:replace_alu$22905[11] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[12] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[11] CO=$auto$alumacc.cc:484:replace_alu$22905[12] I0=$false I1=KEYBOARD.row_time[12] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:484:replace_alu$22905[12] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[13] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[12] CO=$auto$alumacc.cc:484:replace_alu$22905[13] I0=$false I1=KEYBOARD.row_time[13] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:484:replace_alu$22905[13] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[14] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[13] CO=$auto$alumacc.cc:484:replace_alu$22905[14] I0=$false I1=KEYBOARD.row_time[14] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:484:replace_alu$22905[14] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[15] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:484:replace_alu$22905[1] I0=$false I1=KEYBOARD.row_time[1] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:484:replace_alu$22905[1] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[1] CO=$auto$alumacc.cc:484:replace_alu$22905[2] I0=$false I1=KEYBOARD.row_time[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:484:replace_alu$22905[2] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[2] CO=$auto$alumacc.cc:484:replace_alu$22905[3] I0=$false I1=KEYBOARD.row_time[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:484:replace_alu$22905[3] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[3] CO=$auto$alumacc.cc:484:replace_alu$22905[4] I0=$false I1=KEYBOARD.row_time[4] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:484:replace_alu$22905[4] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[4] CO=$auto$alumacc.cc:484:replace_alu$22905[5] I0=$false I1=KEYBOARD.row_time[5] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:484:replace_alu$22905[5] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[5] CO=$auto$alumacc.cc:484:replace_alu$22905[6] I0=$false I1=KEYBOARD.row_time[6] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:484:replace_alu$22905[6] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[7] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[6] CO=$auto$alumacc.cc:484:replace_alu$22905[7] I0=$false I1=KEYBOARD.row_time[7] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:484:replace_alu$22905[7] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[8] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[7] CO=$auto$alumacc.cc:484:replace_alu$22905[8] I0=$false I1=KEYBOARD.row_time[8] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:484:replace_alu$22905[8] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[9] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[8] CO=$auto$alumacc.cc:484:replace_alu$22905[9] I0=$false I1=KEYBOARD.row_time[9] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$33820[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$33831[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$33860[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$techmap\UART.$sub$uart.v:30$342_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:484:replace_alu$22917[1] I0=UART.tx_clk_counter[1] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22917[1] O=$techmap\UART.$sub$uart.v:30$342_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22917[1] CO=$auto$alumacc.cc:484:replace_alu$22917[2] I0=UART.tx_clk_counter[2] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22917[2] O=$techmap\UART.$sub$uart.v:30$342_Y[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$auto$alumacc.cc:470:replace_alu$22811.B_buf[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:484:replace_alu$22920[1] I0=UART.tx_bit_counter[1] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22920[1] O=$auto$alumacc.cc:470:replace_alu$22811.B_buf[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22920[1] CO=$auto$alumacc.cc:484:replace_alu$22920[2] I0=UART.tx_bit_counter[2] I1=$true +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22920[2] O=$auto$alumacc.cc:470:replace_alu$22811.B_buf[3] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:470:replace_alu$22811.C[1] I3=$true O=$techmap\UART.$sub$uart.v:38$347_Y[0] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22811.C[1] CO=$auto$alumacc.cc:484:replace_alu$22923[1] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22811.BB[1] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:470:replace_alu$22811.BB[2] I3=$auto$alumacc.cc:484:replace_alu$22923[1] O=$techmap\UART.$sub$uart.v:38$347_Y[2] +.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$16791_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[0] R=$auto$simplemap.cc:256:simplemap_eqne$23468 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$16791_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[1] R=$auto$simplemap.cc:256:simplemap_eqne$23468 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$16791_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[2] R=$auto$simplemap.cc:256:simplemap_eqne$23468 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$16791_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[3] R=$auto$simplemap.cc:256:simplemap_eqne$23468 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$16791_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[4] R=$auto$simplemap.cc:256:simplemap_eqne$23468 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$16791_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[5] R=$auto$simplemap.cc:256:simplemap_eqne$23468 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$16791_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[6] R=$auto$simplemap.cc:256:simplemap_eqne$23468 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$16791_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[7] R=$auto$simplemap.cc:256:simplemap_eqne$23468 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$auto$simplemap.cc:127:simplemap_reduce$45588[1] Q=UART_WR R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$19212_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[0] S=$auto$rtlil.cc:1692:NotGate$60252 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$19212_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[1] S=$auto$rtlil.cc:1692:NotGate$60252 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$19212_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[2] S=$auto$rtlil.cc:1692:NotGate$60252 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$19212_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[3] S=$auto$rtlil.cc:1692:NotGate$60252 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$19212_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[4] S=$auto$rtlil.cc:1692:NotGate$60252 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$19212_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[5] S=$auto$rtlil.cc:1692:NotGate$60252 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$19212_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[6] S=$auto$rtlil.cc:1692:NotGate$60252 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$procmux$19212_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[7] S=$auto$rtlil.cc:1692:NotGate$60252 +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\INT[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$45905 Q=INT R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_FREEZE[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$46096 Q=KBD_FREEZE +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[0] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[1] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[2] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[3] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[4] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[5] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[6] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[7] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUTPUT_TYPE[0] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUTPUT_TYPE[1] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUTPUT_TYPE[2] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[0] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[1] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[2] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[3] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[4] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[5] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[6] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[7] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$18231_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$48550 Q=LED2 R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$18231_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$48550 Q=LED3 R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$procmux$18231_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$48550 Q=LED4 R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.wr E=RESET Q=last_wr +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C_TRANS E=RESET Q=last_trans +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART.tx_activity E=RESET Q=last_uart_active +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=KEYBOARD.isr E=RESET Q=last_isr +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$48902 Q=uart_double_ff +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] +.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF +.attr src "i2c_slave.v:47|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF +.attr src "i2c_slave.v:47|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13499_Y Q=I2C.wr +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13453_Y Q=I2C.is_ack +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[0] Q=I2C.byte_counter[0] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[1] Q=I2C.byte_counter[1] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[2] Q=I2C.byte_counter[2] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[3] Q=I2C.byte_counter[3] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[4] Q=I2C.byte_counter[4] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[5] Q=I2C.byte_counter[5] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[6] Q=I2C.byte_counter[6] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[7] Q=I2C.byte_counter[7] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$48969 Q=I2C.received_byte[0] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49036 Q=I2C.received_byte[1] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49103 Q=I2C.received_byte[2] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49170 Q=I2C.received_byte[3] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49237 Q=I2C.received_byte[4] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49304 Q=I2C.received_byte[5] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49371 Q=I2C.received_byte[6] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49438 Q=I2C.received_byte[7] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13315_Y[0] Q=I2C.i2c_bit_counter[0] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13315_Y[1] Q=I2C.i2c_bit_counter[1] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13315_Y[2] Q=I2C.i2c_bit_counter[2] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13315_Y[3] Q=I2C.i2c_bit_counter[3] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13269_Y Q=I2C.is_read +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$techmap\I2C.$procmux$12628_Y E=$auto$dff2dffe.cc:158:make_patterns_logic$49447 Q=I2C.i2c_start_latency +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=I2C.FLT_SDA.out Q=I2C.SDA_LAST +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=I2C.FLT_SCL.out Q=I2C.SCL_LAST +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13177_Y Q=I2C.SDA_DIR +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\UART.$procmux$739_Y E=RESET Q=UART.tx_activity +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$878_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_line R=$eq$top.v:152$130_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$725_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$49597 Q=UART.tx_clk_counter[0] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$725_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$49597 Q=UART.tx_clk_counter[1] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$725_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$49597 Q=UART.tx_clk_counter[2] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$725_Y[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$49597 Q=UART.tx_clk_counter[3] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$22811.B_buf[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_bit_counter[0] S=$eq$top.v:152$130_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$22811.B_buf[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_bit_counter[1] R=$eq$top.v:152$130_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$22811.B_buf[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_bit_counter[2] R=$eq$top.v:152$130_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$22811.B_buf[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_bit_counter[3] S=$eq$top.v:152$130_Y +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_WR E=RESET Q=UART.TX_sig_last +.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 Q=I2C_INPUT_DATA[8][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 Q=I2C_INPUT_DATA[8][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 Q=I2C_INPUT_DATA[8][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$53186[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.is_pressed +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] +.attr src "matrix_kbd.v:28|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] +.attr src "matrix_kbd.v:28|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] +.attr src "matrix_kbd.v:28|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] +.attr src "matrix_kbd.v:28|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50030 Q=KEYBOARD.ROWS_EN[0] R=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:262$28020_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50030 Q=KEYBOARD.ROWS_EN[1] R=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50030 Q=KEYBOARD.ROWS_EN[2] R=$auto$rtlil.cc:1692:NotGate$60420 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:262$28020_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50030 Q=KEYBOARD.ROWS_EN[3] R=$auto$rtlil.cc:1692:NotGate$60420 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$53051[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.isr +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[0] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[1] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[2] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[3] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[4] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[5] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[6] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[7] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[8] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[9] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[10] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[11] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[12] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[13] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[14] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[15] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[16] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[17] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[18] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[19] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[20] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[21] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[22] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[23] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[24] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[25] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[26] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[27] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[28] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[29] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[30] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[31] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[32] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[33] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[34] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[35] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[36] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[37] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[38] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[39] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[40] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[41] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[42] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[43] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[44] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[45] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[46] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[47] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[48] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[49] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[50] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[51] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[52] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[53] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[54] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[55] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[56] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[57] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[58] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[59] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[60] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[61] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[62] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[63] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3193_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$57616 Q=KEYBOARD.last_data[0] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$57696 Q=KEYBOARD.last_data[1] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$57800 Q=KEYBOARD.last_data[2] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$57905 Q=KEYBOARD.last_data[3] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3193_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$57988 Q=KEYBOARD.last_data[4] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$58068 Q=KEYBOARD.last_data[5] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58172 Q=KEYBOARD.last_data[6] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58277 Q=KEYBOARD.last_data[7] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3193_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58360 Q=KEYBOARD.last_data[8] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$58440 Q=KEYBOARD.last_data[9] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58544 Q=KEYBOARD.last_data[10] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58649 Q=KEYBOARD.last_data[11] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3193_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58732 Q=KEYBOARD.last_data[12] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$58812 Q=KEYBOARD.last_data[13] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58916 Q=KEYBOARD.last_data[14] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$59021 Q=KEYBOARD.last_data[15] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$33874[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_counter[0] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$auto$simplemap.cc:309:simplemap_lut$44816 E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_counter[1] +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[0] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$simplemap.cc:309:simplemap_lut$44580[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[1] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[2] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[3] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[4] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[5] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[6] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[7] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[8] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[9] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[10] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[11] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[12] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[13] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[14] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[15] R=$auto$rtlil.cc:1692:NotGate$60414 +.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][0] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][1] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][2] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][3] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][4] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][5] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][6] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][7] +.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[0] +.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[1] +.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[2] +.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[3] +.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[4] +.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[5] +.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$techmap$techmap\I2C_HID_DESC.$procmux$364.$ternary$/usr/bin/../share/yosys/techmap.v:445$40329_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[6] R=$auto$rtlil.cc:1692:NotGate$60416 +.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[7] +.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$0\KBD_FREEZE[0:0] +.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFR C=CLK D=$techmap\I2C.$procmux$13223_Y Q=I2C.i2c_state_machine R=$0\KBD_FREEZE[0:0] +.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:12" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$auto$dff2dffe.cc:175:make_patterns_logic$59776 Q=I2C.FLT_SDA.out S=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$979_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$59791 Q=I2C.FLT_SDA.counter[0] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$979_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$59791 Q=I2C.FLT_SDA.counter[1] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$979_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$59791 Q=I2C.FLT_SDA.counter[2] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFES C=CLK D=I2C.SCLF E=$auto$dff2dffe.cc:175:make_patterns_logic$59836 Q=I2C.FLT_SCL.out S=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$979_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$59851 Q=I2C.FLT_SCL.counter[0] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$979_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$59851 Q=I2C.FLT_SCL.counter[1] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$979_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$59851 Q=I2C.FLT_SCL.counter[2] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA +.attr src "i2c_slave.v:177" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.names INT COM_DCD +1 1 +.names KBD_FREEZE COM_DSR +1 1 +.names I2C.is_read COM_RTS +1 1 +.names UART.tx_line COM_TX +1 1 +.names CLK I2C.CLK +1 1 +.names I2C.byte_counter[0] I2C.COUNTER[0] +1 1 +.names I2C.byte_counter[1] I2C.COUNTER[1] +1 1 +.names I2C.byte_counter[2] I2C.COUNTER[2] +1 1 +.names I2C.byte_counter[3] I2C.COUNTER[3] +1 1 +.names I2C.byte_counter[4] I2C.COUNTER[4] +1 1 +.names I2C.byte_counter[5] I2C.COUNTER[5] +1 1 +.names I2C.byte_counter[6] I2C.COUNTER[6] +1 1 +.names I2C.byte_counter[7] I2C.COUNTER[7] +1 1 +.names CLK I2C.FLT_SCL.CLK +1 1 +.names I2C.SCLF I2C.FLT_SCL.IN +1 1 +.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT +1 1 +.names RESET I2C.FLT_SCL.RESET +1 1 +.names CLK I2C.FLT_SDA.CLK +1 1 +.names I2C.SDAF I2C.FLT_SDA.IN +1 1 +.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT +1 1 +.names RESET I2C.FLT_SDA.RESET +1 1 +.names I2C.is_ack I2C.IS_ACK +1 1 +.names I2C.is_read I2C.IS_READ +1 1 +.names I2C_TRANS I2C.IS_TRANSMISSION +1 1 +.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0] +1 1 +.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1] +1 1 +.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2] +1 1 +.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3] +1 1 +.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4] +1 1 +.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5] +1 1 +.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6] +1 1 +.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] +1 1 +.names RESET I2C.RESET +1 1 +.names SCL I2C.SCL +1 1 +.names I2C.FLT_SCL.out I2C.SCLD +1 1 +.names SDA I2C.SDA +1 1 +.names I2C.FLT_SDA.out I2C.SDAD +1 1 +.names I2C.wr I2C.WR +1 1 +.names I2C.is_ack I2C_ACK +1 1 +.names I2C.byte_counter[0] I2C_COUNTER[0] +1 1 +.names I2C.byte_counter[1] I2C_COUNTER[1] +1 1 +.names I2C.byte_counter[2] I2C_COUNTER[2] +1 1 +.names I2C.byte_counter[3] I2C_COUNTER[3] +1 1 +.names I2C.byte_counter[4] I2C_COUNTER[4] +1 1 +.names I2C.byte_counter[5] I2C_COUNTER[5] +1 1 +.names I2C.byte_counter[6] I2C_COUNTER[6] +1 1 +.names I2C.byte_counter[7] I2C_COUNTER[7] +1 1 +.names $false I2C_COUNTER[8] +1 1 +.names $false I2C_COUNTER[9] +1 1 +.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0] +1 1 +.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1] +1 1 +.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2] +1 1 +.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3] +1 1 +.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4] +1 1 +.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5] +1 1 +.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6] +1 1 +.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7] +1 1 +.names CLK I2C_HID_DESC.CLK +1 1 +.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0] +1 1 +.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1] +1 1 +.names I2C.wr I2C_HID_DESC.RD_REQUEST +1 1 +.names RESET I2C_HID_DESC.RESET +1 1 +.names $undef I2C_INPUT_DATA[8][3] +1 1 +.names $undef I2C_INPUT_DATA[8][4] +1 1 +.names $undef I2C_INPUT_DATA[8][5] +1 1 +.names $undef I2C_INPUT_DATA[8][6] +1 1 +.names $undef I2C_INPUT_DATA[8][7] +1 1 +.names I2C.is_read I2C_READ +1 1 +.names I2C.received_byte[0] I2C_RX[0] +1 1 +.names I2C.received_byte[1] I2C_RX[1] +1 1 +.names I2C.received_byte[2] I2C_RX[2] +1 1 +.names I2C.received_byte[3] I2C_RX[3] +1 1 +.names I2C.received_byte[4] I2C_RX[4] +1 1 +.names I2C.received_byte[5] I2C_RX[5] +1 1 +.names I2C.received_byte[6] I2C_RX[6] +1 1 +.names I2C.received_byte[7] I2C_RX[7] +1 1 +.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0] +1 1 +.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1] +1 1 +.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] +1 1 +.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] +1 1 +.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] +1 1 +.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] +1 1 +.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] +1 1 +.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] +1 1 +.names I2C.wr I2C_WR +1 1 +.names INT INTERRUPT +1 1 +.names KEYBOARD.isr ISR +1 1 +.names LED2 KBD_LED_STATUS[0] +1 1 +.names LED3 KBD_LED_STATUS[1] +1 1 +.names LED4 KBD_LED_STATUS[2] +1 1 +.names $undef KBD_LED_STATUS[3] +1 1 +.names $undef KBD_LED_STATUS[4] +1 1 +.names $undef KBD_LED_STATUS[5] +1 1 +.names $undef KBD_LED_STATUS[6] +1 1 +.names $undef KBD_LED_STATUS[7] +1 1 +.names CLK KEYBOARD.CLK +1 1 +.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] +1 1 +.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1] +1 1 +.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2] +1 1 +.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] +1 1 +.names KBD_FREEZE KEYBOARD.FREEZE +1 1 +.names KEYBOARD.isr KEYBOARD.INT +1 1 +.names RESET KEYBOARD.RESET +1 1 +.names KBD_ROWS[0] KEYBOARD.ROWS[0] +1 1 +.names KBD_ROWS[1] KEYBOARD.ROWS[1] +1 1 +.names KBD_ROWS[2] KEYBOARD.ROWS[2] +1 1 +.names KBD_ROWS[3] KEYBOARD.ROWS[3] +1 1 +.names KEYBOARD.report[0] KEYBOARD.kbd_report[0] +1 1 +.names KEYBOARD.report[1] KEYBOARD.kbd_report[1] +1 1 +.names KEYBOARD.report[2] KEYBOARD.kbd_report[2] +1 1 +.names KEYBOARD.report[3] KEYBOARD.kbd_report[3] +1 1 +.names KEYBOARD.report[4] KEYBOARD.kbd_report[4] +1 1 +.names KEYBOARD.report[5] KEYBOARD.kbd_report[5] +1 1 +.names KEYBOARD.report[6] KEYBOARD.kbd_report[6] +1 1 +.names KEYBOARD.report[7] KEYBOARD.kbd_report[7] +1 1 +.names KEYBOARD.report[8] KEYBOARD.kbd_report[8] +1 1 +.names KEYBOARD.report[9] KEYBOARD.kbd_report[9] +1 1 +.names KEYBOARD.report[10] KEYBOARD.kbd_report[10] +1 1 +.names KEYBOARD.report[11] KEYBOARD.kbd_report[11] +1 1 +.names KEYBOARD.report[12] KEYBOARD.kbd_report[12] +1 1 +.names KEYBOARD.report[13] KEYBOARD.kbd_report[13] +1 1 +.names KEYBOARD.report[14] KEYBOARD.kbd_report[14] +1 1 +.names KEYBOARD.report[15] KEYBOARD.kbd_report[15] +1 1 +.names KEYBOARD.report[16] KEYBOARD.kbd_report[16] +1 1 +.names KEYBOARD.report[17] KEYBOARD.kbd_report[17] +1 1 +.names KEYBOARD.report[18] KEYBOARD.kbd_report[18] +1 1 +.names KEYBOARD.report[19] KEYBOARD.kbd_report[19] +1 1 +.names KEYBOARD.report[20] KEYBOARD.kbd_report[20] +1 1 +.names KEYBOARD.report[21] KEYBOARD.kbd_report[21] +1 1 +.names KEYBOARD.report[22] KEYBOARD.kbd_report[22] +1 1 +.names KEYBOARD.report[23] KEYBOARD.kbd_report[23] +1 1 +.names KEYBOARD.report[24] KEYBOARD.kbd_report[24] +1 1 +.names KEYBOARD.report[25] KEYBOARD.kbd_report[25] +1 1 +.names KEYBOARD.report[26] KEYBOARD.kbd_report[26] +1 1 +.names KEYBOARD.report[27] KEYBOARD.kbd_report[27] +1 1 +.names KEYBOARD.report[28] KEYBOARD.kbd_report[28] +1 1 +.names KEYBOARD.report[29] KEYBOARD.kbd_report[29] +1 1 +.names KEYBOARD.report[30] KEYBOARD.kbd_report[30] +1 1 +.names KEYBOARD.report[31] KEYBOARD.kbd_report[31] +1 1 +.names KEYBOARD.report[32] KEYBOARD.kbd_report[32] +1 1 +.names KEYBOARD.report[33] KEYBOARD.kbd_report[33] +1 1 +.names KEYBOARD.report[34] KEYBOARD.kbd_report[34] +1 1 +.names KEYBOARD.report[35] KEYBOARD.kbd_report[35] +1 1 +.names KEYBOARD.report[36] KEYBOARD.kbd_report[36] +1 1 +.names KEYBOARD.report[37] KEYBOARD.kbd_report[37] +1 1 +.names KEYBOARD.report[38] KEYBOARD.kbd_report[38] +1 1 +.names KEYBOARD.report[39] KEYBOARD.kbd_report[39] +1 1 +.names KEYBOARD.report[40] KEYBOARD.kbd_report[40] +1 1 +.names KEYBOARD.report[41] KEYBOARD.kbd_report[41] +1 1 +.names KEYBOARD.report[42] KEYBOARD.kbd_report[42] +1 1 +.names KEYBOARD.report[43] KEYBOARD.kbd_report[43] +1 1 +.names KEYBOARD.report[44] KEYBOARD.kbd_report[44] +1 1 +.names KEYBOARD.report[45] KEYBOARD.kbd_report[45] +1 1 +.names KEYBOARD.report[46] KEYBOARD.kbd_report[46] +1 1 +.names KEYBOARD.report[47] KEYBOARD.kbd_report[47] +1 1 +.names KEYBOARD.report[48] KEYBOARD.kbd_report[48] +1 1 +.names KEYBOARD.report[49] KEYBOARD.kbd_report[49] +1 1 +.names KEYBOARD.report[50] KEYBOARD.kbd_report[50] +1 1 +.names KEYBOARD.report[51] KEYBOARD.kbd_report[51] +1 1 +.names KEYBOARD.report[52] KEYBOARD.kbd_report[52] +1 1 +.names KEYBOARD.report[53] KEYBOARD.kbd_report[53] +1 1 +.names KEYBOARD.report[54] KEYBOARD.kbd_report[54] +1 1 +.names KEYBOARD.report[55] KEYBOARD.kbd_report[55] +1 1 +.names KEYBOARD.report[56] KEYBOARD.kbd_report[56] +1 1 +.names KEYBOARD.report[57] KEYBOARD.kbd_report[57] +1 1 +.names KEYBOARD.report[58] KEYBOARD.kbd_report[58] +1 1 +.names KEYBOARD.report[59] KEYBOARD.kbd_report[59] +1 1 +.names KEYBOARD.report[60] KEYBOARD.kbd_report[60] +1 1 +.names KEYBOARD.report[61] KEYBOARD.kbd_report[61] +1 1 +.names KEYBOARD.report[62] KEYBOARD.kbd_report[62] +1 1 +.names KEYBOARD.report[63] KEYBOARD.kbd_report[63] +1 1 +.names I2C_TRANS LED5 +1 1 +.names CLK UART.CLK +1 1 +.names RESET UART.RESET +1 1 +.names UART.tx_activity UART.TX_ACTIVITY +1 1 +.names UART_TX_DATA[0] UART.TX_BYTE[0] +1 1 +.names UART_TX_DATA[1] UART.TX_BYTE[1] +1 1 +.names UART_TX_DATA[2] UART.TX_BYTE[2] +1 1 +.names UART_TX_DATA[3] UART.TX_BYTE[3] +1 1 +.names UART_TX_DATA[4] UART.TX_BYTE[4] +1 1 +.names UART_TX_DATA[5] UART.TX_BYTE[5] +1 1 +.names UART_TX_DATA[6] UART.TX_BYTE[6] +1 1 +.names UART_TX_DATA[7] UART.TX_BYTE[7] +1 1 +.names UART.tx_line UART.TX_LINE +1 1 +.names UART_WR UART.TX_SIGNAL +1 1 +.names UART.tx_activity UART_ACTIVE +1 1 +.names UART.tx_line UART_TX_LINE +1 1 +.names KEYBOARD.report[0] kbd_report[0] +1 1 +.names KEYBOARD.report[1] kbd_report[1] +1 1 +.names KEYBOARD.report[2] kbd_report[2] +1 1 +.names KEYBOARD.report[3] kbd_report[3] +1 1 +.names KEYBOARD.report[4] kbd_report[4] +1 1 +.names KEYBOARD.report[5] kbd_report[5] +1 1 +.names KEYBOARD.report[6] kbd_report[6] +1 1 +.names KEYBOARD.report[7] kbd_report[7] +1 1 +.names KEYBOARD.report[8] kbd_report[8] +1 1 +.names KEYBOARD.report[9] kbd_report[9] +1 1 +.names KEYBOARD.report[10] kbd_report[10] +1 1 +.names KEYBOARD.report[11] kbd_report[11] +1 1 +.names KEYBOARD.report[12] kbd_report[12] +1 1 +.names KEYBOARD.report[13] kbd_report[13] +1 1 +.names KEYBOARD.report[14] kbd_report[14] +1 1 +.names KEYBOARD.report[15] kbd_report[15] +1 1 +.names KEYBOARD.report[16] kbd_report[16] +1 1 +.names KEYBOARD.report[17] kbd_report[17] +1 1 +.names KEYBOARD.report[18] kbd_report[18] +1 1 +.names KEYBOARD.report[19] kbd_report[19] +1 1 +.names KEYBOARD.report[20] kbd_report[20] +1 1 +.names KEYBOARD.report[21] kbd_report[21] +1 1 +.names KEYBOARD.report[22] kbd_report[22] +1 1 +.names KEYBOARD.report[23] kbd_report[23] +1 1 +.names KEYBOARD.report[24] kbd_report[24] +1 1 +.names KEYBOARD.report[25] kbd_report[25] +1 1 +.names KEYBOARD.report[26] kbd_report[26] +1 1 +.names KEYBOARD.report[27] kbd_report[27] +1 1 +.names KEYBOARD.report[28] kbd_report[28] +1 1 +.names KEYBOARD.report[29] kbd_report[29] +1 1 +.names KEYBOARD.report[30] kbd_report[30] +1 1 +.names KEYBOARD.report[31] kbd_report[31] +1 1 +.names KEYBOARD.report[32] kbd_report[32] +1 1 +.names KEYBOARD.report[33] kbd_report[33] +1 1 +.names KEYBOARD.report[34] kbd_report[34] +1 1 +.names KEYBOARD.report[35] kbd_report[35] +1 1 +.names KEYBOARD.report[36] kbd_report[36] +1 1 +.names KEYBOARD.report[37] kbd_report[37] +1 1 +.names KEYBOARD.report[38] kbd_report[38] +1 1 +.names KEYBOARD.report[39] kbd_report[39] +1 1 +.names KEYBOARD.report[40] kbd_report[40] +1 1 +.names KEYBOARD.report[41] kbd_report[41] +1 1 +.names KEYBOARD.report[42] kbd_report[42] +1 1 +.names KEYBOARD.report[43] kbd_report[43] +1 1 +.names KEYBOARD.report[44] kbd_report[44] +1 1 +.names KEYBOARD.report[45] kbd_report[45] +1 1 +.names KEYBOARD.report[46] kbd_report[46] +1 1 +.names KEYBOARD.report[47] kbd_report[47] +1 1 +.names KEYBOARD.report[48] kbd_report[48] +1 1 +.names KEYBOARD.report[49] kbd_report[49] +1 1 +.names KEYBOARD.report[50] kbd_report[50] +1 1 +.names KEYBOARD.report[51] kbd_report[51] +1 1 +.names KEYBOARD.report[52] kbd_report[52] +1 1 +.names KEYBOARD.report[53] kbd_report[53] +1 1 +.names KEYBOARD.report[54] kbd_report[54] +1 1 +.names KEYBOARD.report[55] kbd_report[55] +1 1 +.names KEYBOARD.report[56] kbd_report[56] +1 1 +.names KEYBOARD.report[57] kbd_report[57] +1 1 +.names KEYBOARD.report[58] kbd_report[58] +1 1 +.names KEYBOARD.report[59] kbd_report[59] +1 1 +.names KEYBOARD.report[60] kbd_report[60] +1 1 +.names KEYBOARD.report[61] kbd_report[61] +1 1 +.names KEYBOARD.report[62] kbd_report[62] +1 1 +.names KEYBOARD.report[63] kbd_report[63] +1 1 +.end diff --git a/i2c_keyboard/i2c_kbd_alt.ex b/i2c_keyboard/i2c_kbd_alt.ex new file mode 100644 index 0000000..17658b4 --- /dev/null +++ b/i2c_keyboard/i2c_kbd_alt.ex @@ -0,0 +1,9446 @@ +Reading file 'i2c_kbd_alt.txt'.. +Fabric size (without IO tiles): 12 x 16 + +.io_tile 9 0 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_r_0 span4_vert_1 + +.io_tile 10 17 +IOB_0 PINTYPE_0 +IOB_0 PINTYPE_3 +IOB_0 PINTYPE_5 +IOB_1 PINTYPE_0 +IOB_1 PINTYPE_3 +IOB_1 PINTYPE_5 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_0 +IoCtrl REN_1 +buffer local_g0_6 io_1/OUT_ENB +buffer local_g1_4 io_0/OUT_ENB +buffer logic_op_bnl_4 local_g1_4 +buffer logic_op_bnl_6 local_g0_6 + +.io_tile 0 14 +IOB_0 PINTYPE_0 +IOB_0 PINTYPE_3 +IOB_0 PINTYPE_4 +IOB_1 PINTYPE_0 +IOB_1 PINTYPE_3 +IOB_1 PINTYPE_4 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_0 +IoCtrl REN_1 +buffer local_g0_1 io_1/D_OUT_0 +buffer local_g0_6 io_0/D_OUT_0 +buffer span4_horz_38 local_g0_6 +buffer span4_vert_b_1 local_g0_1 + +.io_tile 13 4 +IOB_0 PINTYPE_0 +IOB_1 PINTYPE_0 +IoCtrl REN_0 +IoCtrl REN_1 +buffer io_0/D_IN_0 span4_horz_0 +buffer io_1/D_IN_0 span4_vert_b_10 +routing span4_horz_1 span4_vert_b_0 + +.io_tile 8 0 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_vert_37 span4_horz_l_14 + +.io_tile 0 10 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_37 span4_horz_13 +routing span4_vert_b_1 span4_vert_t_13 + +.io_tile 13 8 +IOB_0 PINTYPE_0 +IOB_0 PINTYPE_3 +IOB_0 PINTYPE_5 +IoCtrl IE_1 +IoCtrl REN_0 +buffer io_0/D_IN_0 span4_vert_b_8 +buffer local_g0_1 io_0/OUT_ENB +buffer local_g0_7 fabout +buffer span12_horz_7 local_g0_7 +buffer span4_vert_b_9 local_g0_1 +routing span4_horz_13 span4_horz_37 + +.io_tile 6 17 +IoCtrl IE_0 +IoCtrl IE_1 +buffer local_g1_0 fabout +buffer logic_op_bot_0 local_g1_0 +routing span4_vert_43 span4_vert_19 + +.io_tile 4 0 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_r_2 span4_horz_l_14 + +.io_tile 13 12 +IOB_0 PINTYPE_0 +IOB_0 PINTYPE_3 +IOB_0 PINTYPE_4 +IOB_1 PINTYPE_0 +IOB_1 PINTYPE_3 +IOB_1 PINTYPE_4 +IoCtrl IE_0 +IoCtrl IE_1 +buffer local_g0_1 io_1/D_OUT_0 +buffer local_g0_2 io_0/D_OUT_0 +buffer span12_horz_17 local_g0_1 +buffer span4_vert_b_10 local_g0_2 + +.io_tile 0 15 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_31 span4_vert_b_1 + +.io_tile 13 3 +IOB_1 PINTYPE_0 +IoCtrl IE_0 +IoCtrl REN_1 +buffer io_1/D_IN_0 span4_horz_4 +routing span4_horz_13 span4_horz_37 + +.io_tile 0 11 +IOB_1 PINTYPE_0 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_0 +routing span4_horz_13 span4_horz_37 +routing span4_horz_19 span4_horz_43 + +.io_tile 13 7 +IOB_0 PINTYPE_0 +IOB_0 PINTYPE_3 +IOB_0 PINTYPE_4 +IOB_1 PINTYPE_0 +IoCtrl IE_0 +IoCtrl REN_0 +IoCtrl REN_1 +buffer local_g0_2 io_0/D_OUT_0 +buffer span4_vert_b_10 local_g0_2 + +.io_tile 9 17 +IOB_0 PINTYPE_0 +IOB_0 PINTYPE_3 +IOB_0 PINTYPE_5 +IOB_1 PINTYPE_0 +IOB_1 PINTYPE_3 +IOB_1 PINTYPE_5 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_0 +IoCtrl REN_1 +buffer local_g0_1 io_0/OUT_ENB +buffer local_g1_3 io_1/OUT_ENB +buffer span4_horz_r_11 local_g1_3 +buffer span4_vert_41 local_g0_1 + +.io_tile 13 11 +IOB_0 PINTYPE_0 +IOB_0 PINTYPE_3 +IOB_0 PINTYPE_4 +IOB_1 PINTYPE_0 +IOB_1 PINTYPE_3 +IOB_1 PINTYPE_4 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_0 +IoCtrl REN_1 +buffer local_g0_1 io_1/D_OUT_0 +buffer local_g1_1 io_0/D_OUT_0 +buffer span12_horz_9 local_g1_1 +buffer span4_horz_41 local_g0_1 +routing span4_horz_13 span4_horz_37 +routing span4_horz_19 span4_horz_43 + +.io_tile 5 17 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_vert_13 span4_vert_37 + +.io_tile 13 15 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_31 span4_horz_7 + +.io_tile 6 0 +IoCtrl REN_0 +IoCtrl REN_1 +buffer local_g0_1 fabout +buffer span4_vert_1 local_g0_1 + +.io_tile 0 12 +IOB_0 PINTYPE_0 +IOB_0 PINTYPE_3 +IOB_0 PINTYPE_4 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_1 +buffer local_g1_5 io_0/D_OUT_0 +buffer span4_vert_b_13 local_g1_5 +routing span4_horz_31 span4_horz_7 + +.io_tile 11 0 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_vert_13 span4_vert_37 + +.io_tile 0 5 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_37 span4_horz_13 + +.io_tile 13 6 +IOB_0 PINTYPE_0 +IoCtrl IE_1 +IoCtrl REN_0 +routing span4_horz_43 span4_vert_t_15 +routing span4_vert_b_2 span4_horz_13 +routing span4_vert_t_12 span4_horz_1 + +.io_tile 1 0 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_vert_37 span4_vert_13 + +.io_tile 0 8 +IOB_1 PINTYPE_0 +IoCtrl IE_1 +IoCtrl REN_0 +buffer io_1/D_IN_0 span4_vert_b_2 +buffer local_g1_2 fabout +buffer span4_vert_b_2 local_g1_2 +routing span4_horz_25 span4_horz_1 + +.io_tile 13 10 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_0 +IoCtrl REN_1 +routing span4_horz_13 span4_vert_t_14 +routing span4_horz_7 span4_vert_b_1 + +.io_tile 0 1 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_vert_b_2 span4_horz_13 + +.io_tile 11 17 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_vert_19 span4_horz_l_15 + +.io_tile 7 0 +IoCtrl IE_0 +IoCtrl IE_1 +buffer local_g0_5 fabout +buffer span4_vert_45 local_g0_5 + +.io_tile 0 13 +IOB_0 PINTYPE_0 +IOB_0 PINTYPE_3 +IOB_0 PINTYPE_4 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_1 +buffer local_g0_0 io_0/D_OUT_0 +buffer span4_horz_32 local_g0_0 + +.io_tile 0 6 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_7 span4_vert_t_13 + +.io_tile 7 17 +IoCtrl IE_0 +IoCtrl IE_1 +buffer local_g0_5 fabout +buffer span4_vert_21 local_g0_5 + +.io_tile 0 9 +IoCtrl IE_0 +IoCtrl IE_1 +buffer local_g0_1 fabout +buffer logic_op_rgt_1 local_g0_1 + +.io_tile 13 9 +IOB_1 PINTYPE_0 +IOB_1 PINTYPE_3 +IOB_1 PINTYPE_4 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_1 +buffer local_g0_3 io_1/D_OUT_0 +buffer local_g0_7 fabout +buffer span4_horz_11 local_g0_3 +buffer span4_vert_b_7 local_g0_7 +routing span4_horz_37 span4_vert_b_2 + +.logic_tile 7 3 +LC_0 0000000000000001 0000 +LC_1 0100000000000000 0000 +LC_2 0000000100000000 0000 +LC_3 0001000000000000 0000 +LC_4 0000000000010000 0000 +LC_5 0000000000000100 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_7 lutff_2/in_3 +buffer local_g2_7 lutff_4/in_1 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_7 lutff_4/in_0 +buffer local_g3_7 lutff_5/in_1 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out local_g0_1 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out local_g3_7 +buffer neigh_op_rgt_7 local_g2_7 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp12_v_b_17 local_g3_1 +buffer sp12_v_b_23 sp4_v_b_23 +buffer sp12_v_b_9 local_g2_1 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_27 local_g2_3 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_v_b_5 sp4_v_t_45 +routing sp4_v_t_47 sp4_h_r_10 + +.logic_tile 6 9 +LC_0 0011000001010000 0000 +LC_1 0101001100000000 0000 +LC_2 0101001100000000 0000 +LC_3 1100101000000000 0000 +LC_4 0101001100000000 0000 +LC_5 0101001100000000 0000 +LC_6 0000110100000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_3 glb2local_1 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_5 lutff_5/in_1 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_lft_3 local_g0_3 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_v_b_15 local_g3_7 +buffer sp12_v_b_2 local_g3_2 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_45 local_g3_5 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_l_37 sp4_h_r_0 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_l_42 sp4_h_r_7 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_4 sp4_h_r_4 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_37 sp4_h_r_5 +routing sp4_v_t_37 sp4_v_b_8 +routing sp4_v_t_45 sp4_v_b_11 +routing sp4_v_t_47 sp4_h_r_10 + +.logic_tile 1 3 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_4/in_2 +buffer local_g2_4 lutff_2/in_2 +buffer local_g3_1 lutff_6/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/cout lutff_7/in_3 +buffer neigh_op_tnr_1 local_g3_1 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_7 local_g0_7 +buffer sp4_h_r_4 local_g1_4 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_36 local_g2_4 +routing sp12_h_r_0 sp12_v_t_23 +routing sp12_h_r_1 sp12_v_t_22 +routing sp4_h_r_6 sp4_v_t_43 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_b_8 sp4_h_r_8 + +.logic_tile 12 1 +LC_0 0110100110010110 0000 +LC_1 0010000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_5 lutff_1/in_0 +buffer local_g1_1 lutff_0/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g1_1 +buffer neigh_op_lft_3 local_g0_3 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp4_v_b_21 local_g0_5 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_39 sp4_v_t_39 + +.logic_tile 11 11 +LC_0 0000011100000000 0000 +LC_1 0100000000000000 0000 +LC_2 0000000010101100 0000 +LC_3 1100101000000000 0000 +LC_4 0100000000000000 0000 +LC_5 0101001100000000 0100 DffEnable +LC_6 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_4 lutff_4/in_2 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_5 lutff_7/in_1 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_tnr_2 local_g2_2 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_top_1 local_g0_1 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_v_b_13 local_g2_5 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_33 local_g3_1 +routing sp12_v_t_22 sp12_h_l_22 +routing sp4_h_l_43 sp4_h_r_6 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_t_42 sp4_h_l_42 +routing sp4_v_t_45 sp4_v_b_8 + +.logic_tile 4 8 +LC_0 1000001001000001 0000 +LC_1 0010000110000100 0000 +LC_2 0001010000101000 0000 +LC_3 1000000000000000 0000 +LC_4 0101001100000000 0000 +LC_5 1000000000000000 0000 +LC_6 0101001100000000 0000 +LC_7 0001001001001000 0000 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_5 lutff_7/in_3 +buffer local_g1_6 lutff_0/in_3 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_4 lutff_7/in_2 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_bot_7 local_g1_7 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_v_b_15 local_g2_7 +buffer sp12_v_b_18 local_g3_2 +buffer sp12_v_b_21 local_g2_5 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_2 local_g1_2 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_5 local_g1_5 +routing sp12_v_t_22 sp12_h_l_22 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_r_0 sp4_v_b_5 +routing sp4_h_r_9 sp4_h_l_37 +routing sp4_v_b_8 sp4_v_t_46 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_b_9 sp4_v_t_40 +routing sp4_v_t_39 sp4_v_b_10 +routing sp4_v_t_43 sp4_v_b_2 + +.logic_tile 7 12 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000001000000000 0000 +LC_1 0000100000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0000100000000000 0000 +LC_4 0000000011110001 0000 +LC_5 0000000011110001 0000 +LC_6 0000100000000000 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_1/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_6 lutff_0/in_0 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_4 lutff_1/in_0 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_5 lutff_6/in_2 +buffer local_g3_6 lutff_2/in_3 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/out local_g2_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_tnr_2 local_g2_2 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_7 local_g0_7 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp12_v_b_17 sp4_v_b_20 +buffer sp12_v_b_3 local_g2_3 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_37 local_g3_5 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_28 local_g3_4 +buffer sp4_v_b_31 local_g3_7 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_46 local_g2_6 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_39 sp4_v_b_2 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_8 sp4_h_r_2 + +.logic_tile 2 8 +LC_0 0000000000000001 0000 +LC_1 0101001100000000 0000 +LC_2 0101001100000000 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g2_5 lutff_1/in_2 +buffer local_g3_3 lutff_global/cen +buffer local_g3_7 lutff_2/in_2 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp4_r_v_b_15 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_21 local_g1_5 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_v_b_3 local_g3_3 +buffer sp12_v_b_5 local_g2_5 +buffer sp4_h_r_10 local_g1_2 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_v_b_39 local_g3_7 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_b_8 sp4_h_l_36 +routing sp4_v_t_39 sp4_v_b_10 +routing sp4_v_t_44 sp4_h_r_2 + +.logic_tile 9 8 +LC_0 0010000000000000 0000 +LC_1 1101000000000000 0000 +LC_2 0000101100000000 0000 +LC_3 0000111000000000 0000 +LC_4 1110111011100000 0000 +LC_5 1111111100111101 0000 +LC_6 0000010000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_0 lutff_4/in_3 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_1 lutff_5/in_3 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_4 lutff_2/in_1 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_6 lutff_3/in_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_5/out local_g2_5 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp12_v_b_3 sp4_v_b_13 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_40 local_g3_0 +buffer sp4_v_b_8 local_g0_0 +routing sp12_v_t_23 sp12_h_r_0 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_v_b_1 sp4_v_t_44 +routing sp4_v_b_2 sp4_v_t_39 +routing sp4_v_t_36 sp4_h_r_1 +routing sp4_v_t_36 sp4_v_b_4 +routing sp4_v_t_38 sp4_v_b_3 +routing sp4_v_t_40 sp4_v_b_1 + +.logic_tile 12 12 +ColBufCtrl glb_netwk_6 +LC_0 0100000000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0110000000000000 0000 +LC_3 0001000000000000 0000 +LC_4 0100010001001111 0100 DffEnable +LC_5 1011101110110000 0100 DffEnable +LC_6 0001000100011111 0100 DffEnable +LC_7 0001000100011111 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_4 lutff_7/in_3 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_4 lutff_6/in_3 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g2_1 lutff_4/in_3 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_7 lutff_5/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_7 lutff_4/in_0 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g2_6 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_lft_6 local_g1_6 +buffer sp12_v_b_9 local_g3_1 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_39 local_g3_7 +routing sp12_v_b_0 sp12_h_l_23 +routing sp4_v_t_38 sp4_h_l_44 + +.logic_tile 5 16 +LC_1 0110000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0110100110010110 0000 +LC_5 0100000000000000 0110 DffEnable Set_NoReset +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_5 lutff_global/s_r +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g0_5 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g0_3 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_v_t_37 sp4_h_r_5 + +.logic_tile 5 13 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 1110110100000000 0000 +LC_1 0000001000000000 0000 +LC_2 1011000000000000 0000 +LC_3 0111000000000000 0000 +LC_4 0000100000000000 0000 +LC_5 0010000000000000 0000 +LC_6 0000000010000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_2/in_3 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_6 lutff_6/in_3 +buffer local_g3_7 lutff_0/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_5 local_g0_5 +buffer sp12_v_b_3 local_g2_3 +buffer sp4_h_r_31 local_g3_7 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_44 local_g2_4 +buffer sp4_v_b_46 local_g2_6 +buffer sp4_v_b_46 local_g3_6 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_38 sp4_h_r_11 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_r_6 sp4_v_t_43 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_7 sp4_h_l_42 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_t_36 sp4_h_l_36 + +.logic_tile 8 10 +LC_1 1100101000000000 0000 +LC_2 0101001100000000 0000 +LC_3 0001000000000000 0000 +LC_4 0110100110010110 0000 +LC_5 1100101000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_4 lutff_1/in_0 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_3/in_1 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_5 lutff_1/in_1 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_rgt_6 local_g2_6 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_v_b_16 local_g3_0 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_33 local_g3_1 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_7 local_g0_7 +routing sp12_h_r_0 sp12_h_l_23 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_r_10 sp4_h_l_43 +routing sp4_v_b_7 sp4_h_r_7 + +.logic_tile 6 2 +LC_0 0000000011111111 0000 +LC_3 0000000000010000 0000 +LC_4 0000000100000000 0000 +LC_5 0000000000000001 0000 +LC_6 1000000000000000 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_6 lutff_5/in_3 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_6 lutff_4/in_3 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_6 lutff_3/in_2 +buffer local_g3_6 lutff_5/in_2 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_4/out sp4_r_v_b_25 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_0 local_g1_0 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp12_h_r_6 local_g0_6 +buffer sp12_h_r_6 local_g1_6 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_v_b_18 local_g0_2 +routing sp12_h_r_1 sp12_v_b_1 +routing sp12_v_b_1 sp12_v_t_22 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_t_40 sp4_h_l_46 + +.logic_tile 1 6 +LC_0 0001000000000000 0000 +LC_1 0000000000000001 0000 +LC_2 0100000000000000 0000 +LC_3 0001000000000000 0000 +LC_5 1000000000000000 0000 +LC_7 0000000000001000 0000 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_7/in_3 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_4 lutff_2/in_3 +buffer local_g3_5 lutff_2/in_0 +buffer local_g3_5 lutff_7/in_1 +buffer local_g3_6 lutff_0/in_1 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_5 local_g3_5 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_h_r_9 local_g1_1 +buffer sp12_v_b_22 local_g3_6 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_v_b_19 local_g0_3 + +.logic_tile 11 14 +LC_0 0001000000000000 0000 +LC_1 0011010100000000 0000 +LC_2 1110011100000000 0000 +LC_3 1100110011010000 0000 +LC_4 0010000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 0001000000000000 0000 +LC_7 0010000000000000 0000 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g2_0 lutff_7/in_1 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_4 lutff_7/in_2 +buffer local_g3_6 lutff_0/in_1 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_4 local_g3_4 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_17 local_g1_1 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_39 local_g3_7 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_40 local_g3_0 +routing sp4_h_r_9 sp4_v_b_9 + +.logic_tile 7 11 +LC_0 0000000010000001 0000 +LC_1 0000000011110001 0000 +LC_2 0000011101110000 0000 +LC_3 0000000011110001 0000 +LC_4 1011000000000000 0000 +LC_5 0000000011110001 0000 +LC_6 0001111000000000 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_3 lutff_2/in_3 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_0/in_2 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_5 lutff_5/in_2 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_6 lutff_6/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer sp12_v_b_9 local_g3_1 +buffer sp4_h_r_0 local_g0_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_31 local_g2_7 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_44 local_g2_4 +routing sp4_h_l_41 sp4_v_b_10 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_r_0 sp4_v_b_5 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_h_r_9 sp4_h_l_37 +routing sp4_h_r_9 sp4_h_l_44 +routing sp4_h_r_9 sp4_v_t_38 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_5 sp4_v_t_36 +routing sp4_v_t_43 sp4_h_r_11 +routing sp4_v_t_45 sp4_v_b_4 + +.logic_tile 2 5 +CarryInSet +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_6 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1100 CarryEnable DffEnable +LC_3 0110100110010110 1100 CarryEnable DffEnable +LC_4 0110100110010110 1100 CarryEnable DffEnable +LC_5 0110100110010110 1100 CarryEnable DffEnable +LC_6 0110100110010110 1100 CarryEnable DffEnable +LC_7 0110100110010110 1100 CarryEnable DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_7/in_2 +buffer local_g2_3 lutff_3/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out local_g0_5 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_h_r_30 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_11 local_g0_3 +routing sp12_v_b_0 sp12_h_r_0 +routing sp4_h_r_2 sp4_v_t_39 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_h_r_5 sp4_v_t_46 +routing sp4_h_r_9 sp4_v_t_38 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_4 sp4_v_t_41 +routing sp4_v_b_6 sp4_v_t_43 +routing sp4_v_t_41 sp4_h_r_4 + +.logic_tile 1 11 +LC_0 0001000000000000 0000 +LC_1 1111111111100000 0000 +LC_2 0001111100000000 0000 +LC_3 0001000000000000 0000 +LC_4 1110000000000000 0000 +LC_5 0100000000000000 0000 +LC_6 0000000000001101 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_2/in_2 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_3 lutff_global/cen +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out local_g3_2 +buffer lutff_5/out lutff_6/in_2 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_bnr_0 local_g1_0 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_top_0 local_g0_0 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_h_r_3 local_g0_3 +buffer sp12_h_r_6 local_g0_6 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_h_r_9 local_g1_1 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_19 local_g3_3 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_6 local_g1_6 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_34 local_g2_2 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_43 sp4_h_r_6 +routing sp4_h_r_8 sp4_v_t_45 + +.logic_tile 8 5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 0100000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0000000011110010 0000 +LC_4 0000000011110010 0000 +LC_5 0000100000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_5/in_2 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_4/in_3 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_6 lutff_4/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_3 lutff_5/in_3 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_tnr_2 local_g3_2 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_v_b_5 local_g2_5 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_43 local_g3_3 +routing sp12_h_l_23 sp12_v_t_23 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_r_1 sp4_v_t_36 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_v_t_44 sp4_v_b_0 +routing sp4_v_t_44 sp4_v_b_9 +routing sp4_v_t_45 sp4_v_b_4 + +.logic_tile 5 8 +LC_0 0000111110001000 0000 +LC_1 0101001100000000 0000 +LC_2 1100101000000000 0000 +LC_3 1100101000000000 0000 +LC_4 0101001100000000 0000 +LC_5 0011000001010000 0000 +LC_6 1100101000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_5 lutff_5/in_2 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_7 lutff_0/in_3 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_2 lutff_1/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_2/in_2 +buffer local_g3_5 lutff_5/in_3 +buffer local_g3_5 lutff_6/in_2 +buffer local_g3_6 lutff_3/in_2 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 +buffer neigh_op_rgt_2 local_g3_2 +buffer sp12_v_b_6 local_g2_6 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_33 local_g3_1 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_r_v_b_47 local_g3_7 +buffer sp4_v_b_23 local_g1_7 +routing sp4_h_l_38 sp4_h_r_6 +routing sp4_h_l_42 sp4_h_r_10 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_v_b_11 sp4_v_t_46 +routing sp4_v_t_36 sp4_h_l_42 +routing sp4_v_t_38 sp4_v_b_3 +routing sp4_v_t_42 sp4_h_l_36 +routing sp4_v_t_45 sp4_h_r_1 + +.logic_tile 6 7 +LC_0 1100101000000000 0000 +LC_1 0000100000000000 0000 +LC_2 1100101000000000 0000 +LC_3 0000111110001000 0000 +LC_4 0000000000000010 0000 +LC_5 0101001100000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_2/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_5/in_2 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_0 lutff_1/in_3 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_5 lutff_0/in_1 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_5 lutff_6/in_0 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_2/out local_g1_2 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_rgt_1 local_g2_1 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp12_h_r_3 local_g0_3 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp12_v_b_21 local_g2_5 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_h_r_4 local_g1_4 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_28 local_g3_4 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_h_r_5 sp4_v_t_46 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_8 sp4_h_r_8 +routing sp4_v_t_40 sp4_v_b_1 +routing sp4_v_t_45 sp4_v_b_4 +routing sp4_v_t_46 sp4_v_b_2 +routing sp4_v_t_47 sp4_v_b_10 + +.logic_tile 5 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 1000000000000000 0000 +LC_1 0010000000000010 0000 +LC_2 1000001001000001 0000 +LC_3 1000000000000000 0000 +LC_4 0001000000000000 0000 +LC_5 0110000000000000 0000 +LC_6 0101001100000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_3/in_3 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out local_g2_7 +buffer neigh_op_tnl_0 local_g3_0 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_5 local_g1_5 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_v_b_14 local_g2_6 +buffer sp12_v_b_2 local_g3_2 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_38 local_g3_6 +buffer sp4_v_b_4 local_g0_4 +buffer sp4_v_b_4 local_g1_4 +buffer sp4_v_b_41 local_g2_1 +routing sp4_h_r_10 sp4_v_t_47 +routing sp4_h_r_5 sp4_v_t_46 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_9 sp4_v_t_40 +routing sp4_v_t_39 sp4_v_b_2 +routing sp4_v_t_39 sp4_v_b_5 +routing sp4_v_t_42 sp4_v_b_7 +routing sp4_v_t_43 sp4_h_l_37 +routing sp4_v_t_44 sp4_v_b_0 + +.logic_tile 11 5 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_2 0000000010000000 0000 +LC_4 0100000100000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_6 lutff_2/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_4/in_0 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp4_h_r_40 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_6 local_g0_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_v_b_21 sp4_v_b_22 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_32 local_g2_0 +routing sp12_h_l_23 sp12_v_t_23 +routing sp12_v_b_0 sp12_h_l_23 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_v_b_9 sp4_v_t_37 + +.logic_tile 7 6 +LC_0 0000011100000000 0000 +LC_1 1111111111100111 0000 +LC_2 1101000000000000 0000 +LC_3 0000000001001111 0000 +LC_4 0100000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_4 lutff_3/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g1_1 +buffer lutff_4/out local_g3_4 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_rgt_1 local_g2_1 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_33 local_g3_1 +buffer sp4_v_b_42 local_g2_2 +routing sp12_h_r_1 sp12_v_t_22 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_39 sp4_h_r_10 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_h_r_5 sp4_v_b_10 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_t_43 sp4_v_b_6 + +.logic_tile 6 10 +LC_0 1100101000000000 0000 +LC_1 0000001100000101 0000 +LC_2 0101001100000000 0000 +LC_3 0000111110001000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_7 lutff_3/in_3 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_5 lutff_4/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out lutff_3/in_2 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bot_0 local_g0_0 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_h_r_9 local_g1_1 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_43 local_g3_3 +routing sp12_h_l_22 sp12_v_t_22 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_2 sp4_v_t_39 +routing sp4_v_b_8 sp4_h_l_45 +routing sp4_v_b_9 sp4_v_t_40 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_42 sp4_v_b_10 +routing sp4_v_t_44 sp4_h_l_38 +routing sp4_v_t_45 sp4_h_r_8 +routing sp4_v_t_46 sp4_h_l_40 + +.logic_tile 1 14 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 0000 +LC_4 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_4 lutff_4/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_6 lutff_1/in_1 +buffer local_g3_2 lutff_0/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_6/out sp12_h_r_20 +buffer sp12_h_r_11 local_g1_3 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_v_b_3 local_g2_3 +buffer sp12_v_b_6 local_g2_6 +buffer sp4_r_v_b_42 local_g3_2 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_v_b_3 sp4_h_l_38 + +.logic_tile 12 6 +LC_1 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g3_0 lutff_1/in_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_6/out sp12_v_b_12 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_tnr_2 local_g2_2 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_24 local_g2_0 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_b_6 sp4_v_t_39 +routing sp4_v_t_43 sp4_v_b_6 + +.logic_tile 4 13 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000000000011000 0000 +LC_1 0000100000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0000001000000000 0000 +LC_4 0000001000000000 0000 +LC_5 0100111111111111 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_6 lutff_5/in_1 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_4 lutff_5/in_2 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_tnr_6 local_g2_6 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp12_v_b_21 sp4_v_b_22 +buffer sp12_v_b_23 local_g2_7 +buffer sp12_v_b_3 local_g2_3 +buffer sp12_v_b_5 local_g2_5 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp12_v_b_7 local_g3_7 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_19 local_g0_3 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_r_5 sp4_h_l_36 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_t_38 sp4_h_r_3 + +.logic_tile 2 13 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000000011110100 0000 +LC_1 0100111111111111 0000 +LC_2 0000001000000000 0000 +LC_3 1101000011011101 0000 +LC_4 0000100000000000 0000 +LC_5 0000100000000000 0000 +LC_6 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_2/in_1 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_2/in_0 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_6 lutff_6/in_2 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_4 lutff_2/in_3 +buffer local_g3_5 lutff_7/in_1 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_v_b_19 local_g2_3 +buffer sp4_h_r_0 local_g1_0 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_25 local_g3_1 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_h_l_47 sp4_h_r_10 +routing sp4_h_r_8 sp4_h_l_45 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_b_5 sp4_h_l_47 + +.logic_tile 9 11 +LC_0 0000111111011101 0000 +LC_1 1010101000111111 0000 +LC_2 0101001100000000 0000 +LC_3 1111000011000100 0000 +LC_4 1111110100000000 0000 +LC_5 0000000000000001 0000 +LC_6 0101001100000000 0000 +LC_7 0101110100000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_0/in_0 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_7/in_2 +buffer local_g2_6 lutff_5/in_1 +buffer local_g2_7 lutff_0/in_3 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_1 lutff_1/in_3 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_5 lutff_6/in_2 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_7 lutff_3/in_3 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out local_g2_5 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_r_v_b_47 +buffer sp12_h_r_21 local_g0_5 +buffer sp4_h_r_0 local_g0_0 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_30 local_g3_6 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_41 local_g3_1 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_47 local_g2_7 +buffer sp4_v_b_47 local_g3_7 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_h_r_2 sp4_v_t_39 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_8 sp4_h_r_8 + +.logic_tile 8 16 +LC_2 1001000000000000 0000 +LC_3 0110100110010110 0000 +LC_5 0110000000000000 0000 +LC_6 0100000000000000 0110 DffEnable Set_NoReset +LC_7 1000000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_2 glb2local_1 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_global/s_r +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_5/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_6 lutff_3/in_1 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_7/out sp4_h_r_46 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_17 local_g1_1 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_v_b_40 local_g2_0 +routing sp4_h_l_44 sp4_v_b_3 + +.logic_tile 6 15 +LC_0 1110000100000000 0000 +LC_1 0000101100000000 0000 +LC_2 0110100110010110 0000 +LC_3 0000000010101011 0000 +LC_4 1010110000000000 0000 +LC_5 0011010111001010 0000 +LC_6 0001000000000000 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_0 local_g0_4 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_3 glb2local_3 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_5 lutff_0/in_3 +buffer local_g2_7 lutff_4/in_3 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_6 lutff_3/in_0 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out lutff_3/in_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g1_3 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_tnr_4 local_g2_4 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_5 local_g1_5 +buffer sp12_v_b_7 local_g2_7 +buffer sp12_v_b_7 local_g3_7 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_22 local_g0_6 +routing sp4_h_l_38 sp4_v_t_38 +routing sp4_h_l_45 sp4_h_r_4 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_r_0 sp4_v_b_5 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_7 sp4_h_l_37 +routing sp4_v_t_44 sp4_h_l_44 + +.logic_tile 12 3 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_1 lutff_1/in_2 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_4 lutff_3/in_2 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_6 lutff_6/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_3 lutff_2/in_2 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_lft_2 local_g1_2 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp4_h_r_39 local_g2_7 +routing sp4_h_r_4 sp4_v_t_41 +routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_t_43 sp4_h_l_43 + +.logic_tile 11 13 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_6 +LC_0 1111111000000000 0000 +LC_1 0010000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0000000010000000 0000 +LC_4 0000001000000000 0000 +LC_5 0110100110010110 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_7 lutff_0/in_3 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_6 lutff_1/in_1 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_4 lutff_0/in_1 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_top_0 local_g0_0 +buffer neigh_op_top_0 local_g1_0 +buffer sp12_h_r_23 local_g0_7 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_v_b_12 local_g2_4 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_20 local_g0_4 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_44 sp4_v_t_39 + +.logic_tile 4 10 +LC_0 0100000000000000 0000 +LC_1 0100000000000000 0000 +LC_2 0001001001001000 0000 +LC_3 1111111100000111 0000 +LC_4 0000000000000001 0000 +LC_5 1000000000000000 0000 +LC_6 0001010000101000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_5 lutff_2/in_3 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_1/in_3 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_top_0 local_g0_0 +buffer neigh_op_top_1 local_g1_1 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_42 local_g3_2 +routing sp12_h_l_23 sp12_h_r_0 +routing sp4_h_r_0 sp4_h_l_38 +routing sp4_h_r_2 sp4_v_b_2 +routing sp4_h_r_9 sp4_h_l_37 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_b_8 sp4_h_l_36 +routing sp4_v_t_36 sp4_h_r_1 +routing sp4_v_t_41 sp4_h_l_41 + +.logic_tile 7 14 +LC_0 1000000000000000 0000 +LC_1 0000110100000000 0000 +LC_2 0110000000000000 0000 +LC_3 0000000010000000 0000 +LC_4 0000100000000100 0000 +LC_5 0000111000000000 0000 +LC_6 0101001100000000 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_0 local_g0_4 +buffer glb_netwk_3 glb2local_0 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_4 lutff_5/in_2 +buffer local_g1_6 lutff_7/in_0 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_1 lutff_4/in_3 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_3 lutff_1/in_1 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g3_3 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnr_1 local_g1_1 +buffer neigh_op_bot_3 local_g1_3 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_v_b_10 local_g2_2 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_32 local_g2_0 +buffer sp4_v_b_37 local_g2_5 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_5 sp4_v_t_45 + +.logic_tile 2 6 +LC_0 0110100110010110 1100 CarryEnable DffEnable +LC_1 0110100110010110 1100 CarryEnable DffEnable +LC_2 0110100110010110 1100 CarryEnable DffEnable +LC_3 0110100110010110 1100 CarryEnable DffEnable +LC_4 0110100110010110 1100 CarryEnable DffEnable +LC_5 0110100110010110 1100 CarryEnable DffEnable +LC_6 0110100110010110 1100 CarryEnable DffEnable +LC_7 0000000011111111 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_0/out local_g0_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out local_g0_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out local_g0_5 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp4_h_r_14 +buffer sp12_v_b_9 sp4_v_b_16 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_t_37 sp4_h_r_5 +routing sp4_v_t_40 sp4_h_r_10 +routing sp4_v_t_42 sp4_v_b_3 +routing sp4_v_t_46 sp4_h_r_4 +routing sp4_v_t_47 sp4_v_b_6 + +.logic_tile 9 14 +LC_2 0100000000000000 0000 +LC_5 1100101000000000 0000 +LC_7 0101110000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_5 lutff_2/in_0 +buffer local_g2_5 lutff_2/in_1 +buffer local_g3_0 lutff_7/in_2 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bnl_0 local_g3_0 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_6 local_g0_6 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_r_v_b_13 local_g2_5 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_5 sp4_v_b_10 +routing sp4_h_r_5 sp4_v_b_5 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_8 sp4_h_l_45 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_t_41 sp4_h_l_47 +routing sp4_v_t_45 sp4_h_l_39 + +.logic_tile 8 2 +LC_0 0000000100000000 0000 +LC_1 0000000100000000 0000 +LC_2 0000000100000000 0000 +LC_4 0001000000000000 0000 +LC_5 0000000100000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_5 lutff_1/in_2 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_5 lutff_4/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_6 lutff_2/in_1 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_3 local_g1_3 +buffer sp12_h_r_21 local_g0_5 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_v_b_11 local_g3_3 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_34 local_g2_2 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_v_t_46 sp4_v_b_2 + +.logic_tile 12 14 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g2_1 lutff_7/in_0 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g0_5 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bot_6 local_g0_6 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp12_v_b_14 local_g3_6 +buffer sp12_v_b_17 local_g2_1 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_v_b_5 sp4_h_l_47 + +.logic_tile 5 11 +LC_0 1000011100000000 0000 +LC_1 0000000100000100 0000 +LC_2 0000001100000101 0000 +LC_3 0000000100000000 0000 +LC_4 0010000110000100 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_4/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_2/in_3 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_5 lutff_4/in_2 +buffer local_g3_7 lutff_2/in_2 +buffer lutff_0/out lutff_1/in_2 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_top_0 local_g0_0 +buffer neigh_op_top_3 local_g1_3 +buffer sp4_h_r_0 local_g1_0 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_39 local_g2_7 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_45 local_g2_5 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_37 local_g3_5 +buffer sp4_v_b_7 local_g0_7 +routing sp12_h_l_22 sp12_v_b_1 +routing sp4_h_l_41 sp4_h_r_4 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_v_b_1 sp4_v_t_44 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_5 sp4_h_l_40 +routing sp4_v_b_7 sp4_h_l_42 +routing sp4_v_b_8 sp4_h_l_45 +routing sp4_v_b_9 sp4_v_t_40 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_41 sp4_v_b_0 +routing sp4_v_t_42 sp4_h_r_0 +routing sp4_v_t_43 sp4_h_r_11 +routing sp4_v_t_47 sp4_h_l_41 + +.logic_tile 4 5 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000010000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0000000000001000 0000 +LC_3 0000100000000000 0000 +LC_4 0000000000010000 0000 +LC_5 1000000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_4 lutff_0/in_2 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_6 lutff_2/in_2 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_4 lutff_2/in_3 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp12_v_b_14 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_h_r_9 local_g1_1 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_26 local_g2_2 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_v_b_11 sp4_v_t_46 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_3 sp4_v_t_43 + +.logic_tile 9 3 +LC_0 0110100110010110 0000 +LC_1 1000000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0100000000000000 0000 +LC_4 0000000000010000 0000 +LC_5 0000010000000000 0000 +LC_6 0000100100000000 0100 DffEnable +LC_7 0000010000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_4/in_2 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_bnl_7 local_g3_7 +buffer neigh_op_bot_1 local_g0_1 +buffer sp12_h_r_19 local_g0_3 +buffer sp12_v_b_21 sp4_v_b_22 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_43 local_g3_3 +routing sp12_v_t_22 sp12_h_r_1 +routing sp12_v_t_23 sp12_h_l_23 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_9 sp4_v_t_38 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_b_5 sp4_v_t_40 + +.logic_tile 12 15 +LC_0 0111000000000000 0000 +LC_1 1011111000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0110100110010110 0000 +LC_4 0000100010000000 0000 +LC_5 0111000000000000 0101 DffEnable AsyncSetReset +LC_6 0100000000000000 0101 DffEnable AsyncSetReset +LC_7 0100000000000000 0101 DffEnable AsyncSetReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_5 lutff_4/in_3 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_3 lutff_5/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g3_3 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out local_g2_7 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_6 local_g0_6 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_h_r_23 local_g0_7 +buffer sp12_v_b_5 local_g2_5 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_17 local_g1_1 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_7 sp4_h_l_47 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_v_b_3 sp4_v_t_43 + +.logic_tile 1 4 +ColBufCtrl glb_netwk_6 +LC_0 1000000000000000 0000 +LC_2 0110100110010110 0000 +LC_3 1000000000000000 0000 +LC_5 0001000000000000 0000 +LC_7 0011111101010101 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_3/in_0 +buffer local_g3_5 lutff_0/in_0 +buffer local_g3_5 lutff_2/in_2 +buffer local_g3_7 lutff_7/in_3 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g3_5 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_rgt_1 local_g2_1 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_h_r_5 local_g1_5 +buffer sp12_v_b_15 local_g3_7 +buffer sp4_r_v_b_34 local_g0_1 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_v_t_39 sp4_h_r_7 + +.logic_tile 4 16 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_6 lutff_3/in_2 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_4 lutff_6/in_0 +buffer local_g3_3 lutff_2/in_2 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_16 local_g1_0 + +.logic_tile 9 16 +LC_1 1000000000000000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_global/s_r +buffer local_g1_1 lutff_6/in_0 +buffer local_g2_3 lutff_1/in_0 +buffer local_g3_3 lutff_4/in_0 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_h_r_18 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_12 local_g0_4 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_35 local_g3_3 +routing sp4_h_r_4 sp4_v_t_41 +routing sp4_v_b_10 sp4_h_l_47 + +.logic_tile 7 5 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0000111000000000 0000 +LC_1 0010000000000000 0000 +LC_4 0100000000000000 0000 +LC_5 0000000010000000 0000 +LC_6 0000000011110001 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_0/in_0 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_4 lutff_0/in_2 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_3 lutff_1/in_3 +buffer local_g3_3 lutff_4/in_2 +buffer local_g3_4 lutff_6/in_3 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_4 local_g3_4 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_25 local_g3_1 +routing sp4_h_l_44 sp4_h_r_9 +routing sp4_h_r_11 sp4_v_t_46 +routing sp4_h_r_8 sp4_h_l_46 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_t_38 sp4_v_b_11 +routing sp4_v_t_38 sp4_v_b_6 + +.logic_tile 2 3 +LC_0 0000000011111111 0000 +LC_1 0110100110010110 0000 +LC_2 0011111101010101 0100 DffEnable +LC_3 0011111101010101 0100 DffEnable +LC_4 0011111101010101 0100 DffEnable +LC_5 0011111101010101 0100 DffEnable +LC_6 0011111101010101 0100 DffEnable +LC_7 0010101011110111 0100 DffEnable +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g0_7 lutff_4/in_1 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g1_7 lutff_7/in_1 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_3 lutff_7/in_3 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_top_1 local_g1_1 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_8 local_g1_0 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_27 local_g3_3 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_h_r_3 sp4_v_t_44 + +.logic_tile 1 9 +LC_1 0100000000000000 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g2_3 lutff_1/in_0 +buffer local_g3_5 lutff_1/in_1 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_6/out sp12_h_r_4 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_v_b_13 local_g3_5 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_10 local_g1_2 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_t_41 sp4_v_b_4 +routing sp4_v_t_42 sp4_h_r_0 + +.logic_tile 8 7 +LC_0 0000000011100000 0000 +LC_1 1110111000001110 0000 +LC_2 1110111000001110 0000 +LC_3 0000000011010000 0000 +LC_4 1000000011111111 0000 +LC_5 0000000100000000 0000 +LC_6 0000000011101010 0000 +LC_7 1111000010111011 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_6 lutff_7/in_3 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_5 lutff_6/in_2 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_2 lutff_6/in_3 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_5 lutff_7/in_1 +buffer local_g3_7 lutff_4/in_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out local_g0_5 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnl_5 local_g2_5 +buffer neigh_op_bnr_3 local_g1_3 +buffer neigh_op_bnr_5 local_g1_5 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_tnl_2 local_g3_2 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_37 local_g3_5 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_9 local_g1_1 +routing sp12_h_l_22 sp12_h_r_1 +routing sp12_h_l_22 sp12_v_b_1 +routing sp12_h_r_0 sp12_v_b_0 +routing sp12_v_t_22 sp12_h_l_22 +routing sp4_h_l_38 sp4_h_r_3 +routing sp4_h_l_46 sp4_h_r_7 +routing sp4_h_r_10 sp4_v_t_47 +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_11 sp4_h_l_46 +routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_b_6 sp4_v_t_43 + +.logic_tile 12 4 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_6 +LC_0 1000000000000000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_0/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_44 local_g2_4 +routing sp4_h_l_40 sp4_h_r_1 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_h_r_1 sp4_v_t_36 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_4 sp4_h_l_41 +routing sp4_v_t_38 sp4_h_l_38 +routing sp4_v_t_39 sp4_v_b_2 + +.logic_tile 4 2 +LC_4 1000000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_5 lutff_4/in_2 +buffer local_g2_1 lutff_4/in_3 +buffer local_g3_3 lutff_global/cen +buffer lutff_4/out sp12_h_r_0 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_27 local_g3_3 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_v_b_18 local_g0_2 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_v_b_7 sp4_h_l_37 + +.logic_tile 2 14 +LC_0 0001000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0000100000000000 0000 +LC_4 0001000000000000 0000 +LC_5 1101000011011101 0000 +LC_6 0100000000000000 0000 +LC_7 1111011100000000 0000 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_3 glb2local_3 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_5/in_3 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_5 lutff_7/in_2 +buffer local_g2_6 lutff_2/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out local_g2_5 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnl_2 local_g2_2 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_21 local_g1_5 +buffer sp12_h_r_3 local_g0_3 +buffer sp12_h_r_7 local_g1_7 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_2 local_g1_2 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_h_r_10 sp4_v_b_10 + +.logic_tile 9 6 +LC_0 0100000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 0000001000000000 0000 +LC_3 0000010000000000 0000 +LC_4 0100000000000000 0000 +LC_5 0000000100000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_4/in_1 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_6 lutff_2/in_1 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g1_1 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g0_7 +buffer sp12_v_b_19 sp4_v_b_21 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_22 local_g0_6 +routing sp12_v_t_22 sp12_v_b_1 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_v_b_0 sp4_h_l_37 +routing sp4_v_b_9 sp4_v_t_44 +routing sp4_v_t_36 sp4_v_b_1 +routing sp4_v_t_46 sp4_v_b_11 + +.logic_tile 6 5 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000000100000000 0000 +LC_1 0110000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0000000010000100 0000 +LC_6 0000000000000001 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_4/in_3 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_5 lutff_5/in_3 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out local_g0_1 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_4 local_g1_4 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_h_r_8 local_g0_0 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_r_v_b_6 local_g1_6 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_45 local_g2_5 +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_h_l_45 sp4_h_r_4 +routing sp4_h_r_5 sp4_v_b_5 +routing sp4_h_r_7 sp4_v_t_42 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_6 sp4_h_l_43 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_b_8 sp4_h_l_36 +routing sp4_v_t_36 sp4_h_r_1 +routing sp4_v_t_37 sp4_h_r_0 +routing sp4_v_t_45 sp4_h_l_45 +routing sp4_v_t_45 sp4_h_r_8 +routing sp4_v_t_47 sp4_h_r_10 + +.logic_tile 5 3 +LC_0 1000000000000000 0000 +LC_1 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_6/in_0 +buffer local_g1_0 lutff_1/in_0 +buffer local_g2_3 lutff_5/in_0 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_3 lutff_global/cen +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp4_v_b_28 +buffer neigh_op_tnl_3 local_g2_3 +buffer neigh_op_tnr_0 local_g3_0 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_2 local_g0_2 +buffer sp12_v_b_11 local_g3_3 +routing sp4_h_l_46 sp4_h_r_11 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_v_b_5 sp4_v_t_45 + +.logic_tile 11 7 +LC_6 0000000100000000 0000 +LC_7 1101111100000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_1 lutff_6/in_0 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_top_1 local_g0_1 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_3 local_g0_3 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_h_l_47 sp4_v_t_47 +routing sp4_h_r_2 sp4_v_t_39 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_8 sp4_h_l_45 +routing sp4_v_t_42 sp4_h_l_36 +routing sp4_v_t_44 sp4_h_l_38 + +.logic_tile 6 8 +LC_0 0000000000000100 0000 +LC_1 0101001100000000 0000 +LC_2 1100101000000000 0000 +LC_3 0001000000000000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_3/in_0 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_5 lutff_2/in_2 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_0/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_bot_4 local_g0_4 +buffer sp12_h_r_0 local_g0_0 +buffer sp12_v_b_8 local_g2_0 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_45 local_g3_5 +buffer sp4_v_b_47 local_g3_7 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_39 sp4_v_b_2 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_h_r_6 sp4_v_t_43 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_37 sp4_h_l_37 +routing sp4_v_t_39 sp4_v_b_10 +routing sp4_v_t_41 sp4_h_l_41 + +.logic_tile 1 12 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 1110000000000000 0000 +LC_1 0000000000001110 0000 +LC_2 0000111000000000 0000 +LC_3 0001000000000000 0000 +LC_4 0000000000001110 0000 +LC_5 0000010000000000 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_3 glb2local_1 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_4 lutff_6/in_3 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_6 lutff_1/in_0 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_5 lutff_5/in_1 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_tnr_1 local_g3_1 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_45 local_g3_5 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_h_r_4 sp4_v_t_41 +routing sp4_h_r_7 sp4_v_t_36 + +.logic_tile 8 12 +ColBufCtrl glb_netwk_6 +LC_0 0000001000000000 0000 +LC_1 0000001000000000 0000 +LC_2 0000100000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0000001000000000 0000 +LC_5 0000001000000000 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_6/in_1 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_2 lutff_3/in_3 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_5/in_1 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_5 lutff_4/in_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_v_b_11 sp4_v_b_17 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_24 local_g3_0 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_45 local_g2_5 +buffer sp4_v_b_45 local_g3_5 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_v_b_1 sp4_v_t_44 +routing sp4_v_b_10 sp4_v_t_43 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_t_36 sp4_v_b_1 +routing sp4_v_t_40 sp4_v_b_5 +routing sp4_v_t_46 sp4_v_b_11 + +.logic_tile 11 8 +LC_0 0001000000000000 0000 +LC_1 0000001000000000 0000 +LC_3 0000101100000000 0000 +LC_4 0000111000000000 0000 +LC_5 0000000000100000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_4/in_2 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_5/in_3 +buffer local_g3_4 lutff_1/in_0 +buffer local_g3_5 lutff_1/in_1 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_v_b_30 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_v_b_10 local_g2_2 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_36 local_g3_4 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_45 local_g3_5 +buffer sp4_v_b_8 local_g1_0 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_r_0 sp4_h_l_38 +routing sp4_h_r_3 sp4_h_l_46 +routing sp4_v_b_9 sp4_h_l_44 +routing sp4_v_b_9 sp4_v_t_40 + +.logic_tile 4 15 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 0000 +LC_3 0000000000011000 0000 +LC_4 0000000010101011 0000 +LC_5 0000000010101011 0000 +LC_6 0000000010000001 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_2 local_g0_6 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_2 glb2local_2 +buffer glb_netwk_2 glb2local_3 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_7 lutff_3/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_7/out local_g2_7 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_h_r_5 local_g0_5 +buffer sp12_h_r_5 local_g1_5 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_h_r_9 local_g1_1 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_6 local_g1_6 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_18 local_g1_2 +routing sp12_v_b_0 sp12_h_r_0 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_8 sp4_h_l_46 + +.logic_tile 7 13 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000000011110100 0000 +LC_1 0000000011110100 0000 +LC_2 0001111000000000 0000 +LC_3 1010110000000000 0000 +LC_4 0000000011110100 0000 +LC_5 0000000011110100 0000 +LC_6 0000000011110100 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_4/in_3 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_6 lutff_2/in_1 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out local_g0_7 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_top_1 local_g1_1 +buffer sp12_v_b_5 local_g2_5 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_38 local_g3_6 +routing sp12_h_l_23 sp12_v_b_0 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_r_6 sp4_v_t_43 +routing sp4_v_b_7 sp4_h_l_42 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_t_36 sp4_h_r_6 +routing sp4_v_t_37 sp4_v_b_3 +routing sp4_v_t_38 sp4_h_l_44 + +.logic_tile 2 11 +LC_0 0000000000001011 0000 +LC_1 0100000000000000 0000 +LC_2 0100000000000000 0000 +LC_3 0000000000000100 0000 +LC_4 0001000000000000 0000 +LC_5 0000001100000101 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_3 glb2local_1 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_5/in_1 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_6 lutff_5/in_2 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnl_7 local_g3_7 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_lft_4 local_g1_4 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_v_b_22 local_g3_6 +buffer sp12_v_b_23 sp4_v_b_23 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_t_36 sp4_h_r_1 +routing sp4_v_t_45 sp4_v_b_11 +routing sp4_v_t_47 sp4_h_r_3 +routing sp4_v_t_47 sp4_v_b_1 + +.logic_tile 9 9 +LC_1 0001000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0100000000000000 0000 +LC_4 0000000000010000 0000 +LC_5 0000010000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_1/in_0 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_1 lutff_5/in_3 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer neigh_op_top_4 local_g1_4 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_41 local_g3_1 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_40 local_g3_0 +buffer sp4_v_b_44 local_g2_4 +routing sp12_v_b_0 sp12_h_l_23 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_h_r_6 sp4_h_l_44 +routing sp4_h_r_8 sp4_v_t_45 +routing sp4_v_b_2 sp4_v_t_47 +routing sp4_v_b_5 sp4_v_t_40 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_b_6 sp4_v_t_39 +routing sp4_v_b_7 sp4_v_t_42 +routing sp4_v_t_46 sp4_v_b_11 + +.logic_tile 5 14 +LC_0 0000100000000000 0000 +LC_1 0000000100000010 0000 +LC_2 0001111000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0000001000000000 0000 +LC_5 0000001000000000 0000 +LC_6 1110110100000000 0000 +LC_7 0000100000000000 0000 +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_3 lutff_6/in_0 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_0/out sp12_v_b_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out local_g1_7 +buffer neigh_op_tnr_5 local_g2_5 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_33 local_g3_1 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_43 local_g3_3 +buffer sp4_v_b_44 local_g2_4 +buffer sp4_v_b_47 local_g2_7 +routing sp4_h_l_36 sp4_h_r_9 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_h_l_45 sp4_h_r_11 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_h_r_1 sp4_h_l_44 +routing sp4_h_r_2 sp4_h_l_40 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_t_36 sp4_v_b_4 +routing sp4_v_t_42 sp4_v_b_10 + +.logic_tile 8 14 +LC_0 0000001000000000 0000 +LC_1 0100000000000000 0000 +LC_2 1010101000101010 0000 +LC_3 0000000100000010 0000 +LC_4 1011111100000000 0000 +LC_5 1110101100000000 0000 +LC_6 1111010000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_5 lutff_5/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_5 lutff_3/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out lutff_4/in_2 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_h_r_10 local_g1_2 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_45 local_g2_5 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_7 local_g1_7 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_h_r_2 sp4_v_b_2 +routing sp4_v_b_1 sp4_v_t_44 +routing sp4_v_t_41 sp4_v_b_7 +routing sp4_v_t_44 sp4_h_r_2 + +.logic_tile 8 15 +LC_3 0000000000001011 0000 +LC_4 0101010111111100 0000 +LC_6 0001000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_3/in_0 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_5 lutff_4/in_1 +buffer local_g3_6 lutff_6/in_1 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_top_5 local_g1_5 +buffer sp4_h_r_45 local_g2_5 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_34 local_g2_2 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_v_b_4 sp4_h_l_41 + +.logic_tile 6 13 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000001000000000 0000 +LC_1 1011000000000000 0000 +LC_2 0111010100000000 0000 +LC_3 1111011100000000 0000 +LC_4 0000011101110000 0000 +LC_5 0000000100000010 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_5 lutff_1/in_3 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_top_5 local_g1_5 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_23 local_g0_7 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_46 local_g2_6 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_0 sp4_h_l_45 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_h_r_5 sp4_h_l_40 +routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_t_38 sp4_v_b_6 +routing sp4_v_t_41 sp4_v_b_4 + +.logic_tile 1 7 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_3 lutff_6/in_2 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_v_b_11 local_g3_3 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_3 local_g0_3 + +.logic_tile 11 15 +LC_1 0010000000000000 0000 +LC_2 1000000000000000 0000 +LC_4 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_5 lutff_4/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer local_g3_0 lutff_1/in_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_4/out sp4_v_b_8 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp12_h_r_13 local_g1_5 +buffer sp4_v_b_2 local_g0_2 + +.logic_tile 7 8 +LC_0 1110000000000000 0000 +LC_1 0000000100000000 0000 +LC_2 0100000000000000 0000 +LC_3 0000011100000000 0000 +LC_4 0100000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 1110000000000000 0100 DffEnable +LC_7 1101000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_5/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_0/out local_g3_0 +buffer lutff_2/out local_g3_2 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bnl_4 local_g3_4 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_tnr_6 local_g2_6 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp12_v_b_23 sp4_v_b_23 +buffer sp12_v_b_3 local_g2_3 +buffer sp12_v_b_3 local_g3_3 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_b_2 sp4_h_r_2 + +.logic_tile 2 4 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_6 +LC_0 0000000010000000 0000 +LC_1 0001000000000000 0000 +LC_2 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_5 lutff_6/in_0 +buffer local_g3_2 lutff_1/in_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_6/out sp4_v_b_28 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_3 local_g0_3 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_26 local_g3_2 +routing sp4_h_r_1 sp4_v_t_36 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_v_b_1 sp4_v_t_44 + +.logic_tile 9 12 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 1010110000000000 0000 +LC_1 1000000000000000 0000 +LC_2 0000000011101011 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_4 lutff_0/in_1 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_4 lutff_0/in_2 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_5 lutff_2/in_2 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_tnl_4 local_g2_4 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_v_b_10 local_g2_2 +buffer sp12_v_b_10 local_g3_2 +buffer sp12_v_b_5 local_g3_5 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_15 local_g0_7 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_t_37 sp4_h_l_37 +routing sp4_v_t_39 sp4_v_b_5 +routing sp4_v_t_40 sp4_h_r_10 +routing sp4_v_t_40 sp4_v_b_1 +routing sp4_v_t_41 sp4_h_r_4 +routing sp4_v_t_41 sp4_v_b_7 +routing sp4_v_t_42 sp4_v_b_3 +routing sp4_v_t_46 sp4_h_l_46 + +.logic_tile 12 8 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g3_4 lutff_7/in_0 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_v_b_30 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_36 local_g3_4 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_t_44 sp4_h_r_2 +routing sp4_v_t_46 sp4_v_b_2 + +.logic_tile 11 16 +LC_1 0110000000000000 0000 +LC_3 0001000000000000 0000 +LC_4 1000000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_2 glb2local_1 +buffer glb_netwk_3 glb2local_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_global/s_r +buffer local_g1_7 lutff_6/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out sp4_v_b_30 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_5 local_g1_5 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_l_46 sp4_h_r_7 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_r_2 sp4_h_l_40 +routing sp4_h_r_7 sp4_h_l_42 +routing sp4_v_b_9 sp4_h_l_44 + +.logic_tile 5 9 +LC_0 0001010000101000 0000 +LC_1 0000010000000000 0000 +LC_2 0001001001001000 0000 +LC_3 1100101000000000 0000 +LC_4 0001001001001000 0000 +LC_5 1000000000000000 0000 +LC_6 0000000000000001 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_6 lutff_5/in_1 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_2 lutff_2/in_3 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_6 lutff_2/in_1 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g1_2 +buffer lutff_4/out local_g2_4 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp12_v_b_14 local_g2_6 +buffer sp12_v_b_17 local_g2_1 +buffer sp12_v_b_18 local_g2_2 +buffer sp12_v_b_18 local_g3_2 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_24 local_g3_0 +buffer sp4_v_b_38 local_g3_6 +buffer sp4_v_b_8 local_g0_0 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_h_l_45 sp4_h_r_8 +routing sp4_h_l_46 sp4_h_r_7 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_11 sp4_h_l_46 +routing sp4_v_b_4 sp4_h_r_4 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_b_5 sp4_v_t_45 +routing sp4_v_t_37 sp4_v_b_3 +routing sp4_v_t_39 sp4_h_l_39 +routing sp4_v_t_40 sp4_v_b_8 +routing sp4_v_t_43 sp4_v_b_6 +routing sp4_v_t_44 sp4_h_l_38 +routing sp4_v_t_46 sp4_v_b_2 +routing sp4_v_t_47 sp4_v_b_1 + +.logic_tile 4 7 +LC_0 0101001100000000 0000 +LC_1 0101001100000000 0000 +LC_2 1000000000000000 0000 +LC_3 0101001100000000 0000 +LC_4 0101001100000000 0000 +LC_5 0101001100000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_5 lutff_5/in_2 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_6 lutff_3/in_0 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_top_4 local_g0_4 +buffer neigh_op_top_6 local_g0_6 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_2 local_g1_2 +buffer sp12_h_r_22 local_g1_6 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_h_r_31 local_g2_7 +buffer sp4_h_r_31 local_g3_7 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_9 local_g1_1 +routing sp12_h_l_23 sp12_v_b_0 +routing sp12_v_t_22 sp12_v_b_1 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_b_5 sp4_h_l_40 +routing sp4_v_t_46 sp4_v_b_2 + +.logic_tile 9 1 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_5 lutff_6/in_1 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_1 lutff_6/in_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_3 lutff_4/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/cout lutff_7/in_3 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_5 local_g0_5 +routing sp12_v_t_23 sp12_h_r_0 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_t_44 sp4_v_b_5 + +.logic_tile 6 6 +LC_0 0000000001000000 0000 +LC_1 0001000000000000 0000 +LC_3 0000001000000000 0000 +LC_4 0001000000000000 0000 +LC_5 0000000001000000 0000 +LC_6 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_1 lutff_0/in_3 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_5 lutff_5/in_2 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_7 lutff_0/in_2 +buffer lutff_0/out local_g2_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bot_4 local_g1_4 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_v_b_19 local_g3_3 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_34 local_g3_2 +buffer sp4_v_b_36 local_g3_4 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_45 local_g2_5 +buffer sp4_v_b_46 local_g2_6 +buffer sp4_v_b_47 local_g3_7 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_l_42 sp4_h_r_7 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_h_r_2 sp4_v_b_2 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_h_r_8 sp4_v_t_39 +routing sp4_h_r_9 sp4_h_l_44 +routing sp4_v_b_9 sp4_h_l_39 +routing sp4_v_t_42 sp4_h_l_36 +routing sp4_v_t_43 sp4_v_b_9 +routing sp4_v_t_47 sp4_v_b_1 + +.logic_tile 5 6 +LC_0 0010100000010100 0000 +LC_1 0000000000000001 0000 +LC_2 0000000011111101 0000 +LC_3 0000100000000000 0000 +LC_4 0001010000101000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_6 lutff_0/in_3 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_6 lutff_6/in_0 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_4 lutff_2/in_1 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g2_2 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bot_2 local_g0_2 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_v_b_22 local_g3_6 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_43 local_g3_3 +buffer sp4_v_b_45 local_g2_5 +buffer sp4_v_b_45 local_g3_5 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_39 sp4_h_r_10 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_v_b_11 sp4_h_r_11 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_t_38 sp4_h_l_44 +routing sp4_v_t_38 sp4_v_b_11 +routing sp4_v_t_43 sp4_v_b_9 +routing sp4_v_t_45 sp4_h_r_1 + +.logic_tile 2 16 +LC_6 0100000000000000 0000 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_r_v_b_13 +buffer sp12_h_r_6 local_g0_6 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp4_v_b_1 local_g0_1 +routing sp4_h_r_2 sp4_v_b_2 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_h_r_7 sp4_v_b_7 + +.logic_tile 11 2 +LC_1 1000000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_6 lutff_4/in_2 +buffer local_g1_4 lutff_3/in_0 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_6 lutff_6/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_6 lutff_4/in_3 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_v_b_14 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_8 local_g0_0 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_44 sp4_h_r_0 +routing sp4_v_t_37 sp4_h_r_5 +routing sp4_v_t_44 sp4_h_l_44 +routing sp4_v_t_44 sp4_v_b_0 +routing sp4_v_t_44 sp4_v_b_9 +routing sp4_v_t_46 sp4_h_l_46 + +.logic_tile 7 7 +LC_0 1101110111010000 0000 +LC_1 0001000000000000 0000 +LC_2 0000011100000000 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 1110111011100000 0000 +LC_6 1011000000000000 0000 +LC_7 1011000000000000 0000 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_6/in_1 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_6 lutff_6/in_0 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_5 lutff_1/in_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_tnl_0 local_g2_0 +buffer neigh_op_tnl_0 local_g3_0 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_11 local_g1_3 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_33 local_g3_1 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_5 local_g1_5 +routing sp12_h_r_1 sp12_v_b_1 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_42 sp4_h_r_10 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_h_r_1 sp4_h_l_44 +routing sp4_h_r_3 sp4_v_b_3 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_b_6 sp4_v_t_39 +routing sp4_v_b_8 sp4_h_l_45 +routing sp4_v_b_8 sp4_v_t_46 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_46 sp4_h_l_40 + +.logic_tile 2 1 +LC_0 1000000000000000 0000 +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_3/in_0 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_4 lutff_0/in_0 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_6/out sp4_r_v_b_13 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_37 local_g3_5 +buffer sp4_v_b_36 local_g2_4 +routing sp4_v_t_37 sp4_h_r_5 + +.logic_tile 1 15 +LC_0 1001000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 0110100110010110 0000 +LC_3 0000100010000000 0000 +LC_4 1011111000000000 0000 +LC_5 0100000000000000 0101 DffEnable AsyncSetReset +LC_6 0111000000000000 0101 DffEnable AsyncSetReset +LC_7 0100000000000000 0101 DffEnable AsyncSetReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g3_0 lutff_2/in_3 +buffer local_g3_4 lutff_7/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_rgt_4 local_g3_4 +buffer sp12_v_b_0 local_g3_0 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_26 local_g2_2 +routing sp12_v_b_0 sp12_h_r_0 + +.logic_tile 12 5 +CarryInSet +ColBufCtrl glb_netwk_6 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 0000 +LC_4 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_1/in_2 +buffer local_g3_3 lutff_2/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_v_b_8 +buffer neigh_op_lft_4 local_g0_4 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_v_b_18 local_g2_2 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_35 local_g3_3 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_b_8 sp4_v_t_45 +routing sp4_v_t_42 sp4_h_l_36 +routing sp4_v_t_45 sp4_h_l_39 + +.logic_tile 4 12 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 1000001001000001 0000 +LC_1 0000000000000001 0000 +LC_2 0001010000101000 0000 +LC_3 0001001001001000 0000 +LC_4 1000000000000000 0000 +LC_5 0001001001001000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_0 lutff_1/in_3 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_6 lutff_3/in_3 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_2 lutff_1/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_5 lutff_5/in_1 +buffer local_g3_6 lutff_4/in_3 +buffer local_g3_7 lutff_2/in_2 +buffer local_g3_7 lutff_4/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g3_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_tnr_5 local_g3_5 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp12_h_r_6 local_g0_6 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_44 local_g2_4 +buffer sp4_v_b_46 local_g2_6 +buffer sp4_v_b_46 local_g3_6 +buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_8 local_g1_0 +routing sp12_h_l_23 sp12_h_r_0 +routing sp12_h_l_23 sp12_v_t_23 +routing sp12_v_b_0 sp12_h_l_23 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_l_44 sp4_h_r_0 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_t_42 sp4_h_r_7 +routing sp4_v_t_46 sp4_v_b_2 + +.logic_tile 7 16 +LC_0 0000000000011000 0000 +LC_1 0000101110110000 0000 +LC_2 1110000100000000 0000 +LC_3 0000000000101111 0000 +LC_4 1011000000000000 0000 +LC_6 0000000000101111 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_0 local_g0_4 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_2 glb2local_3 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_7 lutff_0/in_3 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_2 lutff_2/in_3 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_bot_6 local_g1_6 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_v_b_10 local_g3_2 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_38 sp4_h_r_11 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_h_r_9 sp4_h_l_40 + +.logic_tile 2 12 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0010000000000000 0000 +LC_1 0000001000000000 0000 +LC_2 0001000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_6 lutff_4/in_3 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_tnl_0 local_g2_0 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp12_v_b_20 local_g2_4 +buffer sp12_v_b_3 local_g3_3 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_19 local_g1_3 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_36 sp4_h_r_6 +routing sp4_v_t_42 sp4_h_l_42 + +.logic_tile 9 4 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 0000000100000000 0000 +LC_3 0000000010000000 0000 +LC_4 0100000000000000 0000 +LC_5 0010000000000000 0000 +LC_6 1110101100000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_0/in_3 +buffer local_g2_7 lutff_2/in_3 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_5 lutff_6/in_0 +buffer local_g3_7 lutff_1/in_1 +buffer local_g3_7 lutff_2/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out lutff_3/in_2 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out lutff_5/in_2 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_3 local_g1_3 +buffer sp12_v_b_18 local_g2_2 +buffer sp12_v_b_23 local_g3_7 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_46 sp4_h_r_7 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_v_b_11 sp4_h_r_11 +routing sp4_v_t_38 sp4_h_l_44 +routing sp4_v_t_38 sp4_v_b_3 + +.logic_tile 12 16 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 0000 +LC_5 0110100110010110 0000 +LC_6 1001000000000000 0000 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_3 lutff_1/in_2 +buffer local_g3_3 lutff_5/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_v_b_4 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_bot_7 local_g1_7 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_43 local_g3_3 + +.logic_tile 5 1 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000011111111 0000 +LC_7 1000000000000000 0000 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_5 lutff_4/in_2 +buffer local_g2_5 lutff_5/in_2 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_6 lutff_0/in_1 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_tnr_6 local_g3_6 +buffer sp12_h_r_3 local_g1_3 +buffer sp12_h_r_9 local_g0_1 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_25 local_g1_1 +routing sp4_h_r_1 sp4_h_l_41 + +.logic_tile 12 10 +LC_5 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g1_3 lutff_global/cen +buffer local_g1_6 lutff_5/in_0 +buffer lutff_5/out sp4_v_b_42 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_3 local_g1_3 +routing sp12_v_t_23 sp12_h_l_23 +routing sp4_h_l_36 sp4_v_b_7 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_36 sp4_v_b_1 +routing sp4_v_t_39 sp4_h_l_39 +routing sp4_v_t_41 sp4_h_l_47 +routing sp4_v_t_42 sp4_h_r_7 + +.logic_tile 7 2 +LC_0 0000000011111111 0000 +LC_1 1000000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0000000010000000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_2/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_5 lutff_3/in_3 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_6 lutff_3/in_0 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer neigh_op_tnr_7 local_g3_7 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_v_b_10 local_g2_2 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_r_11 sp4_h_l_39 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_h_r_9 sp4_h_l_40 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_t_43 sp4_v_b_9 + +.logic_tile 6 14 +LC_0 0000000000011111 0000 +LC_1 1110111100000010 0000 +LC_2 0000100000000010 0000 +LC_3 1000000000000000 0000 +LC_4 0000000010110010 0000 +LC_5 0111000000000000 0000 +LC_6 0111010100000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_2/in_3 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_1/in_0 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_6 lutff_0/in_3 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out lutff_6/in_2 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_top_4 local_g0_4 +buffer neigh_op_top_5 local_g1_5 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_7 local_g0_7 +buffer sp12_h_r_7 local_g1_7 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_45 local_g2_5 +routing sp4_h_l_45 sp4_h_r_11 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_0 sp4_h_l_37 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_t_42 sp4_v_b_10 +routing sp4_v_t_43 sp4_v_b_6 + +.logic_tile 1 2 +LC_5 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g1_3 lutff_global/cen +buffer local_g2_1 lutff_5/in_0 +buffer lutff_5/out sp4_h_r_10 +buffer sp12_v_b_19 sp4_v_b_21 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_r_v_b_3 local_g1_3 +routing sp4_v_b_0 sp4_h_r_6 + +.logic_tile 12 2 +LC_1 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g1_3 lutff_4/in_0 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp12_h_r_20 +buffer sp12_h_r_11 local_g1_3 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_v_b_11 local_g0_3 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_46 sp4_v_b_11 + +.logic_tile 11 10 +LC_0 0000000000010000 0000 +LC_1 0001000000000000 0000 +LC_2 0011000001010000 0000 +LC_3 0000010000000000 0000 +LC_4 1100101000000000 0000 +LC_5 0101001100000000 0110 DffEnable Set_NoReset +LC_6 0101001100000000 0110 DffEnable Set_NoReset +LC_7 0101001100000000 0110 DffEnable Set_NoReset +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_5/in_2 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_4/in_0 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_2 lutff_3/in_2 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_global/s_r +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out sp12_v_b_0 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out local_g2_5 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_top_1 local_g0_1 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_h_r_8 local_g1_0 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_h_r_39 local_g3_7 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_43 local_g3_3 +buffer sp4_v_b_6 local_g1_6 +routing sp12_v_b_0 sp12_h_r_0 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_40 sp4_h_r_8 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_v_t_42 sp4_h_r_0 + +.logic_tile 4 9 +LC_0 0011000001010000 0000 +LC_1 0000001100000101 0000 +LC_3 0101001100000000 0000 +LC_4 0011000001010000 0000 +LC_5 0000111110001000 0000 +LC_6 0001000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_1/in_3 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_3 lutff_global/cen +buffer local_g3_6 lutff_4/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g2_1 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_5 local_g0_5 +buffer sp12_v_b_6 local_g2_6 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_h_r_39 local_g2_7 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_34 local_g3_2 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_45 local_g2_5 +routing sp4_h_l_36 sp4_h_r_1 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_42 sp4_h_r_10 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_l_45 sp4_h_r_11 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_h_r_5 sp4_h_l_36 +routing sp4_h_r_5 sp4_h_l_45 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_t_42 sp4_h_l_42 + +.logic_tile 7 15 +LC_0 0001000000000000 0000 +LC_1 0100000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0001000000000000 0000 +LC_5 0000000000101111 0000 +LC_6 0100000000000000 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_1 local_g0_5 +buffer glb_netwk_3 glb2local_1 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_5/in_3 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_6 lutff_3/in_0 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_6 lutff_5/in_1 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_4 lutff_5/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out local_g3_4 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_rgt_6 local_g2_6 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_44 sp4_v_b_9 + +.logic_tile 2 9 +LC_0 1011101000000000 0000 +LC_1 0000111110001000 0000 +LC_2 1010111101110011 0000 +LC_3 0001000000000000 0000 +LC_5 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_5 lutff_0/in_0 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_3 lutff_7/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp12_v_b_0 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_tnl_7 local_g2_7 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_h_r_5 local_g0_5 +buffer sp12_v_b_10 local_g2_2 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_14 local_g2_6 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_4 local_g0_4 +buffer sp4_v_b_5 local_g1_5 +buffer sp4_v_b_6 local_g0_6 +routing sp12_v_t_22 sp12_v_b_1 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_t_37 sp4_h_r_5 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_t_47 sp4_h_r_10 +routing sp4_v_t_47 sp4_h_r_3 + +.logic_tile 9 15 +LC_0 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g2_2 lutff_0/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_0/out sp4_v_b_16 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_v_b_42 local_g2_2 +routing sp12_h_l_22 sp12_v_b_1 +routing sp4_v_b_3 sp4_h_l_45 +routing sp4_v_t_45 sp4_h_l_39 + +.logic_tile 8 1 +LC_0 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0110100110010110 0000 +LC_7 0010000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_4 glb2local_1 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_4 lutff_4/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_top_1 local_g0_1 +buffer sp12_v_b_4 local_g2_4 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_15 local_g0_7 +routing sp4_h_l_43 sp4_v_t_43 +routing sp4_v_t_37 sp4_h_l_43 +routing sp4_v_t_41 sp4_h_l_41 +routing sp4_v_t_44 sp4_h_r_2 + +.logic_tile 12 9 +LC_1 0000000100000000 0000 +LC_5 0100000000000000 0000 +LC_6 0000010000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_6/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_2 lutff_5/in_2 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_1 lutff_5/in_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp4_v_b_46 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_24 local_g3_0 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_41 local_g3_1 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_v_b_7 sp4_h_l_37 +routing sp4_v_t_41 sp4_v_b_7 +routing sp4_v_t_43 sp4_h_r_11 + +.logic_tile 5 12 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 1000000000000000 0000 +LC_1 0000001100000101 0000 +LC_2 0000001000000000 0000 +LC_3 0000100000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0000100000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_5 lutff_6/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_1/in_3 +buffer local_g2_5 lutff_0/in_3 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out local_g2_5 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp4_v_b_30 +buffer sp12_h_r_17 local_g1_1 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_v_b_8 local_g2_0 +buffer sp4_h_r_0 local_g1_0 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_31 local_g2_7 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_39 local_g3_7 +buffer sp4_v_b_4 local_g1_4 +buffer sp4_v_b_44 local_g3_4 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_l_46 sp4_h_r_2 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_h_r_11 sp4_v_t_40 +routing sp4_h_r_3 sp4_v_b_3 +routing sp4_h_r_7 sp4_h_l_42 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_11 sp4_v_t_46 +routing sp4_v_b_2 sp4_v_t_39 +routing sp4_v_b_4 sp4_h_l_41 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_38 sp4_h_l_38 +routing sp4_v_t_39 sp4_v_b_5 +routing sp4_v_t_43 sp4_h_l_43 +routing sp4_v_t_45 sp4_v_b_8 +routing sp4_v_t_47 sp4_v_b_10 + +.logic_tile 4 4 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_1 0001000000000000 0000 +LC_3 0001000000000000 0000 +LC_4 1000000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_6 lutff_1/in_0 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_6 lutff_3/in_1 +buffer local_g3_1 lutff_1/in_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_1 local_g3_1 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_v_b_6 local_g2_6 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_35 local_g2_3 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_42 sp4_h_r_7 +routing sp4_h_r_8 sp4_v_t_45 + +.logic_tile 6 3 +LC_1 1000000000000000 0000 +LC_2 1101010100000000 0000 +LC_4 0011111110101010 0000 +LC_5 0100000000000000 0100 DffEnable +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_2/in_3 +buffer local_g2_1 lutff_5/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out local_g0_2 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out sp4_h_r_26 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_rgt_2 local_g3_2 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_r_v_b_35 local_g0_0 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_45 sp4_v_t_45 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_11 sp4_v_t_40 +routing sp4_h_r_7 sp4_v_t_42 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_t_37 sp4_h_r_0 +routing sp4_v_t_41 sp4_v_b_7 +routing sp4_v_t_44 sp4_h_r_9 + +.logic_tile 1 5 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_1 0001000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_5 0000000000000010 0000 +LC_6 0000000000000001 0000 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g1_5 lutff_1/in_1 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_5 lutff_5/in_2 +buffer local_g2_6 lutff_5/in_3 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_5 lutff_6/in_2 +buffer local_g3_6 lutff_6/in_3 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_5/out sp12_h_r_2 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_tnr_3 local_g2_3 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_v_b_33 local_g3_1 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_v_t_43 sp4_h_l_37 + +.logic_tile 11 1 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 0000 +LC_4 0000000000000001 0000 +LC_6 0010000000000000 0100 DffEnable +LC_7 0010000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_4 glb2local_1 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_7 lutff_4/in_2 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out local_g1_1 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g3_2 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer neigh_op_rgt_1 local_g3_1 +buffer sp12_h_r_13 local_g1_5 +buffer sp4_h_r_6 local_g1_6 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_18 local_g1_2 + +.logic_tile 7 10 +LC_0 1100101000000000 0000 +LC_2 1100101000000000 0000 +LC_3 0000000011110100 0000 +LC_4 1100101000000000 0000 +LC_5 0000000011110001 0000 +LC_6 0000000011110001 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_7 lutff_2/in_2 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_3/in_3 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_6 lutff_5/in_3 +buffer local_g3_2 lutff_6/in_3 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_5 lutff_2/in_0 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_v_b_10 local_g2_2 +buffer sp12_v_b_10 local_g3_2 +buffer sp12_v_b_11 local_g3_3 +buffer sp12_v_b_5 local_g2_5 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_29 local_g3_5 +routing sp12_h_l_22 sp12_h_r_1 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_l_44 sp4_h_r_0 +routing sp4_h_r_11 sp4_v_t_40 +routing sp4_h_r_2 sp4_h_l_47 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_10 sp4_v_t_47 +routing sp4_v_b_6 sp4_h_l_46 + +.logic_tile 2 2 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000000000000 1000 CarryEnable +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_5 lutff_5/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_3 lutff_3/in_1 +buffer local_g2_0 lutff_1/in_1 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_6 lutff_0/in_1 +buffer sp12_h_r_1 local_g0_1 +buffer sp12_v_b_14 local_g3_6 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_21 local_g0_5 +routing sp12_v_t_22 sp12_h_r_1 +routing sp4_v_b_10 sp4_v_t_47 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_3 sp4_h_r_3 +routing sp4_v_t_38 sp4_v_b_3 +routing sp4_v_t_39 sp4_v_b_10 +routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_t_43 sp4_v_b_2 + +.logic_tile 1 10 +LC_0 0000000011010000 0000 +LC_1 0010000000000000 0000 +LC_2 0000000011010000 0000 +LC_4 0001000000000000 0000 +LC_7 0011111101010101 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_2/in_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_1/in_1 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_7 lutff_7/in_2 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_3 lutff_7/in_3 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_4/out local_g0_4 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_v_b_3 local_g3_3 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_r_v_b_8 local_g2_0 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_v_t_37 sp4_h_l_37 +routing sp4_v_t_38 sp4_h_r_8 +routing sp4_v_t_46 sp4_h_r_4 + +.logic_tile 8 6 +LC_0 0000111000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 1110101000000000 0000 +LC_4 0000111000000000 0000 +LC_5 0000000000010000 0000 +LC_6 1111100000000000 0000 +LC_7 1110111011100000 0000 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_0/in_2 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_7 lutff_1/in_3 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_7/in_3 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_6 lutff_5/in_1 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_5 lutff_2/in_0 +buffer local_g3_5 lutff_3/in_1 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_bnl_1 local_g2_1 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_5 local_g3_5 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_40 local_g2_0 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_h_r_8 sp4_h_l_46 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_t_45 sp4_v_b_11 +routing sp4_v_t_45 sp4_v_b_8 + +.logic_tile 8 9 +LC_0 0000000000001101 0000 +LC_1 0100000000000000 0000 +LC_2 0000100000000000 0000 +LC_3 0000000100000000 0000 +LC_4 0000000100000000 0000 +LC_5 0000000000000001 0000 +LC_6 0000010000000000 0000 +LC_7 1111101100000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_4 lutff_global/s_r +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_1 lutff_0/in_3 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_7/in_2 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_2/in_0 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_3 lutff_7/in_1 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bnl_5 local_g2_5 +buffer neigh_op_bnr_0 local_g1_0 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_bot_0 local_g0_0 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_11 sp4_v_b_17 +buffer sp12_v_b_21 sp4_v_b_22 +buffer sp12_v_b_9 local_g2_1 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_3 local_g1_3 +routing sp4_h_r_9 sp4_v_b_9 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_t_45 sp4_h_l_45 + +.logic_tile 4 1 +buffer sp12_v_b_13 sp4_v_b_18 +routing sp4_h_r_4 sp4_h_l_37 + +.logic_tile 8 11 +LC_0 0000000011110001 0000 +LC_1 0101001100000000 0000 +LC_2 0101001100000000 0000 +LC_3 0010000000000000 0000 +LC_4 0000100000000000 0000 +LC_5 1100111101010101 0100 DffEnable +LC_6 1010100011111111 0100 DffEnable +LC_7 1110000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_5/in_3 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_5 lutff_5/in_2 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_6 lutff_4/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_5 lutff_2/in_0 +buffer local_g3_6 lutff_0/in_3 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g3_7 +buffer neigh_op_tnr_4 local_g3_4 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp12_v_b_3 local_g2_3 +buffer sp12_v_b_6 local_g2_6 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_38 local_g3_6 +buffer sp4_v_b_8 local_g1_0 +routing sp12_v_t_22 sp12_h_l_22 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_5 sp4_h_l_45 +routing sp4_v_b_4 sp4_v_t_41 +routing sp4_v_t_36 sp4_h_l_36 + +.logic_tile 9 7 +LC_0 0001000000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0000000011101010 0000 +LC_3 1111111110000000 0000 +LC_4 0001000000000000 0000 +LC_5 1110111011100000 0000 +LC_6 1110111011100000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_5/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_3/in_3 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_5/in_0 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_4 lutff_2/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out local_g2_0 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_3/out local_g2_3 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnl_1 local_g2_1 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_5 local_g0_5 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_39 local_g2_7 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_r_6 sp4_v_b_11 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_40 sp4_v_b_1 +routing sp4_v_t_43 sp4_h_l_43 + +.logic_tile 6 4 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0000 +LC_1 0000110100000000 0000 +LC_3 0000000010110000 0000 +LC_4 1011000000000000 0000 +LC_5 0100000000000000 0000 +LC_7 0001000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_7/in_1 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_7 lutff_3/in_3 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out local_g3_1 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out local_g1_5 +buffer lutff_7/out local_g3_7 +buffer neigh_op_bnr_2 local_g1_2 +buffer neigh_op_bot_1 local_g0_1 +buffer sp12_v_b_17 local_g2_1 +buffer sp12_v_b_21 sp4_v_b_22 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_42 local_g3_2 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_41 sp4_v_b_10 +routing sp4_h_l_43 sp4_v_t_43 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_r_8 sp4_v_t_45 +routing sp4_v_b_3 sp4_h_l_45 +routing sp4_v_t_37 sp4_h_l_43 + +.logic_tile 5 4 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0000 +LC_1 0000000000000001 0000 +LC_2 0001000000000000 0000 +LC_3 1100101000000000 0000 +LC_4 1100101000000000 0000 +LC_5 0101001100000000 0000 +LC_6 0000000111111101 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_3 lutff_6/in_0 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_4 lutff_5/in_2 +buffer local_g3_6 lutff_1/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out sp4_h_r_46 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_tnr_6 local_g3_6 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_20 local_g0_4 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_r_v_b_6 local_g1_6 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_46 local_g2_6 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_b_9 sp4_h_l_39 +routing sp4_v_b_9 sp4_v_t_40 + +.logic_tile 11 4 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 1000000000000000 0000 +LC_2 0000000000000001 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_4 lutff_0/in_0 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_6 lutff_0/in_3 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_4 lutff_6/in_0 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_3 lutff_global/cen +buffer lutff_0/out local_g1_0 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g0_4 +buffer lutff_6/out local_g1_6 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_tnr_4 local_g2_4 +buffer neigh_op_top_2 local_g0_2 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_v_b_24 local_g2_0 +routing sp12_h_l_23 sp12_v_t_23 +routing sp4_h_l_44 sp4_v_t_44 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_5 sp4_h_l_40 +routing sp4_v_b_5 sp4_v_t_45 + +.logic_tile 7 1 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000000000000 1000 CarryEnable +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_tnl_6 local_g2_6 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_19 local_g0_3 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_r_v_b_35 local_g0_0 +routing sp4_h_l_38 sp4_h_r_6 + +.logic_tile 6 11 +LC_0 0000001000000000 0000 +LC_1 0000000000011000 0000 +LC_2 1111111110101000 0000 +LC_3 0000111110001000 0000 +LC_4 0000000000000001 0000 +LC_5 0011000001010000 0000 +LC_6 0000000010000001 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_1 local_g0_5 +buffer glb2local_2 local_g0_6 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_2 glb2local_2 +buffer glb_netwk_2 glb2local_3 +buffer glb_netwk_3 glb2local_1 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_0/in_2 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_3 lutff_6/in_2 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_7 lutff_1/in_3 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_7/out local_g3_7 +buffer neigh_op_tnl_1 local_g2_1 +buffer neigh_op_tnr_2 local_g2_2 +buffer sp12_h_r_21 local_g1_5 +buffer sp12_v_b_3 sp4_v_b_13 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_h_r_4 local_g1_4 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_42 local_g3_2 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_43 local_g3_3 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_v_b_10 sp4_h_l_47 + +.logic_tile 1 13 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0000 +LC_2 1001000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 0100000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_2/in_1 +buffer local_g3_5 lutff_5/in_1 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out sp12_h_r_4 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_6 local_g1_6 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_v_b_5 local_g3_5 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_41 local_g2_1 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_42 sp4_h_r_3 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_h_r_1 sp4_h_l_41 +routing sp4_h_r_5 sp4_h_l_45 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_t_36 sp4_h_l_42 + +.logic_tile 12 7 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_7 lutff_0/in_2 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g3_7 +buffer neigh_op_tnl_7 local_g2_7 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_h_r_9 local_g1_1 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_6 local_g0_6 +routing sp4_v_t_43 sp4_v_b_6 +routing sp4_v_t_43 sp4_v_b_9 +routing sp4_v_t_46 sp4_v_b_11 + +.logic_tile 11 9 +LC_0 0110100110010110 0000 +LC_1 1000000000000000 0000 +LC_2 1100101000000000 0000 +LC_3 0000000000000001 0000 +LC_4 1010110000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_5 lutff_0/in_1 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_3 lutff_1/in_3 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out sp4_h_r_30 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_21 local_g1_5 +buffer sp12_v_b_13 local_g3_5 +buffer sp12_v_b_15 local_g2_7 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_44 local_g2_4 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_10 sp4_h_l_38 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_b_9 sp4_v_t_44 +routing sp4_v_t_42 sp4_h_l_36 + +.logic_tile 12 11 +LC_0 0001000000000000 0000 +LC_1 0110100110010110 0000 +LC_2 0110100110010110 0000 +LC_3 0001000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_2/in_3 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_3 lutff_7/in_0 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_6 lutff_3/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_5/out sp4_v_b_26 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_tnl_6 local_g3_6 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp4_h_r_5 local_g0_5 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_28 local_g3_4 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_43 local_g2_3 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_t_38 sp4_h_r_8 +routing sp4_v_t_38 sp4_v_b_11 +routing sp4_v_t_40 sp4_h_l_40 +routing sp4_v_t_43 sp4_v_b_6 +routing sp4_v_t_46 sp4_h_l_46 + +.logic_tile 4 14 +LC_0 0010000000000000 0000 +LC_1 1010101010100010 0000 +LC_2 0000001000000000 0000 +LC_3 1101010100000000 0000 +LC_4 0010111111111111 0000 +LC_5 1111111110001111 0000 +LC_6 0010000000000000 0000 +LC_7 0000100000000000 0000 +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_2 lutff_1/in_3 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_6 lutff_3/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g0_7 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_17 local_g1_1 +buffer sp12_h_r_7 local_g1_7 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_v_b_1 local_g2_1 +buffer sp12_v_b_1 local_g3_1 +buffer sp12_v_b_18 local_g3_2 +buffer sp12_v_b_2 local_g2_2 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_37 local_g2_5 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_l_38 sp4_h_r_6 +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_v_b_11 sp4_h_r_11 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_b_3 sp4_h_l_38 + +.logic_tile 2 10 +LC_0 0010000000000000 0000 +LC_1 1110000000000000 0000 +LC_2 0110100110010110 0000 +LC_3 0100000100000000 0000 +LC_4 0000001100000101 0000 +LC_5 0000000011110100 0000 +LC_6 1100101000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_3 local_g0_7 +buffer glb_netwk_3 glb2local_3 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_5 lutff_2/in_1 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_3 lutff_6/in_2 +buffer local_g3_4 lutff_6/in_1 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out sp4_r_v_b_15 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_h_r_5 local_g0_5 +buffer sp12_v_b_1 local_g3_1 +buffer sp12_v_b_16 local_g3_0 +buffer sp12_v_b_21 local_g3_5 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_45 local_g2_5 +buffer sp4_v_b_9 local_g1_1 +routing sp12_v_b_1 sp12_h_r_1 +routing sp12_v_b_1 sp12_v_t_22 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_41 sp4_h_r_4 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_h_r_2 sp4_h_l_40 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_h_r_5 sp4_v_b_10 +routing sp4_h_r_8 sp4_h_l_41 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_6 sp4_v_t_39 +routing sp4_v_t_37 sp4_v_b_0 +routing sp4_v_t_42 sp4_h_r_0 +routing sp4_v_t_43 sp4_v_b_9 +routing sp4_v_t_44 sp4_v_b_5 + +.logic_tile 9 10 +LC_1 0000000100000000 0000 +LC_2 0001000000000000 0000 +LC_3 0000000100000000 0000 +LC_4 0110000000000000 0000 +LC_5 0000000000000001 0000 +LC_6 0100000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_5 lutff_6/in_1 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_4 lutff_5/in_2 +buffer local_g3_5 lutff_5/in_3 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_46 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_h_r_5 local_g0_5 +buffer sp12_h_r_5 local_g1_5 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_45 local_g2_5 +buffer sp4_v_b_45 local_g3_5 +routing sp4_h_l_40 sp4_h_r_8 +routing sp4_h_r_4 sp4_v_b_4 +routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_b_5 sp4_v_t_36 +routing sp4_v_b_8 sp4_v_t_45 + +.logic_tile 5 15 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 0000 +LC_4 0000101110110000 0000 +LC_5 0000000010101011 0000 +LC_6 1011000000000000 0000 +LC_7 0101111100111111 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_5 lutff_global/s_r +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_3 lutff_7/in_3 +buffer local_g3_4 lutff_7/in_2 +buffer local_g3_5 lutff_7/in_1 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_tnl_4 local_g3_4 +buffer neigh_op_tnl_7 local_g2_7 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp12_v_b_17 local_g2_1 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_2 local_g1_2 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_36 local_g2_4 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_t_47 sp4_v_b_10 +routing sp4_v_t_47 sp4_v_b_6 + +.logic_tile 6 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0000001000000000 0000 +LC_1 0000000100000000 0000 +LC_2 0000001000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0010000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_4 lutff_0/in_1 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out lutff_3/in_2 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_rgt_3 local_g2_3 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_v_b_9 local_g2_1 +buffer sp12_v_b_9 local_g3_1 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_27 local_g3_3 +buffer sp4_v_b_47 local_g3_7 +buffer sp4_v_b_9 local_g0_1 +routing sp12_v_t_22 sp12_v_b_1 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_h_l_43 sp4_v_t_43 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_39 sp4_v_b_10 + +.logic_tile 11 12 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000101000001100 0000 +LC_1 0001000000000000 0000 +LC_2 0101000101010101 0000 +LC_3 0000000011101011 0000 +LC_4 1010001011111111 0000 +LC_5 0001000000000000 0000 +LC_6 1111101100000000 0000 +LC_7 0101110000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_3 glb2local_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_1 lutff_0/in_3 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_4 lutff_0/in_2 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_2 lutff_3/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_r_v_b_31 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_v_b_16 local_g2_0 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_31 local_g2_7 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_33 local_g2_1 +routing sp4_h_l_38 sp4_v_b_9 + +.logic_tile 4 11 +LC_0 0001001001001000 0000 +LC_1 0100000000000000 0000 +LC_2 1000001001000001 0000 +LC_3 0100000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_3 glb2local_1 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_5 lutff_0/in_3 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_5 lutff_0/in_0 +buffer local_g3_6 lutff_2/in_3 +buffer local_g3_6 lutff_4/in_3 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_tnr_6 local_g3_6 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_v_b_11 sp4_v_b_17 +buffer sp12_v_b_13 local_g3_5 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp12_v_b_2 local_g2_2 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_33 local_g3_1 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_22 local_g1_6 +routing sp12_v_b_1 sp12_v_t_22 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_h_r_4 sp4_v_b_4 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_8 sp4_h_l_36 +routing sp4_v_t_46 sp4_h_r_4 + +.logic_tile 7 9 +LC_0 1100101000000000 0000 +LC_1 1100101000000000 0000 +LC_2 0101001100000000 0000 +LC_3 0101001100000000 0110 DffEnable Set_NoReset +LC_4 0101001100000000 0110 DffEnable Set_NoReset +LC_5 0101001100000000 0110 DffEnable Set_NoReset +LC_6 0101001100000000 0110 DffEnable Set_NoReset +LC_7 0101001100000000 0110 DffEnable Set_NoReset +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_global/s_r +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_6/out sp12_v_b_12 +buffer neigh_op_bnl_1 local_g3_1 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_tnr_7 local_g2_7 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_43 local_g3_3 +buffer sp4_v_b_44 local_g2_4 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_h_l_39 sp4_v_b_2 +routing sp4_h_r_3 sp4_h_l_38 +routing sp4_v_b_10 sp4_v_t_47 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_b_9 sp4_h_r_9 +routing sp4_v_t_40 sp4_h_l_46 +routing sp4_v_t_45 sp4_h_l_39 + +.logic_tile 2 7 +LC_0 0001000000000000 0000 +LC_1 0110100110010110 0000 +LC_3 0100000000000000 0000 +LC_4 0100000000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_5 lutff_6/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_5 lutff_0/in_1 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_5 lutff_3/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_tnl_5 local_g3_5 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_v_b_2 local_g3_2 +buffer sp12_v_b_5 local_g2_5 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_9 local_g0_1 +buffer sp4_v_b_9 local_g1_1 +routing sp4_v_b_5 sp4_h_r_5 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_36 sp4_h_r_6 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_41 sp4_h_r_4 +routing sp4_v_t_45 sp4_h_r_1 +routing sp4_v_t_47 sp4_h_r_10 + +.logic_tile 9 13 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0000 +LC_1 0000000000001011 0000 +LC_4 0000010000000000 0000 +LC_5 0001111111111111 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_6 lutff_4/in_1 +buffer local_g2_0 lutff_4/in_2 +buffer local_g3_0 lutff_1/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out lutff_1/in_2 +buffer lutff_1/out local_g1_1 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_v_b_42 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_40 local_g3_0 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_b_5 sp4_v_t_36 +routing sp4_v_t_40 sp4_h_l_40 +routing sp4_v_t_43 sp4_h_l_37 + +.logic_tile 8 3 +LC_0 0000000000000001 0000 +LC_1 1000000000000000 0000 +LC_2 0000000100000000 0000 +LC_3 1101000011011101 0000 +LC_4 0001000000000000 0000 +LC_5 0000000100000000 0000 +LC_6 0001000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnl_1 local_g3_1 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_top_4 local_g1_4 +buffer sp12_h_r_11 local_g1_3 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_h_r_7 local_g0_7 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_v_b_8 local_g2_0 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_40 local_g3_0 +routing sp12_h_l_23 sp12_v_b_0 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_r_11 sp4_v_t_40 +routing sp4_h_r_6 sp4_h_l_44 +routing sp4_h_r_6 sp4_v_t_37 +routing sp4_h_r_6 sp4_v_t_43 +routing sp4_h_r_9 sp4_v_b_2 + +.logic_tile 5 10 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0001010000101000 0000 +LC_4 0001000000000000 0000 +LC_5 0001001001001000 0000 +LC_6 1000001001000001 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_0/in_3 +buffer local_g2_2 lutff_1/in_3 +buffer local_g2_5 lutff_2/in_3 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_7 lutff_1/in_0 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_3 lutff_6/in_0 +buffer local_g3_4 lutff_6/in_1 +buffer local_g3_5 lutff_0/in_0 +buffer local_g3_7 lutff_5/in_1 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_top_6 local_g0_6 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp12_h_r_5 local_g1_5 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_v_b_21 local_g2_5 +buffer sp12_v_b_21 sp4_v_b_22 +buffer sp4_h_r_0 local_g0_0 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_h_r_31 local_g2_7 +buffer sp4_h_r_37 local_g3_5 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_6 local_g1_6 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_43 local_g3_3 +buffer sp4_v_b_47 local_g3_7 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_41 sp4_v_b_10 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_h_r_8 sp4_v_t_39 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_t_42 sp4_v_b_7 +routing sp4_v_t_43 sp4_h_r_11 +routing sp4_v_t_44 sp4_v_b_9 +routing sp4_v_t_45 sp4_v_b_8 +routing sp4_v_t_47 sp4_h_r_3 + +.logic_tile 4 6 +LC_0 0001000000000000 0000 +LC_1 0000000100000000 0000 +LC_2 0000000100000000 0000 +LC_3 0000000000001000 0000 +LC_4 0000000100000000 0000 +LC_5 0000000100000000 0000 +LC_6 0000000100000000 0000 +LC_7 0110100110010110 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_6 lutff_7/in_3 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_2 lutff_3/in_2 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_3 lutff_6/in_0 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_5 local_g1_5 +buffer sp12_h_r_8 local_g1_0 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_40 local_g3_0 +routing sp12_v_t_22 sp12_h_r_1 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_b_8 sp4_v_t_46 + +.logic_tile 8 13 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000010011101111 0000 +LC_1 0011010111001010 0000 +LC_2 0011010111001010 0000 +LC_3 0001000000000000 0000 +LC_4 0101010001010101 0000 +LC_5 1010110000000000 0000 +LC_6 0000000011001010 0101 DffEnable AsyncSetReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_4 lutff_2/in_3 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_1 lutff_4/in_3 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_6 lutff_0/in_0 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_3 lutff_1/in_3 +buffer local_g3_6 lutff_0/in_3 +buffer local_g3_6 lutff_3/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_6/out sp4_r_v_b_45 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp12_v_b_17 local_g2_1 +buffer sp12_v_b_17 local_g3_1 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_43 local_g3_3 +buffer sp4_v_b_5 local_g0_5 +routing sp4_h_l_36 sp4_v_b_7 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_38 sp4_v_t_38 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_h_l_44 sp4_h_r_0 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_5 sp4_h_l_40 +routing sp4_v_b_7 sp4_v_t_47 + +.logic_tile 9 2 +LC_0 0110100110010110 0000 +LC_1 0000010000000000 0100 DffEnable +LC_2 0000010000000000 0100 DffEnable +LC_3 0000010000000000 0100 DffEnable +LC_4 0000010000000000 0100 DffEnable +LC_6 0000010000000000 0100 DffEnable +LC_7 0000010000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_4 lutff_0/in_2 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_5 lutff_2/in_0 +buffer local_g3_5 lutff_4/in_0 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_lft_7 local_g1_7 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_v_b_23 sp4_v_b_23 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_v_b_10 local_g0_2 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_6 sp4_h_l_44 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_t_42 sp4_v_b_10 + +.logic_tile 6 1 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000000000000 1000 CarryEnable +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_2 lutff_1/in_2 +buffer local_g2_1 lutff_2/in_1 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_2 lutff_7/in_2 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_top_6 local_g0_6 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_v_b_2 local_g3_2 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_25 local_g3_1 +routing sp4_h_l_40 sp4_h_r_5 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_v_t_40 sp4_v_b_1 +routing sp4_v_t_46 sp4_h_r_4 + +.logic_tile 5 7 +LC_0 0000111000000000 0000 +LC_1 0011000001010000 0000 +LC_2 0101001100000000 0000 +LC_3 0011000001010000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0000000001110101 0100 DffEnable +LC_7 0000000001110101 0100 DffEnable +buffer glb2local_2 local_g0_6 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_3 glb2local_2 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_7 lutff_7/in_3 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_7/in_2 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_6 lutff_0/in_1 +buffer local_g3_7 lutff_1/in_3 +buffer local_g3_7 lutff_3/in_3 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g2_2 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bnr_1 local_g0_1 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_3 local_g2_3 +buffer sp12_h_r_21 local_g0_5 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_10 local_g1_2 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_h_r_39 local_g3_7 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_38 local_g3_6 +routing sp12_v_b_0 sp12_h_r_0 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_r_8 sp4_v_t_39 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_b_1 sp4_v_t_44 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_b_5 sp4_v_t_36 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_t_38 sp4_v_b_6 + +.logic_tile 11 3 +LC_0 0100000000000000 0000 +LC_1 0000001000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0001000000000000 0000 +LC_4 0000000100000000 0000 +LC_5 0100000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_4 lutff_3/in_0 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_4 lutff_1/in_3 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_3 lutff_6/in_0 +buffer local_g3_4 lutff_4/in_3 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g1_1 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_4 local_g1_4 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_40 local_g2_0 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_b_3 sp4_h_l_38 +routing sp4_v_b_3 sp4_h_l_45 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_36 sp4_h_l_42 + +.logic_tile 6 16 +LC_0 1000000000000000 0000 +LC_2 0000000010000001 0000 +LC_5 0000011100000000 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_0/in_0 +buffer local_g3_6 lutff_2/in_1 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bnr_2 local_g1_2 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_bot_1 local_g1_1 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_6 local_g0_6 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_b_6 sp4_v_t_43 + +.logic_tile 7 4 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0000 +LC_1 1000001000000000 0000 +LC_4 1111110100000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_1/in_2 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_20 local_g3_4 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_22 local_g1_6 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_38 sp4_h_r_8 +routing sp4_v_t_46 sp4_h_l_40 + +.logic_tile 12 13 +CarryInSet +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 1000000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_2/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_3 lutff_3/in_2 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_3 lutff_2/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out local_g1_5 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_3 local_g1_3 +buffer sp12_v_b_18 local_g3_2 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_27 local_g3_3 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_v_b_10 sp4_h_l_38 +routing sp4_v_b_8 sp4_h_l_45 + +.logic_tile 1 8 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000011111111 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_0 lutff_0/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_7 lutff_1/in_2 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_7/out sp4_r_v_b_47 +buffer sp12_v_b_15 local_g2_7 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_8 local_g0_0 +routing sp4_v_t_38 sp4_h_r_3 +routing sp4_v_t_43 sp4_v_b_2 + +.logic_tile 8 8 +LC_0 0000000000001110 0000 +LC_1 0000000100000000 0000 +LC_2 1000000000000000 0000 +LC_3 0000000000000001 0000 +LC_4 0000000100000000 0000 +LC_5 1100101000000000 0100 DffEnable +LC_6 1010101010101000 0100 DffEnable +LC_7 1110000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_1 lutff_0/in_3 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_1/in_0 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_5 lutff_7/in_1 +buffer local_g3_6 lutff_2/in_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g3_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_tnr_1 local_g3_1 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_13 local_g1_5 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_46 local_g3_6 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_r_10 sp4_v_t_47 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_t_44 sp4_h_l_44 + +.logic_tile 4 3 +LC_1 1000000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0001000000000000 0000 +LC_4 0001000000000000 0000 +LC_5 0001000000000000 0000 +LC_6 0001000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_4 glb2local_1 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_6 lutff_5/in_1 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_7 local_g1_7 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp12_v_b_12 local_g2_4 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_r_v_b_47 local_g3_7 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_42 local_g3_2 +routing sp4_h_r_2 sp4_v_b_7 + +.logic_tile 2 15 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 0000 +LC_3 0110100110010110 0000 +LC_4 0111000000000000 0000 +LC_5 0110100110010110 0000 +LC_6 0110100110010110 0000 +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_6 lutff_4/in_1 +buffer local_g2_3 lutff_6/in_1 +buffer local_g3_3 lutff_global/cen +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_lft_1 local_g1_1 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_h_r_3 local_g1_3 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp12_h_r_8 local_g1_0 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_21 local_g0_5 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_t_45 sp4_h_r_8 + +.logic_tile 9 5 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0110100110010110 0000 +LC_1 0110100110010110 0000 +LC_2 1011111100000000 0000 +LC_3 0001010000000000 0000 +LC_4 1000000000000000 0000 +LC_5 1101111100000000 0100 DffEnable +LC_6 1101111100000000 0100 DffEnable +LC_7 1101111100000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_0/in_3 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_5 lutff_6/in_1 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_5 lutff_2/in_2 +buffer local_g3_5 lutff_5/in_1 +buffer local_g3_5 lutff_7/in_1 +buffer local_g3_6 lutff_0/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_top_4 local_g1_4 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_9 local_g1_1 +buffer sp12_v_b_21 local_g2_5 +buffer sp12_v_b_21 local_g3_5 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_r_v_b_30 local_g1_6 +routing sp12_h_l_22 sp12_v_t_22 +routing sp4_h_l_43 sp4_v_t_43 +routing sp4_h_r_9 sp4_v_b_9 +routing sp4_v_t_46 sp4_v_b_11 + +.logic_tile 8 4 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000000011111000 0000 +LC_1 0000101100000000 0000 +LC_2 1101000000000000 0000 +LC_3 0100000000000000 0000 +LC_4 0001000000000000 0000 +LC_5 1111001000000000 0000 +LC_6 0000110100000000 0000 +LC_7 0000000011110010 0000 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_7/in_3 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_2/in_0 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_4 lutff_6/in_1 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_r_v_b_31 +buffer sp12_v_b_10 local_g2_2 +buffer sp12_v_b_10 local_g3_2 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_41 sp4_v_b_10 +routing sp4_h_l_43 sp4_h_r_9 +routing sp4_h_l_43 sp4_v_t_43 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_h_r_4 sp4_h_l_41 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_v_b_5 sp4_h_r_5 +routing sp4_v_t_40 sp4_h_l_46 + +.logic_tile 5 2 +LC_0 1000000000000000 0000 +LC_1 0010000000000000 0000 +LC_3 0001000000000000 0000 +LC_5 0110000000000000 0100 DffEnable +LC_6 0110100110010110 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_1/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_0 lutff_3/in_1 +buffer local_g3_5 lutff_5/in_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_6/out sp4_v_b_28 +buffer neigh_op_top_0 local_g1_0 +buffer sp12_h_r_5 local_g1_5 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_h_r_7 local_g0_7 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_r_v_b_34 local_g0_1 +routing sp4_h_l_38 sp4_v_t_38 +routing sp4_h_l_47 sp4_v_t_47 +routing sp4_v_t_44 sp4_h_l_38 + +.logic_tile 1 16 +LC_4 0010000000000000 0000 +LC_6 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_4/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_6/out sp4_v_b_12 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bot_3 local_g0_3 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_v_b_1 local_g1_1 +routing sp4_v_b_8 sp4_h_r_2 + +.logic_tile 11 6 +LC_0 0000000010000000 0000 +LC_1 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_0/in_1 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer local_g3_4 lutff_5/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out local_g1_1 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out sp4_v_b_44 +buffer neigh_op_bnr_4 local_g1_4 +buffer sp12_v_b_18 local_g2_2 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_6 local_g1_6 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_9 local_g0_1 +routing sp12_v_t_23 sp12_h_l_23 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_v_t_44 sp4_v_b_9 +routing sp4_v_t_46 sp4_h_l_40 + +.ramb_tile 3 15 +RamConfig PowerUp +buffer sp12_h_r_4 sp4_h_r_14 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_h_r_7 sp4_v_b_0 + +.ramb_tile 10 5 +RamConfig PowerUp +buffer sp12_h_r_16 sp4_h_r_20 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_v_b_5 sp4_v_t_40 +routing sp4_v_t_37 sp4_h_r_0 +routing sp4_v_t_39 sp4_h_l_39 +routing sp4_v_t_39 sp4_v_b_5 +routing sp4_v_t_40 sp4_h_l_46 +routing sp4_v_t_41 sp4_v_b_0 + +.ramb_tile 10 11 +RamConfig PowerUp +buffer sp12_h_r_6 sp4_h_r_15 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_t_41 sp4_v_b_0 + +.ramb_tile 3 3 +RamConfig PowerUp +buffer sp12_h_r_4 sp4_h_r_14 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_t_40 sp4_h_r_10 + +.ramb_tile 3 13 +RamConfig PowerUp +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp12_h_r_2 sp4_h_r_13 +routing sp12_h_r_1 sp12_h_l_22 +routing sp4_h_l_45 sp4_h_r_8 +routing sp4_h_l_47 sp4_h_r_10 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_t_37 sp4_h_r_0 + +.ramb_tile 10 7 +RamConfig PowerUp +buffer sp12_v_b_5 sp4_v_b_14 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_l_40 sp4_h_r_5 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_41 sp4_h_r_4 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_b_5 sp4_v_t_36 + +.ramb_tile 10 13 +RamConfig PowerUp +routing sp4_v_b_7 sp4_h_l_37 +routing sp4_v_t_44 sp4_h_r_9 +routing sp4_v_t_44 sp4_v_b_0 + +.ramb_tile 3 1 +RamConfig PowerUp +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_v_t_38 sp4_h_r_3 + +.ramb_tile 3 11 +RamConfig PowerUp +routing sp4_h_r_0 sp4_h_l_37 +routing sp4_h_r_1 sp4_h_l_36 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_t_43 sp4_h_r_11 +routing sp4_v_t_46 sp4_h_r_4 + +.ramb_tile 10 15 +RamConfig PowerUp +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_r_9 sp4_h_l_37 + +.ramb_tile 3 9 +RamConfig PowerUp +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_b_2 sp4_h_r_8 + +.ramb_tile 10 1 +RamConfig PowerUp +routing sp4_v_t_37 sp4_v_b_0 + +.ramb_tile 3 7 +RamConfig PowerUp +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_t_39 sp4_v_b_5 + +.ramb_tile 10 3 +RamConfig PowerUp +buffer sp12_h_r_16 sp4_h_r_20 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_9 sp4_v_t_38 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_8 sp4_v_t_45 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_40 sp4_v_b_8 + +.ramb_tile 10 9 +RamConfig PowerUp +routing sp12_h_r_1 sp12_v_b_1 +routing sp4_h_l_36 sp4_v_b_7 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_v_t_37 sp4_h_r_5 +routing sp4_v_t_37 sp4_v_b_0 +routing sp4_v_t_46 sp4_v_b_2 + +.ramb_tile 3 5 +RamConfig PowerUp +buffer sp12_h_r_8 sp4_h_r_16 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_h_r_11 sp4_v_t_46 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_3 sp4_h_r_9 + +.ramt_tile 10 8 +routing sp4_h_l_46 sp4_h_r_7 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_v_b_2 sp4_v_t_47 +routing sp4_v_b_3 sp4_h_l_38 +routing sp4_v_t_43 sp4_h_r_6 + +.ramt_tile 3 2 +routing sp4_h_r_5 sp4_h_l_36 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_8 sp4_h_r_8 +routing sp4_v_t_43 sp4_h_r_11 + +.ramt_tile 3 12 +routing sp4_h_l_44 sp4_h_r_9 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_1 sp4_v_t_36 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_t_39 sp4_h_r_7 + +.ramt_tile 10 4 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_v_t_45 sp4_v_b_4 + +.ramt_tile 10 10 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_v_t_38 sp4_h_l_44 +routing sp4_v_t_45 sp4_h_r_1 +routing sp4_v_t_47 sp4_h_r_10 +routing sp4_v_t_47 sp4_h_r_3 + +.ramt_tile 10 16 +routing sp4_h_l_37 sp4_h_r_3 + +.ramt_tile 3 10 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_43 sp4_h_r_2 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_t_44 sp4_h_r_9 + +.ramt_tile 10 6 +buffer sp12_h_r_6 sp4_h_r_15 +routing sp4_h_l_44 sp4_v_t_44 + +.ramt_tile 10 12 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_8 sp4_h_r_8 + +.ramt_tile 3 8 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_47 sp4_h_r_3 + +.ramt_tile 10 14 +routing sp4_v_b_2 sp4_h_l_39 + +.ramt_tile 3 6 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_b_9 sp4_h_r_9 +routing sp4_v_t_37 sp4_h_r_0 +routing sp4_v_t_38 sp4_h_r_8 + +.ramt_tile 3 16 +buffer sp12_h_r_6 sp4_h_r_15 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_r_4 sp4_h_l_37 +routing sp4_h_r_4 sp4_v_b_4 +routing sp4_h_r_4 sp4_v_b_9 + +.ramt_tile 3 4 +routing sp4_h_r_5 sp4_v_b_5 + +.ramt_tile 3 14 +buffer sp12_h_r_22 sp4_h_r_23 +routing sp12_h_l_23 sp12_h_r_0 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_38 sp4_h_r_11 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_9 sp4_h_l_40 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_t_43 sp4_v_b_9 + diff --git a/i2c_keyboard/i2c_kbd_alt.txt b/i2c_keyboard/i2c_kbd_alt.txt new file mode 100644 index 0000000..5d448c3 --- /dev/null +++ b/i2c_keyboard/i2c_kbd_alt.txt @@ -0,0 +1,9840 @@ +.device 1k +.io_tile 1 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000001000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 0 +000000111000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000001111000000100 +000000001000000100 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000100000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 0 +000000000000011000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000001100000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000011000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000000111100000001000000000000010000001000000 +000000000000000000100000000011000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000100000001 +000000000000010000000000000011000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000010 +000000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000011000000000111100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000110000101000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000001000110100000000000000000001000000000 +000000000000000000100100000000001011000000000000000000 +000000000110000111000000000000000000000000001000000000 +000000000000000000100000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000001000000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000001000000000000000001000111100000000000110 +000000000000001111000000000000000000111100000000000000 +000000000000000000000000000000000000000010000001000000 +000000000000000000000010100111000000000000000000000000 +.logic_tile 6 1 +000000000000001111100000000101000000000000001000000000 +000000000110001111100000000000000000000000000000001000 +000000000000001000000110100000000000000000001000000000 +010000000000001101000010110000001011000000000000000000 +000000000010000000000000010011000001000000001000000000 +000000000001001011000011110000001001000000000000000000 +000000000000000000000000000111000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000011100000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000011100000010000000000000000001000000000 +000000000000000000000010110000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000010000000000000000000001111000000000000000000 +.logic_tile 7 1 +000000000000000111100011000001000000000000001000000000 +000000000000001001100100000000100000000000000000001000 +000000000000000000000000000001000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000011000000001101000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000001000000001000000110100000000000000000001000000000 +000010000000000101000000000000001100000000000000000000 +000000000000001101100000000000000000000000001000000000 +000000000000000101000010110000001101000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +.logic_tile 8 1 +000000000000000101000111100000000000000010000001000000 +000000000000011001100100000001000000000000000000000000 +111000000000000001000111010000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000110000000000000000010000001000000 +000000000000000000000000001001000000000000000000000000 +010000000000000000000000001000000000000010000000000000 +010000000000000000000000000011000000000000000001000000 +000001000000001000000000001000000000000010000000000000 +000011100000001101000000001001000000000000000001000000 +000000000000000000000000000001100001001100110000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000000000001100000000000000101000000 +000000000000000000000000000001100000000001000000000000 +.logic_tile 9 1 +000000000000000001000010000101000000000000001000000000 +000000000000000001000110010000000000000000000000001000 +000000000100000011100000000001000000000000001000000000 +000000000000000000000000000000101000000000000000000000 +000100000001010001000000000001001000001100111000000000 +000000000000000001100000000000101010110011000000000000 +000000000000000000000000000011001000001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000001000000111000011001000001100111000000000 +000000000000001111000100000000101010110011000000000000 +000000000000000000000000000001001000001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000001101000001100111000000000 +000000000000000000010000000000001010110011000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 +.ramb_tile 10 1 +000010000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000000001100001000000001000000000 +000000000000000000000011100000001010000000000000000000 +111000000000000001000110010000001001001100111000000000 +000000000000000000000010000000001001110011000000000000 +000000000000000001100000000000001001001100111000000000 +000000000000000000000011100000001001110011000000000000 +000000000000000011000110000000001000111100000000000000 +000000000000000000000011110000000000111100000000000000 +010000000000000000000000000111111011100000000000000110 +010000000000000000000000000111111011000000000000000101 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100000010111000000000000000100000000 +000000000000000000000010001001100000000001000000000000 +000000000000000000000000010101000000000000000100000000 +000000000000000000000010001101000000000001000000000000 +.logic_tile 12 1 +000000000000001000000110100000001000001100110000000000 +000000000000000001000000000000011010110011000000000000 +111000000000000111000000001001000000000000000100000000 +000000000000100000000000000001000000000001000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000010000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000111010000000000000000000000000000000000 +000010100000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 2 +000000000001010101100111110111100000000000001000000000 +000000000000000000100111000000100000000000000000001000 +000000000000000111000000000011000000000000001000000000 +000000000000000000000000000000000000000000000000000000 +000011000000101000000111100101000000000000001000000000 +000000100001010111000000000000000000000000000000000000 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000000000000000001000000000000001000000000 +000000000000001111000000000000100000000000000000000000 +000000000000000000000000000001000001000000001000000000 +000000000000000000000000000000101000000000000000000000 +000100000000000000000010000001000000000000001000000000 +000010000110000000000100000000000000000000000000000000 +000000000000000000000000000111000000000000001000000000 +000000000100000000000011000000100000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000 +000010100001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +000000000000000000000111100000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +111000000000000000000111100000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000111100000000001001101000010000001000000 +000000000000000000000000001001101010000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000010000001001000000000010000000000000 +.logic_tile 5 2 +000000000000000001000000000001000000000010000000000000 +000000000000000000100000000011000000000000000000000000 +111000000000000000000110111011011010000000000001000000 +000000000000000000000110001001001000000001000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000001101010000000000000000000000000000000000 +000001000000000101100000011011011010000001000001000000 +000011100000000000100010001001001000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000001111100000000000000100000001 +000000000000000000000000001101000000000011000000000001 +000000000000000000000000000101000001001100110100000000 +000000000000000000000000000000001001110011000000000101 +010000000000000001110000000000000000000000000000000000 +100000001000000000000000000000000000000000000000000000 +.logic_tile 6 2 +000000000000001000000000000000001000111100000000000000 +000001000000000101000011100000000000111100000000010010 +000000000000000000000000010000000000000000000000000000 +000000000000000011000010110000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000011001011001001000000000000000 +000000000000000011000010110101011111000000000000000000 +000100000000000000000000001101011011000000010000000001 +000100000000000000000000000001011101000000000000000000 +000000000000100000000000011001011001100000000000000000 +000000000001010000000011100101011111000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000100000000100000000000010000000000000000000000000000 +000000000001010000010011100000000000000000000000000000 +.logic_tile 7 2 +000000000000000011100111100000001000111100000000000000 +000000000000000000100000000000000000111100000000110000 +111000000000101000000110001111111011000010000000000000 +000000000100010001000000001111011010000000000000000000 +110000000000000111100000000101111001000001000000000000 +110000000000000000000000001011111000000000000000000000 +000000000000001001000110001111111110000100000000000000 +000000000001010001100011001111101100000000000000000000 +000000000000000111100000001000000000000000000100000000 +000000000000000000000011000101000000000010000000000000 +000000001010000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000100000000000010010000000000000000000000100000000 +000001000000000000000100000101000000000010000000000000 +000000000000000001100010110000000000000000000100000000 +000000000000100000000010001001000000000010000000000000 +.logic_tile 8 2 +000000000001000101100010011001100000000000010000000000 +000000000000100011000010100101001000000000000000000000 +111000000000001011000000001001100001000000010010000000 +000000000000000001100000000101001000000000000010000000 +110000000000001000000110100111100000000000010000000000 +010000000000000001000000001001101011000000000000000000 +000000000000010111000000000000000000000000000000000000 +000000000000101001000000000000000000000000000000000000 +000000000000000000000000011111100000000001000000100000 +000000000000000000000011010101100000000000000000000000 +000000000000000000000000000111000000000000010000000100 +000000000000000000000000000101101000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000101000011 +000000000000000000000011101001000000000010000000000000 +.logic_tile 9 2 +000000000000000011000010010001011001001100110000000000 +000000000000000000000111010000111100110011000000000000 +111000000000000000000010100101100001000000000101000000 +000000000000000101000000000111101011000000100000000000 +010010100000001000000010101001000001000000000110000000 +010001000000000001010010100111001010000000100000000000 +000010000000000101000110100101100000000000000110000001 +000000000000000000000010100111101011000000100000000000 +000000000000000000000000001001000000000000000110100000 +000000000000000000000000000111001011000000100000000000 +000010100000000000010000000000000000000000000000000000 +000001000000000001000000000000000000000000000000000000 +000000000000000000000000001001000001000000000101000000 +000000001010001001000000000111001000000000100000000000 +110001000000000001000000000101100000000000000100000000 +000010000000000000100000000111101010000000100010000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000001100000000011100010000000000000000000000000000000 +000011000000000011100000000000000000000000000000000000 +111000000000000000000000000000000000000010000001000000 +000000000000000000000011001001000000000000000000000000 +110000000000000000000000001000000000000010000000000000 +110000000000010000000000000011000000000000000000000000 +000000000001000000000000001000000000000010000000000000 +000000000000001011000000000101000000000000000000000000 +000000000000000000000000000111111111000010000010000000 +000000001100000000000000000001011001000000000001000000 +000000000000001000000000010000000000000000000000000000 +000000000000001111000011100000000000000000000000000000 +000010000000000000000010001000000000000010000000000000 +000000000000000000000100001011000000000000000000000000 +000001000000101000000000010000000000000000000110000000 +000000100001001111000011100001000000000010000000100000 +.logic_tile 12 2 +000000000000001000000111000000000000000000000000000000 +000000000000001111000100000000000000000000000000000000 +111000000000000111100000000000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +010000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000010000000000000 +000000000000100000000000001101000000000000000000000000 +000000000000000000000000001000000000000000000100100000 +000000000000000000000000000001000000000010000000000000 +000000000000010000000000000000000000000000000100100000 +000000000000100000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000000111100010000101100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000000000110100000000000000000001000000000 +000000000000000000000100000000001001000000000000000000 +000000000000000000000000000000001001001100111000000010 +000000000000000000000000000000001100110011000000000000 +000100000000000011000000000000001000001100111000000000 +000100000000001111000000000000001000110011000000000000 +000000000000100000000000000000001001001100111000000000 +000000000001000000000000000000001010110011000000000000 +000010000000000000000000000000001001001100111000000000 +000001000000001001000000000000001001110011000000000010 +000000000000000101000000000000001000001100111000000000 +000000000000000000000000000000001110110011000000000000 +000110000000000000000000000000001000111100000000000000 +000101000000000000000000000000000000111100000000000000 +.logic_tile 2 3 +000000000000001000000111000000001000111100000000000010 +000000000000001011000111000000000000111100000000010000 +111000000000001111100011110001000000001100110000000000 +010000000000001111100010100000001011110011000000000000 +000000000000000101000110100001111001101001110101000100 +000000000000000011100011000101101001010101110000000000 +001000000000001111100011101101111011101001110110000000 +000000000000000101100011001101101010010101110010000010 +000000000001000000000000001001111000101001110101100000 +000000000000000000000000000001101011010101110010000100 +000000000000000000000000000101111010101001110100100011 +000000000000000000000000000101101001010101110010000001 +000000000000000001100111000001111000101001110101000000 +000000000000000000000000001001101110010101110000100101 +000010100000000000000000001101101110101100100100000010 +000001000000000000000000000001111011111101010000000100 +.ramb_tile 3 3 +000000001110000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +.logic_tile 4 3 +001000000000000001100000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +111000000000000001000111101111111010000010000000000000 +000000000000000000000100001001111110000000000000000000 +010000000000000000000011100101100000000001000001000000 +110000000000000000000000001011100000000000000000000000 +000000000000000000000010100001000000000001000001000000 +000000000000001011000011011111000000000000000000000000 +010000000000000000000000001001000000000001000001000000 +010000000010000000000011100011000000000000000010000000 +000000000000000000000000010011100000000001000000100000 +000000000000000011000011111111100000000000000000000000 +001000000000000000000000000101100000000001000000000000 +000000000000000011000011101011000000000000000001000000 +000000000000000000000010001000000000000000000101000000 +000000000000000000000100000001000000000010000010000000 +.logic_tile 5 3 +000000000000000000000000000000000000000010000011000000 +000000000000000000000010110000000000000000000000000010 +111000000000000000000000000000000000000000000100000011 +000000000000000000000000000101000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +000000000000000000000000000111000000000010000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000001000000000000000000000000000000000100000011 +000000000000100000000000001011000000000010000000000000 +000000000000000000000011000000000000000000000100000000 +000000000001000101000000001001000000000010000000000100 +110000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +.logic_tile 6 3 +000001001100000000000000010000000000000000000000000000 +000000000000001001000010000000000000000000000000000000 +111010100000000101000011101101000000000010000000000000 +000000000000000000000100000001100000000000000000100000 +010000000000000000000010100001111010000011010000000000 +000000001110000000000000001101001000000010100000000000 +000000100001010000000000000000000000000000000000000000 +000000000000100101000000000000000000000000000000000000 +000000000000000111100000000111011000010101110000000001 +000000001010000000000000001001111000101001110000000100 +000000000000000000000000000000000000000000000110000000 +000000001100100000000000000011000000000010000000000000 +000001000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 3 +000000000000001001100110001001011010100000000000000000 +000000000000001111000010011101001010000000000000000010 +111000000000000000000011100001100000000000000000000000 +000000001000000000000111000011101011000010000000000000 +010000000000001111000011101001011101000000010000000000 +010000000000000111100110001101111010000000000000000000 +000000000000001001100010001001000000000001000000000000 +000000000000000001000100001001000000000000000000000000 +000000000000000011000111001011101010001000000000000000 +000000000000000000000000001111101110000000000000000000 +000000000001000001010110100111101010000000000000000000 +000000000000100000000000000001111101010000000000000000 +001000000100000011000000001011001011000010000001000000 +000000000000000000100000001111111001000000000000000000 +000000000000000000000110001000000000000000000100000000 +000000000010100000000000000011000000000010000000000000 +.logic_tile 8 3 +000000000001000011000110010101101111100000000000000001 +000100000000000011000010001001011001000000000000000000 +111010100000001001100110110111011011000010000000000000 +000001000000000001000110001001101100000000000000100000 +110000000000000011100011001111000000000000010000000000 +010000000000000000010010100001001110000000000000000000 +000000000001010001000011011101001010111111000000000000 +000000000000101101000110000001101000010110000000000000 +000000000000010000000010001111111101000001000000000000 +000000000000100011000110001101111101000000000000000000 +000010000000000101100111100001000001000000010000000000 +000001000000000000000110011101001011000000000000000000 +000000000001010001100110110001100000000001000000000000 +000000000000100111100010100011100000000000000000000000 +000001000000000000000000000000000000000000000110000000 +000010000000000000000011111011000000000010000000000000 +.logic_tile 9 3 +000000000000000101000011000001011110001100110000000000 +000000000000000000000100000000100000110011000000000000 +111100000000000001000000000000000000000010000000000000 +000000000000000000100000000001000000000000000010000000 +110000000000001000000000011101011011000001000000000000 +010000000000000111000011110101111011000000000000000000 +000010100000010111100110010101100001000000000010000000 +000001000000000000100010000101101011000010000000000000 +000000000000000000000000010101011011001000000000000000 +000000000000001001000011001111111011000000000001000000 +000010100000001000000110000101100001000000000000000000 +000001000110001111010100000101101011000000100001000000 +000100000000011000000011101001011100000000110100000000 +000000000000100001000000000011111100000000000010000000 +110000000010001000000110001111100000000000000101000000 +000000000000000111000100000001101110000000100010000000 +.ramb_tile 10 3 +000010000000000000000000000000000000000000 +000001011100000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +001000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000100000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 3 +000001000000000111100010001001000000000000000000000000 +000000100000000000100110000001001100000010000001000000 +111000001100000001100000000011011100000000000000000000 +000000000000000101000000000001001011000000010000000000 +010000000000000001100000001000000000000010000000000000 +010000000000000000000011000011000000000000000000000000 +000001100000000000000000001101000000000001000001000001 +000000000000000101000000000101000000000000000000000000 +001000000000000000000000001001111111000000010000100000 +000000000000000111000000000001001101000000000000000000 +000000001111011000000000010011000000000000000000000000 +000000000000110111000011100001000000000010000001000000 +000000000000000000000010000000000000000000000100000000 +000000000000000000000100001111000000000010000001000000 +000000000000001000000000000000000000000000000100100000 +000000000000000111000000001001000000000010000000000000 +.logic_tile 12 3 +000000000000000101000000000101000000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000010000000000000000001000000000 +000000000000000000000010100000001111000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000001100000101000000000000001010000000000000000000 +000000000000000000000000010000000000000000001000000000 +000000000000000000000010010000001101000000000000000000 +000001000000100000000111110000000001000000001000000000 +000000100001010000000010010000001101000000000000000000 +000000000000000000000110000000000001000000001000000000 +000000000000000000000100000000001101000000000000000000 +000000000000000000010000000000001000111100000000000100 +000000000000000000000000000000000000111100000000000000 +.io_tile 13 3 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000001100000 +000000000000000000 +100100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000100000000001000000001000000000000010000000000010 +000000000000000000100000000111000000000000000000000000 +111000000000000001100010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000010000011001001100110000000000 +000000000000000000000010000000011110110011000000000000 +000100000000000101100110000000000000000010000000000000 +000100000000000000100000000011000000000000000000000100 +000000000010000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101100000000001000000000000 +000000000000000000000000000001100000000000000000000000 +000000000000000000010000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000001100011001101111110101001110101000000 +000000000000000000000000000001011011010101110000000000 +.logic_tile 2 4 +000000000000001000000010101001001010000100000000000000 +001000000000000111000110100001111000000000000000000001 +111000000000001000000000000001000000000001000001000000 +000000001100001111000000001111100000000000000000000000 +010000000000000001000000000000000000000000000100000000 +000000000000000000100010110101000000000010000010000000 +000000000000000001000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000011000000000000000000100000000 +001000001000000000000011000101000000000010000000000100 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 4 +000000000000000000000110000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +111000000000000000000000001111000000000001000001000000 +000000000000000000000000001101000000000000000001000000 +010000000000000000000010000000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000000000011100000000001000000000000 +000000000000000000000011001011100000000000000000100100 +000010101000001000000010000000000000000010000000000100 +001001000000000101000100001001000000000000000000000000 +000000000000010000000000010000000000000000000000000000 +000000000000100000000010110000000000000000000000000000 +000000000000000101100000000000000000000000000100000010 +001000000000000000000000000011000000000010000010000000 +110000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000000100000 +.logic_tile 5 4 +000000000000000011100000000001000000000001000001000001 +000000001110000111000011101111000000000000000000000000 +111000000000000001000010001011111001100000000000000000 +000000000000111011100111001111111100000000000000000000 +010000000000000000000110010101000000000001000001000000 +011000000000000111000011111111000000000000000001100000 +001000000001011000000000001011000001000010100000000000 +001000001010000001000010001101001101000010010000000000 +000000000000000111000010001011000000000010100000000000 +001000000000001001100000000001001110000010010000000000 +000000000000000001000010001101000001000001010000000100 +000000001010000000100111100101101110000010010000000000 +000000000000000001000111000001101010111100010100100000 +001000000000000000100100001111011000011100000000000000 +110000000000000000000000000111000001000001010100000000 +000010000000001001000010101011101001000010010001100000 +.logic_tile 6 4 +000000000000000101000110000011000000000001000000000011 +001000000000000001000000000001000000000000000001000000 +111000000000000000000000001101101010000000110000000000 +000000001000000000000000001011101111000000100000000000 +110000000000000001000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000001100111000101111110001100000000000000 +000000000000000000000000001111011011001000000000000000 +000000000000000011000000000111001010000011000000000000 +001000000000000111100010001011111110000001000000000010 +000001000000010000000111100101000000000000000000000000 +001011100000110000010100000001000000000010000000000000 +000000000000010001100000000000000000000000000000000000 +001000001110100000000011100000000000000000000000000000 +110000000000000000000110000011000000000001000100000000 +000000000000000000000000001001000000000000000000000000 +.logic_tile 7 4 +000000000000001000000000001011000000000001000000000000 +000000000000000111000010010011100000000000000000000010 +111000100000000000000110101001101001000010000000000000 +000001000000000000000011101001111110000000010000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000100000100000000110100000000000000000000000000000 +000000000001010000000011100000000000000000000000000000 +000000000000000000000011001101100000000011110000000000 +000000000000010000000000001101101000000011100000100000 +001000000000001001000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000100000000000000001000000000000000000100000010 +000000000000001011000000000011000000000010000000100000 +.logic_tile 8 4 +000000100000000001000110010111011000011100000000000000 +000000000000001001000010000011011010001100000000000010 +000000000000001000000111010101100000000000110000000000 +000000000000001011000110000001101001000000010000000000 +000000000000100011100011111111000000000011000010000000 +000000000001000000000110000011100000000010000000000000 +000010001000001001100111011001000000000000000000000000 +000001000000000001000010000101000000000010000000000001 +000000000000000000000010001101000000000001000000000000 +001000000000000111000111001001100000000000000000000000 +000000000000001000000000000001000001000011000000000000 +000001000000001111000000001011101011000011010000000000 +000001000000000000000000000111100001000000110000000000 +001010001110001001000011001011001000000000100000000000 +000000000000101000000000000101011010001100000000000001 +000000000001111111000000000001101001101100000000000010 +.logic_tile 9 4 +000000000000001101100010100011011101000001000000000000 +000000000000000001000000001101011010000000000000000000 +111000000000001101000111110111100000000000000000000000 +000000000000000001000110001001100000000001000000000000 +110010000000000011100110110001111101000000010000000000 +010000000000000000000010001101011111000000000000000000 +000000000000000101000111000001101010000100000000001100 +000000000000000001000000000001000000000000000000000000 +000000001010000001100000000001100001000000000000000000 +001000000000000000000011010001101101000010000000000000 +000000000000000000000010000001100000000000000000101000 +000000000000000000000010010001100000000001000000000000 +000000000000100000000000001111001011000010110000000000 +001000000001000001000000000111011011000011010000000000 +000001000000000111000011001000000000000000000101000000 +000010100001000000100100000101000000000010000000000000 +.ramt_tile 10 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 4 +000000000000000111100000001001011010000010000000000000 +000000000000000000100010110001011011000000000000000000 +111000000000001000000000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 +110000000000001001000110000111001010100000000000000000 +110000000000000001100010001001001010000000000000000100 +000000000000100000000000010000000000000000000100000000 +000100000000000000000010001101000000000010000000000000 +000001000000001000000000000000000000000000000100000000 +001010100000000011000000000011000000000010000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100101000000000000000000000000000000000000 +000000000000001000000111101000000000000000000100000000 +001000000000000101000000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +.logic_tile 12 4 +000000001010010111000111101001111011000010000000000000 +001000000000000000100100000101101001000000000000000000 +111010000000000000000110010000000000000000000000000000 +000001001100000000000010000000000000000000000000000000 +110010100000000000000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 +000001000100001001100000000000000000000000000000000000 +000000100000000001000000000000000000000000000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000011111011000000000010000000000000 +000000000010000000000000010000000000000000000100000000 +000000000000000111000011001001000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +001000000000000000000000000011000000000010000000000000 +000000000100000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +.io_tile 13 4 +000000000000000000 +100100000000011000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +010000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000001100111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000001000000000000000000001101100000000001000000000000 +000010100000000000000000001011000000000000000000000010 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000111000000000000000000000100 +000000000000000001000000000000000000000010000000000000 +000000000000000000100000000001000000000000000000000001 +000000000000000000000010100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000010100000001101100010010011111101000000000001000000 +000001000000000101000010101001011100100000000000000000 +000000000000000011110000001011011111100000000000000000 +001000000000000000100000001111111110000000000000000000 +000000000000000101100110110000000000000000000000000000 +000000001110000000000010100000000000000000000000000000 +.logic_tile 2 5 +000000000000000111000111110001000000000000001000000000 +000000000000000000100010000000000000000000000000001000 +111010000000011001100110010000000000000000001000000000 +010000000000100001000010000000001001000000000000000000 +010100000100000000000000000000001000001100111110000000 +101100000000000000000000000000001001110011000000000000 +000010100000010000000000000000001000001100111101000000 +001001000100100000000000000000001101110011000000000000 +000000000000000000000110000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000010000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +001000000000000000000000000000001001110011000000000000 +010000000001010000000000000000001001001100111110000000 +100000000000100000000000000000001001110011000000000000 +.ramb_tile 3 5 +001000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 +.logic_tile 4 5 +000000000000001011000000000101000001000000000000000000 +000000000000000001000011011111101100000000100000000000 +111000000000000000000000000101011100000001000000000010 +000000001110001001000000000101011111000000000000000000 +110000000000001011000000000101011111010000000000000000 +011000000000000001000011010111101101000000000000000000 +000010000001000000000000000001011100000000100000000000 +001000000000000000000000001111101101000000000000000000 +000000000000000111100111110001001100001000000000100001 +001000000000000000000011000001011110000000000000000000 +000000100000001000000000000001011100000010000010000000 +000001000000001111000011111111101101000000000000000000 +000000000000000111000010001000000000000000000100000100 +001000000000000000110010010001000000000010000000100000 +110000000000011000000000000000000000000000000100000010 +000000000000001111000000000011000000000010000000000000 +.logic_tile 5 5 +010000100000011000000110001111001011000010000000000000 +000001000000000001000010111011101010000000000000000000 +111001000000001101000010101111111100000000000000000000 +000010100000000011100110011101011100100001000000100000 +011000000001001111100010011101011001100010000000000000 +010000000000100011000011001001101000000100010000000000 +000000000001011101000110001101011011000010000000000000 +001000000000000011100011101001111010000000000000000000 +000000000000000111000000000001000000000001000000000000 +001000000100000000000010010001100000000000000000000100 +000000000000000000000110001101100000000000000000000000 +000000000000000000000011001111100000000011000000000000 +000001001110000000000000010011100000000001010000000010 +001010100000001101000010110101101101000010010000000000 +110000000001010001100000010000000000000000000100000000 +000000001100100000000011010111000000000010000000000000 +.logic_tile 6 5 +000001000100000001100111101001000000000000010010000000 +000000000000000011000011111101001001000000000000000001 +111000000010000000000011101011100001000000000000000000 +000000001010000000000100001101101101000011000000000000 +000000001010001000000010110000000000000000000000000000 +000000000000100011000011010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000001000000101000010000000000000000000000000000000 +000000000110100000000111010011011100000010000010000100 +001000000000000000000011011111101000000000000000000000 +000001000000101111000110001101011110000100000000100010 +000000001101000011000010010101101010010000000000000000 +000000000100000000000111000101011001100000000000000001 +001000000000000000000100001011111100000000000000000000 +110000000000000011100000000000000000000000000100000000 +000010000000010000000000001001000000000010000000000000 +.logic_tile 7 5 +000000000000000111100011011101000001000000100010000000 +000000000000000101100011110101001100000000110000000000 +111000000000001111100111100001101110000000000000000010 +000000000000000111000111100011111001000001000000000001 +010000000000000101000010000000000000000000000000000000 +110000000000000101000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +001000001010000000100000000000000000000000000000000000 +000000100000000011100000000001000000000000000000000000 +001001000000000000000000000111101111000010000010000000 +000000000000001000000000001001101001000100000000000100 +001000000000000101000000000001011001000000000000000000 +000000001111010011100010000001011110101100000000000000 +001000100000000011000100000101001000001100000001000000 +110000000000111000000000000000000000000000000110000000 +000000000000110101000000000111000000000010000000000010 +.logic_tile 8 5 +000000100000001011100010000001000000000000000000000000 +000001001110000001000100001101100000000010000000000000 +111000000000001000000010001101100000000000000000100000 +000001001100001111000110101101000000000001000000000000 +110010100000000000000010100101100000000010000000000000 +100001000110000000000010010011100000000000000010000000 +000000000001000101000000010011111000001100000010000000 +000100000000000011000010001001111011101100000000000000 +000000000000001001100000001111001100001100000000000000 +000000000000001001000000001011101000101100000000000000 +000000000001010101100000011001101111000000100000000000 +000000000000100000100011011001011010000000000000000000 +000010000000000000000011101001000000000000000000000000 +001000000000000000000010101001000000000001000000000010 +000000000000000000000000001000000000000000000100000000 +001000000000000000000000000011000000000010000010000000 +.logic_tile 9 5 +000000000000001111000110010111111010001100110000000000 +000000000000000001100010000000110000110011000000000000 +111000000000000000000000010101101011001100110000000000 +000000000000001011000010000000001011110011000000000000 +010000000000001011000110001101100001000011110010000000 +010000000000001111000000000001001110000001110000000000 +000000000000000001100000001001100000000001000000000010 +000000000000001101000010010111101010000000100000000000 +000000000000000001100000000001000000000010000000000000 +001000000000000000000000000001001110000000000000000000 +000000000000000011000000000111100000000011110100000000 +000001000000000000100000001001001100000010110000000000 +000000000000010001100000000011100000000011110101000000 +001001000000000000000000001101001000000010110000000000 +110000000000001011000000010111100000000011110101000000 +000100000000000001100010001001001000000010110000000000 +.ramb_tile 10 5 +000011100001010000000000000000000000000000 +000000010000100000000000000000000000000000 +000000000000100000000000000000000000000000 +000000001001000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +.logic_tile 11 5 +000000000000000000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000100000001000000000000000000000000000000000000000 +000101000000000001000010110000000000000000000000000000 +010010000000000000000010001101001001000100000000000000 +110001000000000011000010111001111011000000000000000000 +000000100000000000000010100000000000000000000000000000 +000100000010000000000100000000000000000000000000000000 +000000000000001000000000000101000001000000010100000000 +001000000000001011000000000011001000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 12 5 +000000000000000000000011000001000000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000001110101011000000000001100000000000001000000000 +000000000001010101000000000000001101000000000000000000 +110010100000000000000000000001101000001100111000000000 +010001000000000000000000000000001111110011000001000000 +000000000000000000000000000000001000111100000001000000 +000000000000000000000000000000000000111100000000000000 +000000000000000000000111000000000000000000000100100000 +000000000000001111000111010011000000000010000000100000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000000000000011000011101111100000000001000000000000 +000000000000000000000000000001100000000000000000000110 +000000000000001000000000000001101011100000000000000000 +000000000000001101000010101101101101000000000010000000 +000000000000000011000000011101111110000000000000000000 +000000000000000000000010000111001100000010000000000000 +000000000000001000000110000101100000000001000000000000 +000000000000001001000000000001100000000000000010000000 +000000000000001000000110100000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000001000000010000000000000000010000000000000 +000000000000000101000100001001000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000001101100000000111111100010000000000000000 +000000000000000101000011011011001110000000000000000000 +.logic_tile 2 6 +000000000001001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000000010000 +111000000000001001100000010000001000001100111110000000 +000000000000000001000010000000001000110011000000000000 +010010100010000000000000000000001000001100111100000000 +100000000000010000010000000000001001110011000000000010 +000000000000000000000000000000001000001100111100000000 +000000001010000000000000000000001001110011000000000000 +000010100000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000010100000000000000000000000001001001100111100000000 +000001001110000000000000000000001000110011000000000000 +000000000010000000000000000000001001001100111101000000 +000000000000000000000000000000001001110011000000000000 +010000000000000000000000000000001000111100000000000000 +100000000000000000000000000000000000111100000010000000 +.ramt_tile 3 6 +000001000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000 +000000100001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000010101011100000000001000000000011 +000000000000000000000010100011000000000000000000000000 +111000000000001101000110010011000000000000010000000010 +000000000000001111000011111011001001000000000000000001 +010000000000000000000000000111000000000000010000000010 +100000000000000011000000001111001001000000000000000001 +000000000000000101000110000101111000010000000001000000 +000000001010000000000000000101111111000000000000000000 +000000000000000000000111100111000001000000010010000000 +000000001000000111000100001111001000000000000000000001 +000000001011011111000000010101100000000000010000000010 +000000000000101111100011101011001110000000000000000000 +000100000000000000000111110001100000000000010000000010 +000000000000000111000111111111001100000000000000000000 +010000000001000000000000000000011101001100110100000000 +100000000000100000000000000000011001110011000000000000 +.logic_tile 5 6 +000000000010001001000011100011111011001000100000000000 +000000000000000001100010101111011011010001000000000000 +111000000001000111000111011001001001100000000000000000 +000000000000101001010011011001011010000000000000000000 +111000000000100001100000000111101001111100000000000100 +010000000001010111000000000101011000011100000000000000 +000000000000001000000110010111100001000000100000000000 +000000000000000001000010000001001111000000000000000010 +000010000000000111100000011001011001010001000000000000 +000001000000000000100010000011001001001000100000000000 +000000000000001111000000010000000000000000000100000000 +000000000000001011000011010011000000000010000001000000 +000000101011110000000011101000000000000000000100000110 +000001000001000000000000001011000000000010000000000000 +110001000000000111000000000000000000000000000100000000 +000010100000001001000011010101000000000010000001000000 +.logic_tile 6 6 +000000000000000011100110001111101101000000000000000000 +000000001010100011000000001101001111000100000000000001 +111000001111011111100110011011000000000001000000000001 +000001001110110111000011110101000000000000000000000000 +110000000000011001000000000000000000000000000000000000 +110000000000101111000000000000000000000000000000000000 +000100000000000111100011100001100000000000000000000000 +000100000000000101000000001111001000000000010000000000 +000000001001011111000000001011100000000001000000000000 +000010100000100001000000000101000000000000000010000100 +000000000000000111000000001001001011000000000010000000 +000000000000001111000011100001001100000100000000000001 +000000100000000000000011011101000001000001010110000000 +000001000000000000000111010011001101000010010000000000 +110000000000001000000011101001100000000001010100000010 +000000100000101011000000001001101001000010010000000000 +.logic_tile 7 6 +000011000000001001100111110011100000000000010000000000 +000011100000000001000111011011001110000000110000000000 +111000000000000011100011100001111010100111110000000000 +000000000010000101100011101011111011111111110000000000 +010000000000000001100111010001100000000011000000000000 +100000000000000000000111010101001000000010000000000000 +000000000000000101000011100101011001110000000000000000 +000000000000000101000011100011101110110100000000000000 +001010000000000101100010000101111000000000000000000100 +000000000000000001000011100011111001000010000000000000 +000000000000000001100000001101000000000001000010000000 +000000000000000000000000000101100000000000000000000101 +000000001010000011100000001001000000000000000000000000 +000010000010000000100000000101000000000001000000000000 +000100000000001000010000000000000000000000000100000000 +000100001110000001000000001001000000000010000010000000 +.logic_tile 8 6 +000000000000000000000111011111000001000000100000000010 +000000000000000000000110100101001001000000110000000000 +000010100000001001100000010011111010000001000000000000 +010000000000001111000010001001111010000000000000100000 +000000000000101001100110101011100000000001000001100000 +000000000001011111000000000111000000000000000000000000 +000000000000000101000111100111101010000010100000000000 +000000000000000000000100000011011110000011010000000100 +000000000000110001100110100011000000000000100000000000 +000000000001010111100000000011101001000000110000000010 +000000000000000011100000000011111010001000000000000000 +000000000010000001100010001001111010000000000000000000 +000000100001001000000000001001100000000011100000000010 +000000000000100101000000000001001100000011000000000000 +000000000000100101100000001101011100000110100000000011 +000000000000010000000000000011001110001111110000000000 +.logic_tile 9 6 +000000000000000001100000000011100001000000000000000000 +000000000100000000000000000101101110000010000000000100 +111001000000000000000110001111000000000010000000000000 +000000000000100000000011100011000000000000000000000000 +010000000000000001100011101111111001000000000000000000 +110000000000000000000000001001111010000000010000000000 +000010000000010111100000010011100000000000000010000000 +000001000000100000100010000001001110000000100000000000 +000100000000000000010000001101100000000000000000000100 +000010100000000000000000001001100000000010000000000000 +000000000001010001000010000011100000000000010000000000 +000000000000101001000000000001001110000000000000000000 +000000000000010001000000001000000000000000000100000000 +000000000000000001100000001001000000000010000000000000 +000010000000000001000000011000000000000000000100000000 +000000000000000000000011101111000000000010000000000000 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000001000000000000000000000000000000000000 +.logic_tile 11 6 +000010000000001011100110001001101000000100000000000000 +000001000000000011100010000001011010000000000000000010 +111100000000001001100000001000000000000000000100000000 +000000000000000001000000001101000000000010000000000000 +110000000000000001100000000000000000000000000100000000 +100000000000000000000000001001000000000010000000000000 +000000000000101000000000000000000000000000000100000010 +000000000001011001000010000001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000011011011000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000000 +000010000000000000000000000000000000000000000100000100 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000001000000000101000000000010000000000000 +.logic_tile 12 6 +100000000000000111000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111000000001010111100000000000000000000000000101000000 +000000000000000000000000000111000000000010000000000000 +000000000000000111000000000000000000000000000101000000 +000000000000000000000000000011000000000010000000000000 +000000000010000000000000000000000000000000000100000010 +000000000000100000000000000001000000000010000001000000 +000010000000001000000000000000000000000000000100000000 +000000000000000011000010100101000000000010000001000000 +000000000000000000000000001000000000000000000100100010 +000000000000000000000000000001000000000010000000000000 +000000000000001000000000000000000000000000000100000010 +000000000000000101000000001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +.io_tile 13 6 +000000000000001000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +001100000000011000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000100000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000001000000111000011100000000000001000000000 +000000000000001111000000000000100000000000000000001000 +000000000000001101000000000000000001000000001000000000 +000000000000001011000000000000001011000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000010110000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000010000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000011000000000000000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000000000000000000000001000111100000001000000 +000000000000000000000000000000000000111100000000000000 +.logic_tile 2 7 +000000000010000011100010000011100000000001000011000000 +000000000000001001100000001111000000000000000000000000 +111000100000000000000010000001101010001100110001000010 +000001000000000111000100000000001000110011000010000000 +110000000100100011100000000000000000000000000000000000 +010000000001000000100000000000000000000000000000000000 +000000000000000011000000000111100000000000000001000000 +000000000000000000000000000111000000000010000000000100 +000000000010000000000000000111000000000000000001000000 +000010000000000000000011100001100000000010000000000000 +000000000000000101100000000000000000000000000100100000 +000000000000000000100000001001000000000010000000000000 +000000000100000000000010011000000000000000000100100000 +000000000000000011000110110101000000000010000000000000 +000000000000000101000000001000000000000000000101000000 +000000000000000000100000001001000000000010000000000000 +.ramb_tile 3 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +.logic_tile 4 7 +000000000001000000000000001011000001000001010000000000 +000100000000100101000011000011001110000010010000000000 +111000000000000001100000000001100001000001010000000000 +000000000000001101000010110101101101000010010010000000 +111000000000001011100010010101101010000010000000000100 +110000000000000001100010110101111001000000000000000000 +000000000000100000000011101101100001000001010000000000 +000000000000000011000011011111101100000010010000000000 +000100000000000111000000001001100001000001010000000000 +000000000000000000000000000001001111000010010000000000 +000000100000000111000011100101000001000001010000000000 +000001000000001111100100000111101100000010010000000000 +000000100000001000000010000000000000000000000100000000 +000000000000001011000100000001000000000010000000100000 +110000000000000111000011111000000000000000000100000000 +000000000000000000100110000101000000000010000010000000 +.logic_tile 5 7 +000000000000101001100110010111111001000000100000000001 +000000000001010011100011100001101001000000110000000000 +111000000001011011000111101011011110001001000000000000 +000000000110100101100010001001111000000101000000000000 +110100000000010001100110110111000001000001010000000000 +110100000000100111000011101101001100000010010000000000 +000000000000000011100111001001111110001001000000000000 +000000000000000111100100000101011000000101000000000000 +000000100000001101100110110011000000000000000100000000 +000001000000000111000010000111100000000010000000000001 +110000000001011111000000000101100000000000000100000001 +100000001010001111100000000011000000000010000000000000 +000000000000000101100010000001001010101000000100000000 +000000000000000011000000001001101100011100000000000000 +010010100000000000000111110101011011101000000100000000 +000000001010000000000011011101011100011100000010000000 +.logic_tile 6 7 +001000000001101011100110101011100001000010100000000000 +000000000111100001000111011101001010000010010000000000 +111000000000000001100000000101001100000000100000000010 +000000000000101111000010100011001001000000000000000000 +110000001110001101000010011111000001000010100000000000 +010000000111011011000010001001001010000010010000000000 +000000100000000111000111100101001001010100110000000000 +000000000000001111110000000001111000000000110000000000 +000010000000100101100000001101011111000000000000000000 +000001000001000001000011100001001010100000000000000001 +001000100000000011000000000001000001000001010000000000 +000000000010000000100000001101001010000010010000000000 +000000100000000000000000001000000000000000000110000000 +000000000100000001000000000111000000000010000000000000 +000000000001011111000000001000000000000000000101000000 +000010000010100011100010001111000000000010000000000000 +.logic_tile 7 7 +000000100000000111000011010011001001001111110000000000 +000010100000000000100010001111111010000110100000000000 +000000100001011011100000000111100000000001000000000000 +000001000000000101000010010101000000000000000001000010 +000000000000000111000011011001100001000000010000000000 +000001000001011001100010000001001101000000110000000000 +000000000010100011100000001011000000000010000000000000 +000000000001010101000010100101000000000000000000000001 +000100000000000011100010001101000000000010000000000000 +000100000000001101100000001001000000000000000000000010 +000000000000101000000000010101001010000110100000000000 +000000001000000001000011111011011110001111110000000000 +000000000110000011100110001101101010000011000000000000 +000000000000001101100000001011111110000001000000000000 +000000000001000011100000000011101000000011000000000000 +000010100000100000000000000001011100000001000000000001 +.logic_tile 8 7 +000100000000001001100111010011101100000100000000000000 +000100000000001111000110001101011110001100000000000000 +111000000000000001100111000011011011010010100000000000 +000000000000000011000011101001101010110011110000000100 +111000000000000011100110000001011000010010100000000000 +110000100000000000100110111101111010110011110000000000 +000000000000001001100000001001111000001100000000000000 +000000000000010101100000001011011100000100000000000100 +000000001010001101100111001111011010111110000000000001 +000100000000000011000010011111001000111100000000000000 +000110000000000001100111001001000000000000010000000000 +000000000000000000100100000111101000000000000000000000 +000000000000001101100111100111001111010100000000000000 +000100000000000101000110110011011010101100000000000000 +000010100001110011100111100111111001111111000100000000 +000001001100001001100100001101011000101011000000000010 +.logic_tile 9 7 +000000000000000111000010110101100001000001000000000000 +000000000110000101000011110101101010000000000000000000 +111000000000001101000000000001100000000001000000000000 +000000000000001111000000000111000000000000000000000000 +000000000000001101000000000101011111010100000000000100 +000000000000000001000000001001001000101100000000000000 +000000000000001001000011000011011011000111110000000000 +000000000000101111000010011001011010000011110000000000 +000000000010001001100110001011000000000001000000000000 +000000000000000001100000001011100000000000000000000000 +000001000000000000000111011001111011000110100000000000 +000000100000000000000110001011011000001111110000000001 +000100000001000000000000000011011100000110100000000000 +000100000000000001000000001001011000001111110000000000 +001000000000001000000000000000000000000000000100000010 +000000000000000001000000000001000000000010000000000010 +.ramb_tile 10 7 +000000000001110000000000000000000000000000 +000000010001100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110100000010000000000000000000000 +000000001000010000000000000000000000000000 +000000000001100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 7 +000000000000001101000111000000000000000000000000000000 +000000000000001111100010010000000000000000000000000000 +111000101110010001000111100000000000000000000000000000 +000000000010100111100000000000000000000000000000000000 +010000000000000111100000000000000000000000000000000000 +010000000000000000100000000000000000000000000000000000 +000011000001000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001101000000000010000000000 +000000000000000000000000000101111000000000000001000000 +110000000000000000000000001001100000000011110110000000 +000000001000000000000000000001001000000010110000000000 +.logic_tile 12 7 +000000000000000000000110001001001011000010000001000000 +000000000000000000000000000001111111000000000000000000 +111000000000001000000111011101100000000010000001100000 +000000000000000001000111001101000000000000000000000000 +110000000000000011000000011000000000000000000100000000 +010000000000000000010010001101000000000010000000000000 +000000000000000001100010111000000000000000000100000000 +000000000000000000000110001001000000000010000000000000 +000010000000000000000000011000000000000000000100000000 +000000000000000000000011111001000000000010000000000000 +000000000000000000000010101000000000000000000100000000 +000000000000000000000100001011000000000010000000000000 +000000100000011000000000000000000000000000000100000000 +000001000000001011000000000101000000000010000000000000 +000000000000000000010110000000000000000000000100000000 +000000000000000000000000000111000000000010000000000000 +.io_tile 13 7 +000000000000000010 +000100000000000000 +000010000000000000 +000001010000000001 +000000000000000010 +000000000000110000 +001000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000010000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001000 +001100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +010011010000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000000000001011001000011110101000000000000001000000000 +000000000000001011100011000000000000000000000000001000 +111000000000000111100000000001000001000000001000000000 +000000000000000000000000000000101101000000000000000000 +110001000000000000000000000001000000000000001000000000 +010000000000001001000000000000000000000000000000000000 +000000000000000000000000000011100000000000001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000001000000000 +000000000000000000000010000000100000000000000000000000 +000000000000000000000011000000001000111100000000000000 +000000000000001001000000000000000000111100000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +110000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010001000000001 +.logic_tile 2 8 +000000000000000001100110000101001010100000000000000000 +000000000000010011000011010001101001000000000000000100 +111010100010001000000000000001100001000001010000000000 +000000000100000111000000001001001100000010010000000000 +110000000000001000000000011001000001000001010010000000 +110000000000000111000011100101001111000010010000000000 +000000000000000011000000000000000000000000000100000000 +000000000000000000100000000000000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000100000 +000010100000000101100000000000000000000000000100000001 +000001000000000000100000000000000000000010000000000000 +000000000000000000000110100000000000000000000101000000 +000000000110000000000100000000000000000010000000000000 +000000000000000000000111000000000000000000000100000000 +000000000000000000000100000000000000000010000000000010 +.ramt_tile 3 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000001010000000011110011011011100010000000000000 +000000000000000011000011001111111000000100010001000000 +000001100000001011100010111101001010000100010000000000 +000011001000001111100011010011101011010001000001000000 +000000000001000011100010000011101001010001000001000000 +000000000000000000000011111001111011001000100000000000 +000000000001011011100010111101101101000010000000000000 +000000000000001111000011110111001010000000000000000000 +000000000000000111000111011001100000000001010000000000 +000000000000000001000111001001001101000010010000000000 +000100000000000011000011001001101010000010000000000000 +000000000000000001100000001001101011000000000000000000 +000000000000000000000010000011000000000001010000000000 +000000000110000000000011010001001101000010010000000000 +000000000001001000000000000011111011010001000000100000 +000000000000101101000000001111001110000100010000000000 +.logic_tile 5 8 +000000000010000111000000011001111101010100110000100000 +000000000000000000100011101011011000000000110000000000 +111000001110001001100110010001100001000001010000000001 +000000000000000001000010001111101100000010010000000000 +110010000000000001000110010011000001000010100000000000 +110000000000000000100010000101001110000010010000000000 +000000000000000000000011100111000001000010100000000000 +000000000000000000000000001011001111000010010000000000 +000001000001000111100011100101000001000001010000000000 +000010000000000000100100001101101111000010010000000000 +000000001110000001000010011001011111001001000000000000 +000000000000000000000110111001101100000101000000000000 +000010000110000111100010011001000001000010100000000000 +000001000000000000000110101101001110000010010000000000 +000000000000010001000010000000000000000000000100000000 +000000000000000000100110010000000000000010000000000000 +.logic_tile 6 8 +000000000000011011100111111101101011000000000000000000 +000000000000101101000111001111011110010000000000000010 +111001000000000011100000001001000000000001010000000100 +000000100000000101000000001111001001000010010000000000 +010000000000000000000000001011100001000010100000000000 +010000000000000000000011101101001110000010010000000000 +000010001100001000000111001001100000000001000000000000 +000001000000001011000000000001000000000000000000000000 +000000000000000000000000010000000000000000000110000000 +000000000000000011000011110011000000000010000001000000 +000010000001010001100010000000000000000000000110000000 +000001000000100000000000000001000000000010000000000000 +000000000000100000000000000000000000000000000100000000 +000000000111010000000000001011000000000010000001000000 +110000000000000111000011111000000000000000000100000000 +000000000000000000000010011011000000000010000011000000 +.logic_tile 7 8 +001000000000101111000110001101000001000010000000000000 +000000000001000011000000001101101101000011000000000000 +111000000000000101000000000011001011000000010000000000 +000000000000000000000010100111111000000000000000000000 +110000000000011011100110111101100000000000000000000000 +000000000000100111000011001111100000000010000000100010 +000000000000000000000111011101000000000000010000000000 +000000000000000000010010000111001011000000110000000000 +000000000000001000000110100001001110000000000000000000 +000000000000000001000110010011111011000010000000000010 +000000000000000000010111000001101011000001000000000000 +000000000000000000000110100101111101000000000000000000 +000000000000001000000110110011000000000010000100000010 +000000000000100001000110000001100000000011000000000000 +110010000000001000000110000111000000000011000100000000 +000001000000001001000000000001100000000010000000100000 +.logic_tile 8 8 +000000000000000011100011001111001100010000000000000000 +000000000000000101100010100101001001110000000000000000 +111000000001001011100000011001000000000000010000000000 +000000000000101001000011100011001011000000000001000000 +110000000000000101100110000111100001000010000001000000 +110000000000000101000010100111101001000000000000000000 +000000000000001011000010000011101101100000000000000000 +000000000000000001000010100101001110000000000000000100 +000000000000001101100111010001000001000000010000000000 +000000000000001001000011101101001000000000000000000000 +000000000000000001000000000011000001000010100110000000 +000000000000000001000000001111101000000010010000000000 +000000000000000101000010010001011011010110100101000000 +000000000000001001000110000101111011001001010000000000 +000001000000001111000000000111100001000010000110000000 +000000101100000101100011101011001010000011000000000000 +.logic_tile 9 8 +000000000100001000010010100101100000000000000000000000 +000000000110001011000111000101100000000001000001000000 +111010000000010101000000011011000000000011000000000000 +000001000000000000100010000011001011000010000000000000 +010110000000000101000000010111100001000000110000000000 +010000001010000000100010000111001001000000010000000000 +000000000000000000000000001001000000000000100000000000 +000000000000000000010010011111001100000000110000000100 +000000000000000001000000000001101110000110100000000000 +000000000000000111100011111011001000001111110000000000 +000000000000000001100010011111001111111011110000000000 +000000000000001001000111110111101101011011110000000000 +000000000000000001000010001111000001000000000000000000 +000000000000000111000010001011101100000000100000000000 +000010100000000000000000010000000000000000000101000000 +000000000000001001000011111001000000000010000000000000 +.ramt_tile 10 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001001011000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +.logic_tile 11 8 +000000000000000111000111110001000000000001000000000000 +000000000000001001000111111001100000000000000010100000 +111000000000000001000000001111100000000000000000000000 +000000000000000000100000000111001011000000010000000010 +110000000000001011100011000000000000000000000000000000 +010000000000001011100111000000000000000000000000000000 +000001000001010000000000000101000000000000110001000000 +000010000000000000000000000101001000000000010000000000 +000000000000000111000111000011100000000000100000100000 +000000000000000111100111000001001011000000110000000000 +000000000000000011100000011011011100000000000000100100 +000000000000000000100011010001011101001000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000001111000000000000000000000000000100000010 +000000000001011011000000000011000000000010000010000100 +.logic_tile 12 8 +000000000001000000000000000000000000000000000000000000 +000000000000110000000011110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010001000000000001000000000000000000100000000 +000000000000000111000000000111000000000010000010000100 +.io_tile 13 8 +000001011000000010 +000000000000000000 +010000000000000000 +000000000000000001 +000000000000001101 +000000000001000100 +001101011000000000 +000000001001100000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000000011000000000 +000000001000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000000000000000000000000010000000000000000000000000000 +000010000000000000000011010000000000000000000000000000 +111000000000000000000000000111100000000000000000000000 +000000000000000000000000001011000000000010000000000000 +110000000000000000000000010000000000000000000000000000 +000000000100000000000011010000000000000000000000000000 +001000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000000000100 +000000000000000000000010000000000000000000000100000000 +000000000000000000000000001001000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000001001000000000010000000000000 +110000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000001000000001111100111111001000000000011100001000100 +000010100000001111000011110101001011000001010000000100 +111000000001001101100110010001011100010100110000000000 +000000000000100011100011001011011001000000110010000000 +011000000000001000000010001011101011101010110010000000 +010010000000010011000111011001101000101101110000000000 +000010000000000011100000001011000000000001000000000100 +000000000000000001000000000011100000000000000000100001 +000100000010000000000011000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000001010001000010100111000001000001010110100000 +000000000000000000000111001101101000000010010000000000 +000000000100000000000010000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +110000000000000000000000001111000001000001010100000000 +000000000000000000000000001001101010000010010000000001 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000010101000001001000111001111001100001001000000000000 +000001000000000001100110000011111100000101000000000000 +111000001010000101000000001011101100100000010000000000 +000000000100000000000000000011111110010000010000000000 +110000000000000000000010100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000111100110001101100000000001010000000010 +000000000000000000100000001011101110000010010000000000 +000000000001010001100011111111101100001001000000000000 +000000000000101111000111100101111100000101000001000000 +000000001100101111000111110001001000010100110000000000 +000000000110011011000010110011011000000000110000000001 +000000000110100000000111010001100000000001000000000001 +000010000000011111000111011101000000000000000000100000 +110000000000000000000000010000000000000000000100000000 +000000001110000000000011101001000000000010000000000000 +.logic_tile 5 9 +001000000001001111100010001101001111010001000000000000 +000000001010101011000111100101001001001000100000000000 +111000000000100111100111111001000000000000000001000000 +000000000001000111100111110001001100000000100000000000 +010001101100001111100011111111101110010001000000000000 +110011000001011011000110000001111010000100010000000000 +000001100000001111100011101111100000000010100000000000 +000011100000000111100010111011001001000010010000000000 +000010001011001011000011100111101101010001000000000000 +000000001101100001100111011001011011000100010000000000 +000000000001001000000110101011101100000010000000000000 +000000001010100001000111000101111000000000000000000000 +000000000000001000000000000101011011100000000000000000 +000000000000000011000011010011111100000000000000000000 +110010000000101001000000011000000000000000000100100000 +000001000000000111000011011001000000000010000000000000 +.logic_tile 6 9 +000010001100000111000110101011101110001001000000000000 +000001100000001011000000000011001101000101000000000000 +111000001100000001000011000001100001000001010001000000 +000000000000000111000010010001101101000010010000000000 +110000001100000000000110001011000001000001010000000000 +000000000000010000000000001111101010000010010000000000 +000000000001000111100010100101100000000010100000000000 +000000000000001001100000000011101110000010010000000000 +110000001001010111100011110001000000000001010000000000 +100000000000000000000011011101101101000010010000000100 +000000000000000111000110001111100001000001010000000000 +000000000010000001100000000101001001000010010000000000 +000111000101001000010000011001100000000000110010100010 +000111101100101011000010110001001000000000100000000000 +110000000000000111000011000000000000000000000100000000 +000000000010010000000000001111000000000010000000000000 +.logic_tile 7 9 +000101000000011000000011111001100000000010100001000000 +000110100000101111000010100101101010000010010000000000 +111000000000101101100010000001000000000010100001000000 +000000000001011111000110011101101101000010010000000000 +010000000000001001000111011111000000000001010000000000 +110000000000001111000110000001001111000010010000000000 +000000000000000101100010000101100000000001010100000100 +000000100000000000000100001001101010000010011000000000 +000000000000000000000111101001100000000001010100000100 +000000000000000001000100001001001000000010011000000000 +000000000000000000000010101111000000000001010100000000 +000000000000000111000000001011001010000010011000000000 +000001000000000001100011100101000000000001010100000010 +000000100000001111100000000011101000000010011000000000 +110000000000100000000000001001000000000001010100000000 +010000000101010000000010001111101010000010011000000000 +.logic_tile 8 9 +000000000000000001100111010001101100110000000000000000 +000000000000000101000010000011001001010000000000000100 +111000000000001001000111110111000000000000000000000000 +000000000000001111100110011101100000000010000000000000 +110000000000001111010111011001100001000000100000000000 +010000000000001001000011011011101000000000000000000000 +000000000000001000000011000101000001000000010000000000 +000000000000001001000100000001101010000000000000000100 +000000000000001011000011011101000000000000010000000000 +000000000000001111000011111101001010000000000000000100 +000000000000100001100000011001011010100000000000000000 +000010000001001111110010000011011000000000000000000100 +001000000000000000000110001111000001000000000000000000 +000001000000001111000100001001001100000000100000000000 +010000000000000000000000000111000000000011110101000000 +010000000000000000000000001001101101000011010000000000 +.logic_tile 9 9 +000001000000000001000000000000000000000000000000000000 +000010100000000000000010010000000000000000000000000000 +111000000001010000000110001101100000000001000000100000 +000100000000000000000000000011000000000000000000000000 +110000000000001001000000000101101010000001000010000000 +010000000000000111000000000011001001000000000000000000 +000000000000010001100110000101100000000000000010000000 +000000001000001101000000000111100000000010000000100000 +000000000000000001000000010101101010001000000000000010 +000000000000001111100011110011001001000000000000000000 +000000000000010011100000000101001110000000000000000000 +000000000100100111000000000011001000000000100000100001 +000000000000010111100000001001100000000000000001000010 +000000000000000111100000000011100000000001000000100000 +000001000000000000000000000000000000000000000100000000 +000010000110000000000000000111000000000010000000000000 +.ramb_tile 10 9 +000010000001000000000000000000000000000000 +000010110000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000110101110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 9 +000000000000000001100111000001101100001100110000000000 +000000000000001001000011010000011000110011000001000000 +111000001111000111100000001011101111000010000000000000 +000000000000100000000000000101011011000000000000000000 +010000000000000000000111101101000001000010100000000000 +110000000000001011000111000101101011000010010000000100 +000000000000000011000010000111101101100000000000000000 +000010001110110111100010010001001111000000000000000100 +000000000000000000000010010101001110000010100000000001 +000000000000000001000111011001011110000001100000000000 +000000100000000000000011000000000000000000000101000000 +000000001010000111000000001001000000000010000000100000 +000000000000010001000010000000000000000000000100100000 +000000000000100000100010001011000000000010000000000000 +110010000010000011000000001000000000000000000110000000 +000000000000000000000010011011000000000010000000000000 +.logic_tile 12 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +111000000000001000000000000111000000000000010001000000 +000010000000001011000000000111001011000000000000000000 +010000000001010000000000000000000000000000000000000000 +010000000000100000000011100000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000001010000011000000000000000000000000000000000000 +000000000000000111000000000111000000000000000000000010 +000000000000000000100000000111001011000010000000000000 +000000000000001111000000000011000001000000000000000100 +000000000000010011000000000011001000000000100000000000 +001000000000000000000000001000000000000000000100000100 +000000000000000000000000000011000000000010000000000000 +.io_tile 13 9 +000000000000000000 +000100000000000000 +000000111000000000 +000000001000000000 +000000000000001100 +000000000000000100 +000101010000000000 +000000001000010000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000111100010100001011001001100000000000000 +000000000000000001100100001101101000000100000010000001 +111001000000001101000000010101100000000000000000000001 +000000100000000001100011100001000000000001000000000000 +000001000010000001100111100001011001001100000000000010 +000010000000000000000100000101101001000100000000000001 +000000000000000111100000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000111000000000001000000000000 +000000000000010001000000000111001100000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000001001000110100000000000000000000000000000 +000000000000000101100100000000000000000000000000000000 +000000000000000000000000001001001111101001110110000010 +000000000000000000000000000101011101010101110000000011 +.logic_tile 2 10 +000010000000001111000011011001100000000000000001000000 +000010000000001111100010001001101011000001000000000000 +111000000001010101100010011001000000000010000000000000 +000000000000000011110011100001001001000011000000100000 +110000001001010011100111000011111011001100110010000000 +010000000000000000100000000000011010110011000000000000 +000010001010100011100011100111001000000000010001000000 +000001001110110001100111100011011101000010000000000000 +000000000000000111000111100111001100100000010000000010 +000000000000000000100110000101111110010000010000000000 +000000000000000111000000000001111010001100000000000000 +000000000000000000000000000001011110011100000000000100 +110101101100000101100111101111100000000010100000100000 +100111100010001011100110000111001111000010010000000000 +000100000000000011000000001000000000000000000100000000 +000000000000000001100000000101000000000010000000000010 +.ramt_tile 3 10 +000010000000000000000000000000000000000000 +000001000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000100000001100010001101100000000000000000000000 +000000000000101101000010000001100000000010000010000000 +111001000010000001000010110101011110000000000000000000 +000010000000000011100010000101001100000010000000000000 +110000000000000101000010010001011100010001000000000000 +110100000000001001100010000111101001000100010000000000 +000001001100001001000010111101011100100011110001000000 +000010001010000001000010010101101000110011110000100000 +000000000000001111100011001101011100100000000000000000 +000000000000000011000000001001111000000000000000000000 +000000000000001011100110111101101101000010000010000000 +000010000110001011000111001111101000000000000000000000 +000000000000000001010010001011011001010001000000000000 +000000000000000000100011101011111010001000100000000000 +110000000000000111100000010000000000000000000100000101 +000000000000000000100011111111000000000010000000000000 +.logic_tile 5 10 +000000000000000001000010011111001101000010000000100000 +000010100000001111100010000111001101000000000000000000 +111000000001010101000111001101101101000010000001000000 +000000000000100000000010111011111010000000000000000000 +010010000001010000000011100001011101000010000000000000 +110011000001110000000110010001101001000000000000000000 +000000000001001101100010100011011011010001000000000000 +000000000000110111100010001101011101001000100000000001 +001010100000010111100000010001100000000001000000100000 +000001000100000111100011011001000000000000000000000000 +000000000000000011000011111111111011010001000000000000 +000000000000000000110110101001101011000100010000000000 +000010000000000000000011100111111101100010000000000000 +000000001110011111000000001111001001000100010000000000 +110000000000000111110011100000000000000000000100100000 +000000000001000001000000000001000000000010001000000000 +.logic_tile 6 10 +000000000000000001100010000001100001000010100000000000 +000000000000000101000010001101001010000010010000000000 +111000001100011001100011110001111011100000010000000000 +000000000000001101000011111001101001010000010000000000 +110000000110001011000111001101000000000001010000000000 +110000000000000001000100000001001010000010010000000000 +000001100001110111000111000001011010010100110000001000 +000011100001010000000100000001010000000000110000000001 +000010100000100000000000001000000000000000000100000000 +000001001000000000000000000111000000000010000000100000 +001000001010100000000000000000000000000000000100000000 +000000000000000000000000001111000000000010000001000000 +000010000000000000000011100000000000000000000100000000 +000001001010000000000010001001000000000010000000000100 +110000000000001001000000001000000000000000000101000010 +000100000000001101100000000111000000000010000000000000 +.logic_tile 7 10 +000001000000000111100010001101000001000010100000000001 +000010000000000111100111010001101001000010010000000000 +111000000000001111100010100000000000000000000000000000 +000000000000000001100010010000000000000000000000000000 +010000000000100111100000011011100001000010100000000000 +010000000000010111100010000111001011000010010000000000 +000000000001010000000011100001001100001100000010000000 +000000000000100000000000000001011001011100000000000000 +000000000000000001000000000001100001000010100000000000 +000001000000001001100011001111101011000010010000000000 +000000000000001101100000010011111101101100000000100000 +000000000000000101100010000001011000001100000000000000 +000000000000000000000011000101001110101100000000000000 +000100000000000000000011000101011100001100000000000000 +110000001010000011100000000000000000000000000100000000 +000000000100010000000000000011000000000010001000100000 +.logic_tile 8 10 +000000000000000001000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111100000000000111100111001111100001000010100000000000 +000100000000000111000000000101001000000010010000000000 +110000000000001001100000001101000000000001010001000001 +010000000000001111000010010001101110000010010000000000 +000000000000000000000000001011101100000001000010000000 +000000000000000001000000001001101010000000000000000000 +000000001101011000000000000000000000001100110001000100 +000000000000101111000010010000000000110011000000000100 +000000000000000000000000010101000000000010100010000000 +000010100000000000000010101001001110000010010000000000 +000000000000000111100000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000001000001100000000000000000000000000101000000 +000000000000000000100000000001000000000010000010000000 +.logic_tile 9 10 +000000000000001000000000010000000000000000000000000000 +000000000000000111000011100000000000000000000000000000 +111000000000001101110011101101000001000000010000000000 +000000000110000001100011001001101010000000000000000100 +010000000000000000000011011101100000000001000000000010 +010000001000000000000111110101100000000000000000000000 +000000000000001101100000011001100001000000010000000100 +000000000000000111100010000001101100000000000000000000 +000000000000100011100000001111000000000000000000000000 +000000000000010000000000001001001000000011000000000000 +000000000000010111000000000001111111100000000010000000 +000000000000000000000000001101001110000000000001000000 +000000000000000000000000001011100000000000000001000000 +000000000000000001000000001001000000000010000000000000 +000000000001010111000000000000000000000000000110000100 +000000000000000001000000000011000000000010000010000001 +.ramt_tile 10 10 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +.logic_tile 11 10 +000000000000001101000111000101001110001000000000000101 +000010000000000111100111011011001000000000000000000000 +111000000000001101000111110101100000000001000000000000 +000000000000000111000111100001101111000000000001000000 +110100000000000111000011001001101000001001000000000000 +110100000000000011000110001111011001000101000000100000 +000000000000000001000011010101100000000000000000000100 +000000000000000000100111000001101111000000100000000000 +000000000000100111000000011011100000000010100000100000 +000000000000010000000011111011001010000010010000000000 +000000000000000001100000011101000001000001010100000000 +000000000000000000000011111101101001000010011000000000 +000010100001011000000011101011000001000001010110000000 +000001000000100111000010100101001001000010011000000000 +110000000000000011100111100001100001000001010100000000 +110000000000000000000000001101001001000010011001000000 +.logic_tile 12 10 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +111100001100100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +010010000000000000000110100000000000000000000000000000 +110001000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000100000000000000000001000000000000000000100000100 +000001000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 10 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000011000 +000000000000000000 +000000000000000000 +001100000001100000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000001100000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000001100001 +000000000000000000 +000000000000000000 +.logic_tile 1 11 +000100000000000011000110100101000000000001000000000000 +000100000000001101000110110001000000000000000000000000 +111000000000000000000111010101101000000111110000000000 +000001000000001101000110110001011111001111110000000000 +110000000000001011000000000101100001000001110000000000 +110000000000001001000010110101101100000000110000000000 +000000000000000111000000000101000000000001000000000000 +000000000000000000100010001101000000000000000000000000 +000000000000000001100011010101000001000010000000000000 +000000100000000000000011010101101000000011000000000000 +000000000000011000000000000001101101000000000000000000 +000000000000100101000000001001011001000010000000000000 +000000000000001000000011011111001100110000000000001001 +000000000000000001000110001001000000010000000000000100 +111000000000000000000000000000000000000000000100100100 +000000000000000000000000001011000000000010000000000000 +.logic_tile 2 11 +000000000100000001100000010101111010110000000000000010 +000000001010000101000010000001101001100000000000100010 +111000000000001001000111001101000000000000000000000000 +000000000000001101000000001001001010000010000000000000 +110000000000001111000011111011000000000000000010000000 +110010000010001111000011110001100000000010000000000000 +000000000000001111100000010101101100000000000001000000 +000000000000000101100011010001001100010000000000000000 +110000000000100111000111000001100001000001000000000000 +100000000001010111100100000111001010000000000000000000 +000000000000001000010000000011111111100000010000100000 +000000000000000111000000000111011111010000010000000000 +000001000001000001100111100000000000000000000110000010 +000010100000100001000100001101000000000010000000000000 +110000000000000000000110000000000000000000000110000000 +000000000000000000000111011101000000000010000000000000 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 11 +000000000000000001000010001001011100010001000000000000 +000010100000000000100111010111001101000100010000000000 +111000000010000001000000000001000000000000000000000000 +000000000000000000000010010111100000000010000000000000 +010000000010000000010010101011011111100010000000000100 +110000001000000000000000001001111101000100010000000000 +000000000000000000000000001011000001000000000001000000 +000000000000000000010011100001001110000010000000000000 +110001000000000000000011110011111111000010000000000000 +100000100000000001000110111011011101000000000000100000 +000000000000000001100000011000000000000000000110000000 +000000000000000000000010001101000000000010000000000000 +000000000000000111100000000000000000000000000100000000 +000000001100001001000000000111000000000010000010000000 +110100100001000011010000000000000000000000000101000000 +000000000000000001000010101001000000000010000000000010 +.logic_tile 5 11 +000010100000000001100111100111000001000010010000000000 +000010000000001101000110001101101000000000110000000000 +111000000000001101000111011001011000000000010000001000 +000000001110001001000010010001010000010000000000000000 +110010101000100000000010101011111101100000010000000000 +010001000001011111000100000101101111010000010000000010 +000000001111111111100000010001000001000000010001000000 +000000001110000001000011010001101010000000000000000000 +000010000010000000000000000101101011000100010000000000 +000101000000000000000010011111101110010001000000000000 +000000000100101111100111100000000000000000000100000010 +000000000000001111100000001001000000000010000010000100 +000000000000000000000111001000000000000000000100000000 +000000000000010000000110100011000000000010000000100000 +110010100000000011100111101000000000000000000100000010 +000000000000000000100100001001000000000010000001000000 +.logic_tile 6 11 +000000000000001111110111001101100001000000000000000000 +000000000000001111100010010011001101000000010000000100 +111000000000001001000010001101011110011000000000000000 +000000000010000001000010001001011101000000000000000000 +010000000110001111100111010101111001010111110001000000 +010000000000000011100111010011101000001011110000000000 +000000000000000011000111000111111010010100110000000000 +000000000000001111100010010011011010000000110000000001 +110000000000010101000011100111101111100000000000000000 +100000000000100111100010101011111010000000000000000000 +110000000000001111100000010001001010001001000000000000 +000000000000000011000011010111011011000101000000000000 +110010100000001000000011101001001000100100000000000000 +000001000000000111000011101001011111000000000000000001 +110000000100000001100110011000000000000000000100000000 +000000000000000000000011110011000000000010001000000000 +.logic_tile 7 11 +000000000000000111100111011011001001100100000000000000 +000000000000001111100010000001111100000000000000000000 +111011000001000000000011100101101011101100000000000010 +000011000110000001000100000001011100001100000000000000 +110000000001001101100110001101001101001000010000000000 +010000000110000001000010010011111000001100110000000000 +110010100000000001000000010001001111101100000000000000 +000001000000000000100010000001001001001100000000000000 +000001100000001000000111110101101010000011000000000001 +000010100000000101000011111001101010000001000000000100 +000000000000000011100011101101101101101100000000000000 +000000000000000111100100001011001100001100000001000000 +000000001100000011000000011011011111000001100000000000 +000000001110010000000010100001111100000000110000000000 +110000000000000000000000010000000000000000000100000000 +000000100000000000000011111111000000000010001001000000 +.logic_tile 8 11 +000000000000001001000111010001111111101100000000000000 +000000000000000011100011001011011010001100000000000000 +111000001100000111100011111111100001000001010000000000 +000000000000001001000011011101101110000010010010000000 +010000000000001001000111111001100001000001010010000000 +110000000000001011100111010111101000000010010000100000 +000000000000000111010111000101000000000000000000000000 +000000000100000000000111100011001011000001000000000001 +000000000000000001000110111111000001000000100000000000 +000000000010000000100111111011101000000000000000000000 +000100100000100001000000010001011011101010110100000000 +000000000000010000000010110001011100010110110000000001 +000000000000000000010000011011011101111110100100000000 +000000000000000000000011111101101001111101000010000000 +000000000000000001000110010001000000000010000100000000 +000000000000000101100011011001101010000011000000000000 +.logic_tile 9 11 +000000000000000000000111101001111100111100110000000000 +000000000000001111000111101001011010010100110000000000 +111000000000010011000000011101101110111010100000000000 +000000000000101001100011110001101001111001010000000000 +000000000000001001100111000101000000000001010000000001 +000000000000000001000011101111001001000010010000000000 +000000000000000000000111110001011111000111000000000000 +000000000000000000000111110011011000010111000000000000 +000000000000100111000011101111100001000011110000100000 +000001000001000000000010010001101111000011100000000000 +000000000000000001100011111011101101100000000000000000 +000000000000001111000011001101111101000000000000000000 +000001000000000111000110001011000001000001010001000000 +000010101000000000000000000011101110000010010000000001 +000000000000000111100011110101000001000001110100000011 +000000000000000000100011001101101100000010100000000001 +.ramb_tile 10 11 +000011100000000000000000000000000000000000 +000010110000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000000000000000101000111111011000000000000010000000010 +000000000000000000100111001001001011000000110010000000 +111000000000000001000011110001100000000000000000000000 +000000000000001001100111010001000000000010000001000000 +010000000000000001100010000101111000010100000000000000 +010000001110000011000100001111011101011000000000000010 +000000000010001111100010000011000001000010100000000000 +000000000000001011000100000101001000000010010000000000 +000000000000011111100000000111000001000000000000000000 +000000100000000111000010100101101100000010000000000001 +000100001100000011000110101111000000000001010100000100 +000000000000001001000000001011001001000010010000000000 +000000000000010011100110001011100001000001010110000000 +000000000000100000100010101101001010000010010000000000 +110000000000000011100000011111100000000001010101000000 +000000000000000000000010101111001001000010010000000000 +.logic_tile 12 11 +000000000000001000000110000111100000000001000000100000 +000000000000000111000010110111000000000000000000000000 +111000000000001111000000000001000000001100110001000000 +000000000000001011100000000000000000110011000000000000 +011010100000101000000111010001011000001100110001000000 +110001000001010001000010000000100000110011000000000100 +000000000000100000000010101101100000000001000000000010 +000000000001000000000100001111100000000000000000000000 +000010000000000000000011100000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000001000000000001000000000000 +000010000000000000000000000101101011000000000000000100 +000000000001010101000000001000000000000000000100000000 +000000000000000000100000000001000000000010000000000000 +000000000010101000000000000000000000000000000100000000 +000010000001000011000010111011000000000010000000000000 +.io_tile 13 11 +000001111000000010 +000100001000000000 +000000000000000000 +000000000000000001 +000000000000100010 +000000000000010000 +001100000000000000 +000000000001100000 +000000110000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000001100001 +000000000000000010 +000000000000000000 +.io_tile 0 12 +000000000000000010 +000100000000000000 +000000000000010000 +000000000000000001 +000000000000110010 +000000000000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000001011000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 12 +001000000000000111000010000101100000000010000000000001 +000000000000000001100011101111100000000011000010000001 +111000000000000001000000011111011010010000000000100000 +000000001110000000000010001101101001110000000000000000 +010000000000000000000010001011000000000000100000000000 +010000000000000000000100001001101011000000110000000000 +000000000000000001100000011111100000000001000000000000 +001000001100001001000010000001000000000000000000000001 +110000100000000000000110101011011100010000000000000000 +101000000000000000000000001001111011110000000000000000 +000000000000000000000010001111100000000000000000000000 +001000000000000000000100000001001111000000100000000000 +000000000000000101000110110001011010000010000000000000 +001000000000000011000010100111001000000000000000000000 +110000000000000111000000000000000000000000000100000001 +000000000000000000000000000111000000000010000000000000 +.logic_tile 2 12 +000000000000000011100111100011000000000000000000000000 +000000000000001011000100001111000000000001000010000000 +111000000000001000000000010011100001000000000001000000 +000000000000000001000011101101001010000000010000000000 +010000101110001000000011101101000000000001000010100000 +110000000000001111000010010001000000000000000000000000 +000000000000000001110000010001100001000010000000000000 +001000000000001011000011011001101101000000000000000000 +000000000000000111100011001011011110000010000000000000 +001010000000001101000000000101111000000000000000000000 +000000001100000000000010001000000000000000000110000000 +000000000000001011000100001101000000000010000000000000 +000000000000100000000110100000000000000000000100000000 +001000000001010000000100000011000000000010000001000000 +110000000000000000000000010000000000000000000101000000 +000000000000000000000010000001000000000010000000000100 +.ramt_tile 3 12 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +.logic_tile 4 12 +000001000001000111100000001011111011100010000000000000 +000010000000100000100011000101101000000100010000000000 +111000000000000001100111010101001101100000000000000000 +010100000000001011000010111111101000000000000001000000 +110000000000001111000110000101011011010001000000000000 +110100000000001011100010110101111111001000100000000000 +000000000000001111000111100001111100010001000000000000 +001100000000101111000011100011111000000100010000000000 +000000100101011001000000001101111110000010000000000000 +001000000000100001100000001111111001000000000001000000 +000000000000000000000111001111111100010001000000000000 +000000001000000111000011101001001010000100010000000000 +001000000000000000000111111000000000000000000100100000 +001000000000000000010010001101000000000010000000000000 +110000000000000101000110001000000000000000000100000000 +000010000000001001000011100111000000000010000010000000 +.logic_tile 5 12 +000010000000100001000111000101011100000010000000000000 +000001000000010000100111100101101011000000000000000000 +111010001100010111100000011001111100100000010000000000 +000001000000000000000010001011101110010000010000000000 +110000000001100011000110011011000000000000000000000000 +010001000011111111100010001011001001000000010000000000 +000001000101011011100000000111100001000000100000000000 +000000100000100011100000000101101000000000000000000000 +000000000000010111100000010101100000000010000000100001 +001000000000000011100011000011000000000000000000000010 +000001001000000001100011100011000000000000100000000000 +000000100000001001000110000001001001000000000000000000 +000010000000000000000000001000000000000000000100000000 +001001000100000001000000000101000000000010000000000000 +110000100000010000010111001000000000000000000100000000 +000000000000010111000100000111000000000010000000000100 +.logic_tile 6 12 +010000000001001011100110001101100000000000000000000000 +000000000000001111100010001001001111000000010000000000 +111000100000001000000111110001100000000000010000000001 +000001000000001111000011010101001001000000000000000000 +111001000000001000000011101001000000000000000000000000 +011010000000000101000111101111001000000000010000000000 +000000000000000000000000000011001010000010000000001000 +001000001000000111000000001011010000000000000000000000 +000100000000001011000110110101000000000000000100000010 +001000000000000001000011000111100000000010000000000000 +000000000000000000000010000001000000000000000100000000 +001001000000000000000000000011100000000010000000000001 +000000000000000011000111000001100000000000000100000000 +001000000110000000000000000111100000000010000000000001 +010000000001000000000011101111000000000000000110000000 +000000000000000000000000001011000000000001000000000000 +.logic_tile 7 12 +000000000000110001000000001001000000000000000000000000 +000000000001110001000011111011001000000000010000100000 +111000000000000001100110111001100001000000100000000001 +000000000000001011000111010111001001000000000000000000 +010010000000000001100010000111011110000010000010000001 +011001000000000001000111000101011100000000000001000000 +000000000000000000000000011001100001000000100000000000 +000000000000000000010011010111101001000000000000000010 +000000000000001001000110101101011001101100000000000000 +001001000000000001010110101001001111001100000000100000 +000000100000001000000000001011101010101100000000000000 +000000000000001011000011101101011011001100000000000000 +000000000000001000000000000011000001000000100000000000 +001000000000000101000000001011101110000000000000000000 +110000000000001111100111010000000000000000000100000000 +000000000000000011000010000011000000000010001000000010 +.logic_tile 8 12 +000000000000000011100010011001000000000000000000000000 +000000000100000000000010001001001110000000010000000000 +111000100000001011100111010101000001000000000000000000 +000000000000000001010011011101001000000000010001000000 +110000000000010011110011101001000000000000100000000000 +110000000000000000000010111001101110000000000000000000 +000000000001000000000000001001001100000010000001000010 +000000000110000101000000001001111101000000000001000000 +000000000001011000000010011001000000000000000000000000 +000000000000100011000011100111001100000000010000000000 +000010100000000111000000011011100000000000000000000000 +000000000010000000000011110011101110000000010000000010 +000000000000011001000111000101100001000010000000000000 +001000000000000011000100001101001000000000000001000000 +000010100001001111000000001000000000000000000100000010 +000000000000000111000000000111000000000010000010000000 +.logic_tile 9 12 +000000000000000011100000001101100001000010100000000001 +000000000110000000000000001001001100000001100000000000 +111001000100000101000111011000000000000010000001000000 +000000100000000000100111011001000000000000000000000000 +110010100101000000000000000001011001110100000001000000 +000000000000100000000000000111001110101100000000000000 +000000000000001000000000000000000000000000000100000000 +000000000000001111000000001111000000000010000000000001 +000000001110000000000000000000000000000000000100000000 +001000001010000000000011001011000000000010000000000000 +000000000000000000000000000000000000000000000100000001 +001000000000001101000000001111000000000010000000000000 +000000000010000001000000000000000000000000000000000000 +001000000000000000100011000000000000000000000000000000 +110000000000100101100000000000000000000000000110000000 +000000000001000000100000001111000000000010000000000000 +.ramt_tile 10 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +000000000000000001000110000011001101010000100000000000 +000000000000001011100010011001101100010000010000000000 +111000000000000011100111100011000000000001000000000000 +000000000000000001100111100001000000000000000000000000 +000000000000001111100000000001101011101001010000000001 +000000000000000001100000000001001001010110000000000000 +110000000000000111100110000011011011110100000000000011 +101000000000000000100011101111111001101100000000000000 +000000000000000011100010010001101011111110000000000000 +001000000000001011100111100011001001111101010000000000 +000000000000000001000011101001100000000001000000100100 +000000000000001111000100000011000000000000000000000000 +000010100000000001000000000011100000000011110000000011 +001001000000000000100010010111101010000011010000000000 +000000000000000000000000000101100001000001100100000001 +000000000000000000000000001001001011000010100000000000 +.logic_tile 12 12 +000000000000000111000010101001100000000000000000000000 +000000000000000000000010101001000000000010000000000100 +111000000000001001100000011011100000000001000000000010 +000100000000000101000010101101000000000000000000000000 +000000000000001001100110010001000000000000000000100010 +000000000000000001000010000101100000000011000000000000 +000000000000001000000000011011100000000001000000000000 +000000000000000101000010101101100000000000000000000000 +000000000000000001100000001101001101110000000100000000 +000000000000000000000000001111101000110110100000000000 +000000000000001000000111011111011000001111110100000000 +000000000000000001000110001011001010001001010000000000 +000000000000000011000000001001011010111001010100000000 +001000000000000000000000001111001011110000000000000000 +000001000000000000000111001001011000111001010100000000 +000010100000000000000100001011101001110000000000000000 +.io_tile 13 12 +000000110000000010 +000000001000000000 +000010000000000000 +000001010000000001 +000000000000000010 +000000000000110000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 13 +000010000000000010 +000101110000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 13 +000000000001010000000000011101100000000001000000100000 +000010100000100000000011000101100000000000000001000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +011000000000000111000000000011000000000011000001000000 +010010100000000000100010000101000000000000000010000000 +000000000110000011100000010000000000000000000000000000 +001000000000000000100010110000000000000000000000000000 +000001000000001111000000000000000000000000000000000000 +001010100000000011000000000000000000000000000000000000 +000000001110100000000000000111100000000001000001000000 +000000000000010000000000001101000000000000000000100010 +000000000000000000000000000000000000000000000101000000 +001000000000000000000000000011000000000010001000000000 +110000000000000101110000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 2 13 +000100000000100000000010100011001011001100000010000000 +000100000001000001000011000111001000011100000000000000 +111000000000000111100011110101001001111100110000000100 +000000000000001101000010001011111010111110110000000100 +110000000000000000000110011001111111000000000000000000 +010000000000001111000011111101101010000000010000000010 +000000000000001111100111100011111011111111000000000000 +001000000000000001000111010111011001010110000000000000 +000001000000001111000011010001100000000000100000000000 +001010100000000011100111100001001100000000000000000000 +000000000000000001000110001111000000000000100000100000 +000000000001001001000010001101101011000000000000000000 +000000001000000011100010001011100001000001010110000000 +001000000000000001000100001001001101000010010000000000 +111000000010000001000000001111100000000001010100000010 +000000000000001001000000001011001001000010010000000000 +.ramb_tile 3 13 +000001000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000001000000000010000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000001100000001000011101001011000011000000010000000 +000000000000000000100000000001011110000000000000000000 +111000101010000111110011110011100001000000100000000000 +000000000000000001010111101001001101000000000000000000 +110001000000000001100011000101101010000010000000000101 +011000001100001011000100000101101111000000000000000010 +110000000000001000000111101101000001000000000000000000 +001000000000001111000111010101101100000000010000000000 +000000000001010000000110111001100001000000000000100000 +001000000000100001000111101001001111000000010000000000 +000000000000000101100011000011111011111100110000000010 +000000000000000001110110100111111110111110110000000011 +000000000000000001000110000000000000000000000100000000 +001000000000001001000000000011000000000010000000100011 +110000000100001000000110100000000000000000000100000101 +000000000000000101010100001011000000000010000000000001 +.logic_tile 5 13 +000010100000001000000111001001000001000010110000000000 +000001000000000001000000000101101111000011100000000000 +111000001100000101100111001001100001000000000000000000 +010000000000001011100000000001001001000000010000000000 +111000000000001001100000001101011110000011000000100000 +111000000000000001000010110011001100000001000000100000 +000010000000000011000000011111100000000001000001100000 +000000000000001011000010001101000000000011000000000000 +000000000000000000000110100101100001000000100000000000 +001000000000000001000110011011001101000000000000000000 +000010000100000000000000001001001010000000000000000000 +000001000000000111000011101101001101000001000001100000 +000000000000001000000111100101011111000100000000000000 +001000000001010111000100001011111100000000000000000000 +110000100000001101100011100000000000000000000100000010 +000000001010000001000111100111000000000010000000000000 +.logic_tile 6 13 +000000000000001001000111110001100000000000000000000000 +000001001110001111100111101001101010000000010000000000 +111000000000000111100011011011011110000011000001000000 +000000000000100001000110000101101110000001000000000000 +010000100000000111000111001001001011000001010000000000 +111000000100000111000110010011001010000011100000100000 +111000100000001101000010011011000001000011010000000010 +001000001111000001100010101101001000000011110000100000 +000000100000001111100011111111101101001000010000000000 +001001000000000001000110101011111000001100110000000000 +000000000001010000000111000001111010000000010000100000 +000000000001111001000011101001111100100000000000000000 +000010000001011000000000000011100000000010000000000000 +001001000000101111000000000001100000000000000000000000 +110000000001010001000000010000000000000000000100000000 +000000000000000000000011111101000000000010001001000000 +.logic_tile 7 13 +000000001110001101100000010111011011001100000010000000 +000100000000000011000011011101001010011100000000000000 +111000000000000001100110011011001010001100000001000000 +000001000000000001000011011011001111011100000000000000 +010000100000000101000111001111111001000001100000000000 +011001000000000000100000000001111001000000110000000000 +110000000000001011100011100001011010000010100000000000 +001000000000000001100000000001011110000001100000000000 +000000000000011011100111000011011100001100000000000000 +001010000000100011000000001101001110011100000000000000 +000010000100000101100010001011001010001100000000000000 +000001000000001001100000000111001111011100000000000000 +000000000000001001000000001111011001001100000000000010 +001000000000001111100010010011001010011100000000000000 +110001000000000000000000010000000000000000000100000000 +000010100000001001000011011011000000000010001000000000 +.logic_tile 8 13 +000001000000000011100011001111011111110100000010000000 +000010000000000000000010001011011011111100100000000010 +111000000000001011100011100001101111010101010001000100 +000000001000000001000011000001111000100101100001000000 +000000000000001011100000000101111010010101010001000000 +001000000000000001000010010101101001100101100000000001 +000000000000101001000010001111000000000001000000000000 +000001001000000111100011001111000000000000000010000001 +001000000000000011000000000001101101101001000000100000 +001000001110001111100000000011101010010110100000000011 +000000000000001000000000011101000000000010100010100000 +000000000000000101000011111101001011000001100000000001 +000000000000000011000011101001001011010100000100000001 +001000000000001001100000000011101000100100000100000001 +110000000000000000000000010000000000000000000000000000 +000010001010000000000010000000000000000000000000000000 +.logic_tile 9 13 +000000001100001000000000000101000000000001000000000000 +000000000000000001000011101001000000000000000000000000 +111001000000001111100111010001111000110000000000001000 +000010100110000111100111100111100000100000000000000000 +000000100000000001100000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000100000000000010000000000000000000000000000 +000000000001000000000011100000000000000000000000000000 +000000100000000000000000000101100000000000000000000000 +001000000000000111000000000001101100000000100000000000 +000000000000000000000000001001001011111101110100000100 +000000000000000000000000001001001000111100110000000010 +000000000000000000000000000000000000000000000000000000 +001000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 13 +000000100000000000000000000000000000000000 +000001010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000001100010010111111001000011100000100000 +000000000000001101000011000001011000000011110000000000 +111000000001000001100011000011100000000000000000000000 +000000000000000111000100000101100000000001000001000011 +010000000000000000000000001011000000000001000000000000 +011000000000001101000000001101000000000000000001000000 +000000000000000111100011001111011011000100000000000001 +001000000000100000000100001001101000000000000000000000 +000000000000000111000000001001000001000000000000100000 +000000000000000000100000000011001000000000010000000000 +000000000000000000000000000000011010001100110000000000 +000000000000000011000010000000001001110011000000000000 +000000000001010000000110100000000000000000000100000001 +001000000000100000000000000000000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000001000000000000000000000010000000000010 +.logic_tile 12 13 +000010000000000000000010110111000000000000001000000000 +000001000000000000000011000000100000000000000000001000 +111000000000000000000000000101000000000000001000000000 +000000000000000000000000000000001101000000000000000000 +010000000000000101000010100001001000001100111000100000 +010000000000000101000000000000101111110011000000000000 +000000000000000001100000000101101000001100111000000100 +000010000000000000000000000000001101110011000000000000 +000010000000000111100111000000001000111100000010000000 +001001000000000000000000000000000000111100000000000000 +000000000000100000000000000000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000111000000000000000010000000000000 +001000000000000000000011011101000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000100 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000001010000000010 +000100001000000000 +000000000000000000 +000000000000000001 +000000000000010010 +000000000000110000 +001110000000000000 +000001110000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.logic_tile 1 14 +000000100000000000000000000111000000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000001000000000000011100000000000001000000000 +000000000000001101000000000000101101000000000000000000 +010000000000000000000011000000001000111100000000000001 +100000000000000000000000000000000000111100000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110101000000000000000000110000001 +000000000000000000000100000001000000000010000010000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000010011101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000001001000110110011000000000001000001000000 +000001000000001111000110001011100000000000000000000000 +000000000000000011000010011101100001000000000000000000 +000000000000000000000011010001001010000001000000000000 +001000000000001000000010011001000000000000000000000000 +000000000000000001000011001011000000000001000000000000 +000000000000000011000110101001000000000000100000000000 +000000000000001001100100000001001011000000000001000000 +000000000000000001100011111001100000000001000001000010 +000000000000000000000110010101100000000000000010000000 +000000000000000001100000010001011000111111000000000000 +000000000000000000000010001001111010010110000000000000 +110000000000000000000000001101100000000000000010000000 +100000001000000000000000001101000000000010000010100000 +000000000000000000000000000101000001000011010000000010 +000000000000000000000000000011101100000011110000000010 +.ramt_tile 3 14 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001100000010000000000000000000000000000 +000110000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000001010000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000000000000100011000000001111000000000000000000000000 +000000000001000011100011101101100000000001000000000000 +000000000000000000000110010001001101000110100000000000 +000000000000001001000011110101111110101001010000000010 +000000000000000011000010010011100000000000000000000000 +000000000000000011100010000101101110000000010000000000 +000001000000000000000110111011011001000011010000000100 +000000000000000011000110001111011011000010100000000010 +000001100110001101100000011101111000111100110000000001 +000010000000000001100010111011111000111101110000000000 +000000000000000011100010001001101010110111110000000011 +000100000000000001100011110011011011110011110000000000 +000000000000100101100000000101100000000000000000000000 +000000000001000000100011011001000000000001000000000000 +000000000000001000000000000011100000000000100000000000 +000000000000000111000011110001001100000000000000000000 +.logic_tile 5 14 +000000000000000000000111110101100001000000100000000100 +000000001100000111000111100111001001000000000000000000 +000010100000000001100011110001001001000000010000000000 +000000000010000001000011111011011010100000000001000000 +000000000000000011100111101001100000000001100001000100 +000000001011010111000000000001101011000000110000000100 +110000000000100000000110001101100001000010000000000100 +000000000000011001000000000001101110000000000000000010 +000000001110001011100011100011000001000000000000000000 +000000000000000011100000000011001101000000010000000000 +000000000000000101000011111101000001000000000000000000 +000000000000000111000011011111001101000000010000000000 +000000000000100111100011100101000000000010110000000000 +000010101010010000000000001111001001000011100000000100 +000000000000001000000000001011100000000000100000000000 +000010100000000001000010010011001001000000000000000000 +.logic_tile 6 14 +000000000110001011000010001001111111111000000000000000 +000000000000001111100100000101111000110000000000000000 +111000000000000111000110101011011010000010110000000000 +000000100000001101000110010011111110100011110000000000 +000000000000001011100111000001011011000000100001000000 +000000001110000001000000000101101001100000000000000000 +000000000000001101000110100111000000000010000000000001 +000000000000000111100110010001100000000000000000000000 +000010000000010000000110000001111010001100000000000001 +000000000000101001000011110011111000101000000000000000 +000000000001011111000000001111001010000001000000000000 +000010000000100001000000001111011010000011000000000000 +000001000000100001100111101011000000000001010000101000 +000010101010010001000000000011100000000011100000000000 +000000000000000000000000001000000000000000000101000000 +000000000000000000000011110001000000000010000000000000 +.logic_tile 7 14 +000000100000000001000110001001000000000010000000000000 +000000000000000001100000001001100000000000000000000000 +111000000000000001000000011111000000000000110000000100 +000000000000000001100011110001101000000000100000000010 +110010000000000001100010100011000000000000000001100000 +010001000000000011110000000011000000000011000001000000 +110000000000001000000000010011111001000100000000000000 +100000000000001111000010001011101010000000000000000000 +000000000000001111000111100011101101000000100000000000 +000000001000001011100111000011001101010000000000000000 +000000000001000011100000010101001011000000100000100000 +000000000000100000100011000101011010000000110000000000 +000010100000000000000110000011000001000001010000000010 +000001000000000000000000000001001101000010010000000100 +110000000000000000000000001000000000000000000100000010 +000010000000000000000000001101000000000010001000000000 +.logic_tile 8 14 +000010100000001101000000011011000000000000000000000000 +000001001110110011000010000101101000000000010000000000 +111000000000001101000110001001000000000000000000000000 +000000000000000101000010011101000000000010000000000000 +011000000000001001100011111001001100010010100000000000 +110000000000000001000011100001001101101001010000000000 +000000000000000111000111001101011111000000010000000000 +000000001110000000000010110001001101100000000000000000 +000000000000000111000010010101000000000011110010001000 +000000001010001001100111111001000000000001110000000000 +000000000000000111100110101001111001000010110000000000 +000100000000000000100100001011101100000011010000000001 +000000000000000000000000000001100001000011000000000000 +000000001000000000000000000011101011000011100000100000 +000010100000000111100000000000000000000000000100000010 +000000000000000000100000001101000000000010000000000000 +.logic_tile 9 14 +000000000000001000000011000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +111000000100100000000110010000000000000000000000000000 +000000000001010000010010110000000000000000000000000000 +000010100000000000000000011011100000000000000010100000 +000001000000100000000010000101000000000010000000000000 +000000000000000011000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000100001000000000001000000000010100000100000 +000000000110000000000000001001001011000010010000000000 +000000001110001000000000000000000000000000000000000000 +000000000010001001000000000000000000000000000000000000 +000000001110000000000000001001100000000001100100000000 +000000000000100000000000001001101110000010100010000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000001011000000001111100000000001000000000000 +000000000000000001100000000101100000000000000001000000 +000000000000001000010000001101000001000001010001000000 +000000000000000001000000001101101101000001100000000000 +000000000000001011000110011001000000000010010000000000 +000000000000000001100010001111001110000011110000000000 +000000000000000001000000000001011100001110100000000000 +000000000000000000000011011111001101000110100000000000 +000000000000000000000011000011100000000000000000000000 +000000000000000111000000000101000000000001000000000000 +000000000000001001000111000001100000000001000010000001 +000000000000000101000100000101000000000000000000000010 +000000000000000001000000011111000001000001000000000010 +000001000000000111000011100001001100000000000000000000 +000000000000001000000111010011000001000000000000000000 +000000000000000101000111101101001110000001000000000010 +.logic_tile 12 14 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000001100110000000000001000000001000000000 +000000000000000000000010100000001000000000000000000000 +000010100000001000000000000000000001000000001000000000 +000001000000001011000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001010000000000000000000 +001000000000000011000000000000001000111100000000100000 +000000000000000000100000000000000000111100000001000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001111000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000010000000000000 +000000000000000000000011000011000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000000000000000000000000011001100000000011000000000000 +000000000000000000000010001001000000000000000000000000 +111000000000001001100110011101100001000010000000000000 +000000000000001011000010001101001001000000000000000000 +110100000000001001100000010101101110001100110000000000 +010100000000000001000010000000100000110011000000000000 +000000000000000001100000010001011000000100100000000000 +000000000000000000000010000101101100000000000000000000 +000000000000000011100000010011000001000011100000000010 +000000000000000000000011000101001000000001110000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000000101000000000010000100000000 +000000000000001000000000000101000000000001000101000000 +000000000000001101000000000101100000000011000100000000 +110000000000001000000000001000000000000000000110000000 +000000000000000101000000000111000000000010000100000000 +.logic_tile 2 15 +000000001100000111100000010001000000000000001000000000 +000001000000000000000010000000000000000000000000001000 +111000000000000111000111000000000001000000001000000000 +000000000000000000000100000000001001000000000000000000 +110000100000000101100110100000001000111100000000000000 +110000000000000011000111100000000000111100000000000000 +000000000000000000000000010000001010001100110000000000 +000000000000000000000010000000011000110011000010000000 +000000000000100000000111100101100000000001000000000000 +000000000000000000000100000101100000000011000000000000 +000000001010000000000000000000001001001100110000000000 +000000000000000000000000000000011000110011000000000011 +000000000000000000000111100011001010001100110000000000 +000000000000000000000000000000101011110011000000000000 +111000000000000000000000000000000000000000000100100000 +000000000000000000010000001101000000000010001000000000 +.ramb_tile 3 15 +000000100000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +.logic_tile 4 15 +000000000000000000000011000001000000000000001000000000 +000000000000000111000011010000100000000000000000001000 +111000000000001101100010000101000000000000001000000000 +000000000000001111100010000000001101000000000000000000 +010100000000000011000000000000001000111100000001000000 +010100000000000011000011100000000000111100000000000000 +000000000000001101100010101101111111011000000000000000 +000000000000001111100110001001111100000000000000000000 +000000000000000111000011101011011001110100000000000000 +000000000010000000100100000001001101101000000010000000 +110000000000000001000110011001011010110100000000100000 +000000000000001001100011100101001010101000000000000000 +110100000000000000010000001101111101100100000000000000 +000100000000000000010000001001111100000000000000000000 +110000000000100000010111100000000000000000000100000000 +000000000000010000000100001101000000000010001000000000 +.logic_tile 5 15 +001000000001000111100110100101000000000000001000000000 +000000000000000011100011100000100000000000000000001000 +111000000000000000000010010001000000000000001000000000 +000000000000000001000110100000101010000000000000000000 +110000101110000111100111000011001000001100111000000000 +010000000000000011000011110000001000110011000000000000 +110000000000000001000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 +000010100000001011000000001001111101001100110000000000 +000000000000001111100011110011111000001000010001000000 +000000000000001000000010101101001010110100000000000100 +000000000000001011000100001111011111101000000000000000 +000000000000001000000111110111001001000011000000000000 +000000000100000101000110100011011001000001000000000000 +010000000000000001000000010111101111111001110100000000 +110000000000001101100010100001011110111010110001000000 +.logic_tile 6 15 +000000000000000111100011011001011101000010010000000000 +000000000000000001100010000001001001000011000000000000 +111000000000000011110010000111000001000000110000000000 +000010000000000001100011101101101000000000010000000000 +010000001011000000000110010001000000001100110001000000 +110000000000000000000010000000100000110011000000000000 +110000000000000101100000011001001110110100000000001000 +000001000000000000100010101111000000101000000000000000 +000000000000010000000000001101111100000010100000000000 +000000000010100000000000000011111001000001100000000000 +000000000000000111100110101011111110010101010010000101 +000000000000000101000100001101011011100101100001100010 +110000000000000101100010001001100000000001000000000000 +100000000000000000000000000101100000000000000001000000 +110001000100000000000110111000000000000000000101000000 +000000100000000000000110001011000000000010001000000000 +.logic_tile 7 15 +000000000000000111000000000001100000000001000000000000 +000000000000000101000011011101000000000000000000000000 +111000000000000001000011010011000001000000000001000000 +000000000000000000000010000101001010000010000001000000 +010000000000000011100011110111000000000010000000000000 +010000000000000101100010000101000000000000000000100000 +000000000000000000000000011011000001000010000000000001 +000000000000001001000010101101001010000000000000000000 +110000000000001000000000000001100000000001000000000100 +100000000000000001000000000101100000000000000000000000 +000000000000000000000000011011111000110000000000100000 +000000000000000000000010100111111011111000000000000000 +000010000000001000000000000101100000000000000000000000 +000001000000000001000000000001000000000010000000000000 +110000000000001000010000000000000000000000000100000000 +000000000000000001000000000001000000000010001000000001 +.logic_tile 8 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000001000000110010000000000000000000000000000 +000000000000000001000011010000000000000000000000000000 +110000000000000001000010000000000000000000000000000000 +010000000000000000100000000000000000000000000000000000 +000000000100001101000000001101011000110000000001000001 +000000000000100001100000001001101100100000000000000001 +000000000001010011100000010011111001011101010000000000 +000000000000100000000011010101011001011110100000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000001111100000000001000000000001 +000000000000000000000000000101100000000000000001100001 +000000000000000000000000001000000000000000000101100000 +000000000000000000000010010101000000000010000000000000 +.logic_tile 9 15 +000000000000000000000000010000000000000000000101000000 +000000000000000000000011101011000000000010001100100001 +111000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000100000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +111000000000000000000000000011100000000000000000000000 +000000000000000000000000000111000000000001000001000000 +010000000000000000000000001000000000000010000010000000 +010000000000000000000000000101000000000000000000100000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000100000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000100000001000000110010001100000000001000000000000 +000000000000000001000010001001000000000011000000000000 +111000000000000101000011000101100001000011100000000000 +000000000000000000100110111101101100000001110000000010 +010010100000000111000010011011100001000010000000000000 +110001001110000000000010001011101010000000000000000000 +000000000000000001100011000101101011001100110000000000 +000000000000000000000010110000001001110011000000000000 +000000000000000000000000001001011101000100100000000000 +000000001000000000000000001001101011000000000000000000 +000000100000000101100110010111000000000001000100000000 +000001000000000000100010001101100000000011000100000000 +000000000000000000000110000000000000000000000100000000 +000000000000000000000000000001000000000010000100000000 +110000000110000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000100000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000100000000010100000000000000000000000000000 +000000000001010000000011110000000000000000000000000000 +111000000000001000010000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +010000000000000011100000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000000000001100000000001000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010001100100001 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000011100000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001000000000000000001000010 +000000000000000000000000001001000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +111000000000000000000000000000000000000000001000000000 +010000000000000000000000000000001100000000000000000000 +010000000000000000000000000000000000000000001000000000 +100000000000001011000011000000001111000000000000000000 +000000000000000000000000010000000001000000001000000000 +000000000000000000000010000000001011000000000000000000 +000000000000000101100000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000110101000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000010100001 +.logic_tile 5 16 +000000000000000111100010110000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000001100111000101000000000000000001000000 +000000000000000000000000000001100000000011000000000000 +010000000000000111100111100001111001000010000010000000 +010000000000010000000010100101101011000000000000000000 +000000000000001000000110000000000000000010000000000000 +000000000000000001000000001101000000000000000001000000 +000000000000000000000000000001101001001100110000000000 +000000001100000000000000000000011101110011000000000000 +000000001010000000000000001000000000000000000100000000 +000000000000000000000010010101000000000010001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000111000000000000000000000000000100000010 +110000000000000000100000001101000000000010000000000000 +.logic_tile 6 16 +000001000000000000000000001000000000000010000000000000 +000010100000000000000011001101000000000000000000000000 +111000000000000000000110010000000000000000000000000000 +000000000000000001000011000000000000000000000000000000 +010000000000000101000010011111111001100100000000000000 +110000000000000000000110010001111001000000000000000010 +110000000000000000000111010000000000000000000000000000 +000000000000000001010110010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000010000000000000000101000001000000010000000001 +000000000000000000000000001101001011000000110000000000 +000000000000000000000000000000000000000010000000100100 +000000000000000000000000001001000000000000000000000000 +110000000000000000000000011000000000000000000100000000 +000000000000000000000011100101000000000010001000000000 +.logic_tile 7 16 +000000100000001011100000001101011101011000000000000000 +000000000000000001100010100001011010000000000000000000 +111000000000000011100010001011011101001100110000000000 +000000000000000001000010100011111001001000010000000000 +010010000000001001100011111101001111000010010000000000 +110001000000000011000010000001011010000011000000000000 +110000000000000111100011001101111000110000000000000100 +000000000001010101000010100101111000111000000000000000 +000000000000000001000000000101011000000011000000000000 +000000000000000000100010010101101000000001000000100000 +000000000000000111000110000000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +110000000000000000000000001011011010110000000000000000 +000000000001010011000011000111011001111000000000100000 +110000000000000011100000000000000000000000000100000000 +000000000000000000000000000111000000000010001000000000 +.logic_tile 8 16 +000000000000000011000110000000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +111000000000001001000000010000000000000000000000000000 +000000000000001111000011110000000000000000000000000000 +010010100000000011000110000001000000000011000000000100 +010001000000000000100000000101100000000000000000000000 +000000000000000000000000000011101100001100110001000000 +000000000000000000000000000000100000110011000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000011001100000000000000000100000 +000000000000000000000010000001100000000011000000000010 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010001001000001 +010000000000000000000000000000000000000010000100000000 +010000000000000000000000000001000000000000000001000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +111000000000000000000000000000000000000010000010000000 +000000000000000011000000001011000000000000000000000000 +010000000000000001100000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000111100000000000000000000100000000 +000000000000000000000000001111000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111100000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +010000000100000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +111000000000000001000000010001100000000000000000000000 +000000000000000001000011101001100000000011000010000000 +010000000000010001100110000000000000000000000000000000 +110000000000100000000000000000000000000000000000000000 +110000000000100011100011101001100000000001000001000000 +100000000000010000000100000001000000000000000000000000 +110000001010000000000000000000000000000010000000100000 +000000000000000000000000000101000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000011000000000000000000110000000 +000000000000100000000011111101000000000010000000000000 +010001000000000000000000000000000000000000000100000000 +110000000000000000000000001111000000000010000000000100 +.logic_tile 12 16 +000000000000000000000000000101100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000010100101100000000000001000000000 +000000000000000000000010100000101101000000000000000000 +000000000000000000000000000000001000111100000000100000 +000000000000000000000000000000000000111100000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001101110001100110000000000 +000000000000000000000000000000110000110011000000000000 +000000000000000000000011101001100000000011000000000000 +000000000000000000000000001001100000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000001100000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000001000 +000100000000000000 +000000000000000000 +000010000000000000 +000110010000000000 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000001110000000100 +000000000000000100 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 17 +000001111000000010 +000100001000000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000001000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000001011001000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 10 17 +000000000000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000000000011000001 +000000000001000000 +001110000000000000 +000000010000000000 +000000000000000000 +000100000000000000 +000000000010000010 +000000000011000000 +000010000000000000 +000000010000000001 +000000000000000001 +000000000000000000 +.io_tile 11 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.sym 1 $auto$simplemap.cc:256:simplemap_eqne$23468$2 +.sym 2 $memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284$2 +.sym 3 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 4 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 5 $0\KBD_FREEZE[0:0]$2 +.sym 6 $auto$dff2dffe.cc:175:make_patterns_logic$48057$2 +.sym 7 CLK$2$2 +.sym 8 $memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322$2 +.sym 52 I2C_INPUT_DATA[1][1] +.sym 179 $techmap\I2C.$add$i2c_slave.v:156$223_Y[2] +.sym 180 $techmap\I2C.$add$i2c_slave.v:156$223_Y[3] +.sym 181 $techmap\I2C.$add$i2c_slave.v:156$223_Y[4] +.sym 182 $techmap\I2C.$add$i2c_slave.v:156$223_Y[5] +.sym 183 $techmap\I2C.$add$i2c_slave.v:156$223_Y[6] +.sym 184 $auto$alumacc.cc:484:replace_alu$22890[6] +.sym 291 $auto$alumacc.cc:483:replace_alu$22884[7] +.sym 293 $techmap\I2C.$add$i2c_slave.v:156$223_Y[7] +.sym 294 $auto$alumacc.cc:483:replace_alu$22884[6] +.sym 296 $auto$alumacc.cc:483:replace_alu$22889[7] +.sym 298 I2C.byte_counter[7] +.sym 406 $auto$alumacc.cc:483:replace_alu$22889[1] +.sym 407 $auto$alumacc.cc:483:replace_alu$22884[3] +.sym 408 $auto$alumacc.cc:484:replace_alu$22885[1] +.sym 410 $abc$60421$n558 +.sym 411 $abc$60421$n533 +.sym 519 $auto$alumacc.cc:483:replace_alu$22889[2] +.sym 520 $auto$rtlil.cc:1692:NotGate$60414 +.sym 521 $abc$60421$n532 +.sym 522 $abc$60421$n556_1 +.sym 524 $auto$alumacc.cc:483:replace_alu$22884[4] +.sym 526 $abc$60421$n557 +.sym 640 $auto$alumacc.cc:484:replace_alu$22885[7] +.sym 751 $auto$alumacc.cc:484:replace_alu$22818[7] +.sym 752 $auto$alumacc.cc:483:replace_alu$22884[2] +.sym 753 KEYBOARD.last_data[2] +.sym 830 CLK$2 +.sym 836 CLK$2 +.sym 861 $auto$dff2dffe.cc:175:make_patterns_logic$50051 +.sym 863 I2C_OUT_DESC_MASK[1] +.sym 864 I2C_OUT_DESC_MASK[2] +.sym 866 I2C_OUT_DESC_MASK[4] +.sym 944 $auto$dff2dffe.cc:175:make_patterns_logic$50051 +.sym 974 $auto$dff2dffe.cc:175:make_patterns_logic$53084 +.sym 975 $abc$60421$n823_1 +.sym 976 $auto$dff2dffe.cc:175:make_patterns_logic$53393 +.sym 978 $abc$60421$n807 +.sym 981 I2C.byte_counter[2] +.sym 1088 $abc$60421$n828 +.sym 1089 $abc$60421$n835 +.sym 1090 $abc$60421$n836 +.sym 1091 $abc$60421$n803 +.sym 1092 $abc$60421$n782 +.sym 1093 $abc$60421$n804 +.sym 1094 $auto$dff2dffe.cc:175:make_patterns_logic$54691 +.sym 1095 KEYBOARD.report[44] +.sym 1202 $auto$simplemap.cc:250:simplemap_eqne$53051[2] +.sym 1203 $abc$60421$n805 +.sym 1204 $abc$60421$n783 +.sym 1205 $abc$60421$n786 +.sym 1206 $abc$60421$n785 +.sym 1207 $abc$60421$n806 +.sym 1208 $abc$60421$n615 +.sym 1209 KEYBOARD.report[52] +.sym 1289 UART.tx_line +.sym 1316 $techmap\KEYBOARD.$procmux$4894_Y[7] +.sym 1318 $abc$60421$n587_1 +.sym 1321 $abc$60421$n756 +.sym 1322 KEYBOARD.last_data[10] +.sym 1403 I2C.is_read +.sym 1432 $auto$alumacc.cc:484:replace_alu$22879[2] +.sym 1434 KEYBOARD.row_counter[1] +.sym 1436 KEYBOARD.is_pressed +.sym 1517 KBD_FREEZE +.sym 1522 INT +.sym 1544 $techmap\I2C.FLT_SCL.$procmux$979_Y[1] +.sym 1545 $abc$60421$n900 +.sym 1546 $auto$wreduce.cc:310:run$22795[0] +.sym 1547 $abc$60421$n901_1 +.sym 1548 $auto$dff2dffe.cc:158:make_patterns_logic$59851 +.sym 1549 I2C.FLT_SCL.counter[1] +.sym 1550 I2C.FLT_SCL.counter[0] +.sym 1551 I2C.FLT_SCL.counter[2] +.sym 1662 $auto$dff2dffe.cc:175:make_patterns_logic$59836 +.sym 1664 I2C.FLT_SCL.out +.sym 1879 $auto$alumacc.cc:483:replace_alu$22849[0] +.sym 1881 I2C_INPUT_DATA[0][6] +.sym 1882 I2C_INPUT_DATA[0][2] +.sym 1885 I2C_INPUT_DATA[0][5] +.sym 2203 I2C.received_byte[1] +.sym 2204 $false +.sym 2205 $false +.sym 2206 $false +.sym 2217 $auto$dff2dffe.cc:158:make_patterns_logic$44967 +.sym 2218 CLK$2$2 +.sym 2219 $false +.sym 2220 $auto$alumacc.cc:484:replace_alu$22823[7] +.sym 2221 $techmap\I2C.$add$i2c_slave.v:156$223_Y[0] +.sym 2222 I2C.byte_counter[6] +.sym 2223 I2C.byte_counter[4] +.sym 2224 I2C.byte_counter[3] +.sym 2225 I2C.byte_counter[5] +.sym 2226 I2C.byte_counter[0] +.sym 2227 I2C.byte_counter[1] +.sym 2268 $true +.sym 2305 $auto$alumacc.cc:484:replace_alu$22890[0]$2 +.sym 2306 $false +.sym 2307 $auto$alumacc.cc:484:replace_alu$22890[0] +.sym 2308 $false +.sym 2309 $false +.sym 2310 $auto$alumacc.cc:484:replace_alu$22890[1] +.sym 2312 $false +.sym 2313 $auto$alumacc.cc:483:replace_alu$22889[1] +.sym 2315 $auto$alumacc.cc:484:replace_alu$22890[2] +.sym 2316 $false +.sym 2317 $false +.sym 2318 $auto$alumacc.cc:483:replace_alu$22889[2] +.sym 2319 $auto$alumacc.cc:484:replace_alu$22890[1] +.sym 2320 $auto$alumacc.cc:484:replace_alu$22890[3] +.sym 2321 $false +.sym 2322 $false +.sym 2323 $auto$alumacc.cc:483:replace_alu$22889[3] +.sym 2324 $auto$alumacc.cc:484:replace_alu$22890[2] +.sym 2325 $auto$alumacc.cc:484:replace_alu$22890[4] +.sym 2326 $false +.sym 2327 $false +.sym 2328 $auto$alumacc.cc:483:replace_alu$22889[4] +.sym 2329 $auto$alumacc.cc:484:replace_alu$22890[3] +.sym 2330 $auto$alumacc.cc:484:replace_alu$22890[5] +.sym 2331 $false +.sym 2332 $false +.sym 2333 $auto$alumacc.cc:483:replace_alu$22889[5] +.sym 2334 $auto$alumacc.cc:484:replace_alu$22890[4] +.sym 2335 $auto$alumacc.cc:484:replace_alu$22890[6]$2 +.sym 2336 $false +.sym 2337 $false +.sym 2338 $auto$alumacc.cc:483:replace_alu$22889[6] +.sym 2339 $auto$alumacc.cc:484:replace_alu$22890[5] +.sym 2344 $auto$alumacc.cc:484:replace_alu$22890[6]$2 +.sym 2348 $abc$60421$n723 +.sym 2349 $auto$alumacc.cc:483:replace_alu$22889[6] +.sym 2350 I2C_INPUT_DATA[0][3] +.sym 2354 I2C_INPUT_DATA[0][7] +.sym 2434 $auto$alumacc.cc:483:replace_alu$22889[7] +.sym 2435 $false +.sym 2436 $false +.sym 2437 $false +.sym 2444 $false +.sym 2445 $false +.sym 2446 $auto$alumacc.cc:483:replace_alu$22889[7] +.sym 2447 $auto$alumacc.cc:484:replace_alu$22890[6] +.sym 2449 $auto$alumacc.cc:483:replace_alu$22889[6] +.sym 2450 $false +.sym 2451 $false +.sym 2452 $false +.sym 2459 $techmap\I2C.$procmux$12628_Y +.sym 2460 I2C.byte_counter[7] +.sym 2461 $false +.sym 2462 $false +.sym 2469 $auto$alumacc.cc:483:replace_alu$22889[7] +.sym 2470 $abc$60421$n1062 +.sym 2471 $techmap\I2C.$add$i2c_slave.v:156$223_Y[7] +.sym 2472 $abc$60421$n1060 +.sym 2473 $true +.sym 2474 CLK$2$2 +.sym 2475 $false +.sym 2478 KEYBOARD.row_time[2] +.sym 2479 KEYBOARD.row_time[3] +.sym 2480 KEYBOARD.row_time[4] +.sym 2481 KEYBOARD.row_time[5] +.sym 2482 KEYBOARD.row_time[6] +.sym 2483 KEYBOARD.row_time[7] +.sym 2567 $techmap\I2C.$procmux$12628_Y +.sym 2568 I2C.byte_counter[1] +.sym 2569 $false +.sym 2570 $false +.sym 2572 $auto$alumacc.cc:483:replace_alu$22889[3] +.sym 2573 $false +.sym 2574 $false +.sym 2575 $false +.sym 2577 $auto$alumacc.cc:483:replace_alu$22889[1] +.sym 2578 $false +.sym 2579 $false +.sym 2580 $false +.sym 2587 KEYBOARD.row_time[7] +.sym 2588 KEYBOARD.row_time[4] +.sym 2589 KEYBOARD.row_time[5] +.sym 2590 KEYBOARD.row_time[6] +.sym 2592 KEYBOARD.row_time[7] +.sym 2593 KEYBOARD.row_time[11] +.sym 2594 KEYBOARD.row_time[5] +.sym 2595 KEYBOARD.row_time[6] +.sym 2604 KEYBOARD.row_time[8] +.sym 2605 KEYBOARD.row_time[9] +.sym 2606 KEYBOARD.row_time[10] +.sym 2607 KEYBOARD.row_time[11] +.sym 2608 KEYBOARD.row_time[12] +.sym 2609 KEYBOARD.row_time[13] +.sym 2610 KEYBOARD.row_time[14] +.sym 2611 $auto$alumacc.cc:484:replace_alu$22905[14] +.sym 2690 $techmap\I2C.$procmux$12628_Y +.sym 2691 I2C.byte_counter[2] +.sym 2692 $false +.sym 2693 $false +.sym 2695 $abc$60421$n532 +.sym 2696 $abc$60421$n533 +.sym 2697 $abc$60421$n534_1 +.sym 2698 $abc$60421$n535 +.sym 2700 KEYBOARD.row_time[13] +.sym 2701 KEYBOARD.row_time[4] +.sym 2702 KEYBOARD.row_time[8] +.sym 2703 KEYBOARD.row_time[12] +.sym 2705 $abc$60421$n535 +.sym 2706 $abc$60421$n557 +.sym 2707 $false +.sym 2708 $false +.sym 2715 $auto$alumacc.cc:483:replace_alu$22889[4] +.sym 2716 $false +.sym 2717 $false +.sym 2718 $false +.sym 2725 KEYBOARD.row_time[11] +.sym 2726 KEYBOARD.row_time[13] +.sym 2727 KEYBOARD.row_time[8] +.sym 2728 KEYBOARD.row_time[12] +.sym 2732 $techmap\KEYBOARD.$procmux$4894_Y[0] +.sym 2733 $sub$top.v:74$27_Y[0] +.sym 2735 $abc$60421$n714 +.sym 2736 $abc$60421$n1134 +.sym 2737 I2C_INPUT_DATA[1][5] +.sym 2738 I2C_INPUT_DATA[1][2] +.sym 2739 I2C_INPUT_DATA[1][6] +.sym 2780 $true +.sym 2817 $auto$alumacc.cc:484:replace_alu$22885[1]$2 +.sym 2818 $false +.sym 2819 $auto$alumacc.cc:484:replace_alu$22885[1] +.sym 2820 $false +.sym 2821 $false +.sym 2822 $auto$alumacc.cc:484:replace_alu$22885[2] +.sym 2824 $false +.sym 2825 $auto$alumacc.cc:483:replace_alu$22884[2] +.sym 2827 $auto$alumacc.cc:484:replace_alu$22885[3] +.sym 2829 $false +.sym 2830 $auto$alumacc.cc:483:replace_alu$22884[3] +.sym 2832 $auto$alumacc.cc:484:replace_alu$22885[4] +.sym 2834 $false +.sym 2835 $auto$alumacc.cc:483:replace_alu$22884[4] +.sym 2837 $auto$alumacc.cc:484:replace_alu$22885[5] +.sym 2839 $false +.sym 2840 $auto$alumacc.cc:483:replace_alu$22884[5] +.sym 2842 $auto$alumacc.cc:484:replace_alu$22885[6] +.sym 2844 $false +.sym 2845 $auto$alumacc.cc:483:replace_alu$22884[6] +.sym 2847 $auto$alumacc.cc:484:replace_alu$22885[7]$2 +.sym 2849 $false +.sym 2850 $auto$alumacc.cc:483:replace_alu$22884[7] +.sym 2856 $auto$alumacc.cc:484:replace_alu$22885[7]$2 +.sym 2860 $abc$60421$n808 +.sym 2861 $abc$60421$n931 +.sym 2862 $abc$60421$n930 +.sym 2863 KEYBOARD.report[9] +.sym 2864 KEYBOARD.report[12] +.sym 2865 KEYBOARD.report[8] +.sym 2866 KEYBOARD.report[11] +.sym 2867 KEYBOARD.report[10] +.sym 2908 $true +.sym 2945 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3]$2 +.sym 2946 $false +.sym 2947 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] +.sym 2948 $false +.sym 2949 $false +.sym 2950 $auto$alumacc.cc:470:replace_alu$22816.C[5] +.sym 2952 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] +.sym 2953 $true$2 +.sym 2955 $auto$alumacc.cc:470:replace_alu$22816.C[6] +.sym 2957 $auto$alumacc.cc:470:replace_alu$22821.AA[5] +.sym 2958 $false +.sym 2960 $auto$alumacc.cc:470:replace_alu$22816.C[7] +.sym 2962 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 2963 $false +.sym 2965 $auto$alumacc.cc:484:replace_alu$22818[7]$2 +.sym 2967 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.sym 2968 $false +.sym 2974 $auto$alumacc.cc:484:replace_alu$22818[7]$2 +.sym 2976 $auto$alumacc.cc:483:replace_alu$22889[2] +.sym 2977 $false +.sym 2978 $false +.sym 2979 $false +.sym 2981 $techmap\KEYBOARD.$procmux$3661_Y +.sym 2982 $false +.sym 2983 $false +.sym 2984 $false +.sym 2985 $auto$dff2dffe.cc:175:make_patterns_logic$57800 +.sym 2986 CLK$2$2 +.sym 2987 $0\KBD_FREEZE[0:0]$2 +.sym 2988 $auto$dff2dffe.cc:175:make_patterns_logic$50579 +.sym 2989 $abc$60421$n934 +.sym 2990 $abc$60421$n1182_1 +.sym 2991 $techmap\KEYBOARD.$procmux$4894_Y[6] +.sym 2993 KEYBOARD.report[1] +.sym 2995 KEYBOARD.report[6] +.sym 3079 RESET +.sym 3080 KBD_FREEZE +.sym 3081 $false +.sym 3082 $false +.sym 3089 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 3090 $false +.sym 3091 $false +.sym 3092 $false +.sym 3094 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 3095 $false +.sym 3096 $false +.sym 3097 $false +.sym 3104 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 3105 $false +.sym 3106 $false +.sym 3107 $false +.sym 3113 $auto$dff2dffe.cc:175:make_patterns_logic$48057$2 +.sym 3114 CLK$2$2 +.sym 3115 $0\KBD_FREEZE[0:0]$2 +.sym 3116 $abc$60421$n801 +.sym 3117 $abc$60421$n839 +.sym 3118 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] +.sym 3119 $abc$60421$n1219 +.sym 3120 $abc$60421$n938 +.sym 3121 $auto$dff2dffe.cc:175:make_patterns_logic$57800 +.sym 3122 $abc$60421$n916 +.sym 3123 I2C_INPUT_DATA[1][0] +.sym 3202 $abc$60421$n813 +.sym 3203 $abc$60421$n803 +.sym 3204 $abc$60421$n807 +.sym 3205 $abc$60421$n804 +.sym 3207 $abc$60421$n813 +.sym 3208 $abc$60421$n824 +.sym 3209 $false +.sym 3210 $false +.sym 3212 $abc$60421$n823_1 +.sym 3213 $abc$60421$n803 +.sym 3214 $abc$60421$n817 +.sym 3215 $abc$60421$n804 +.sym 3222 $auto$simplemap.cc:250:simplemap_eqne$53051[2] +.sym 3223 $abc$60421$n801 +.sym 3224 $abc$60421$n808 +.sym 3225 $false +.sym 3237 $auto$alumacc.cc:483:replace_alu$22889[2] +.sym 3238 $abc$60421$n1062 +.sym 3239 $techmap\I2C.$add$i2c_slave.v:156$223_Y[2] +.sym 3240 $abc$60421$n1060 +.sym 3241 $true +.sym 3242 CLK$2$2 +.sym 3243 $false +.sym 3244 $auto$dff2dffe.cc:175:make_patterns_logic$52061 +.sym 3245 $abc$60421$n838 +.sym 3246 $abc$60421$n716 +.sym 3247 $abc$60421$n845 +.sym 3248 $abc$60421$n837 +.sym 3249 $abc$60421$n967 +.sym 3250 KEYBOARD.report[29] +.sym 3251 KEYBOARD.report[27] +.sym 3330 $auto$simplemap.cc:250:simplemap_eqne$53051[2] +.sym 3331 $abc$60421$n801 +.sym 3332 $false +.sym 3333 $false +.sym 3335 $abc$60421$n787 +.sym 3336 $abc$60421$n813 +.sym 3337 $abc$60421$n836 +.sym 3338 $abc$60421$n783 +.sym 3340 $abc$60421$n787 +.sym 3341 $abc$60421$n823_1 +.sym 3342 $abc$60421$n837 +.sym 3343 $false +.sym 3345 $abc$60421$n783 +.sym 3346 $abc$60421$n787 +.sym 3347 $false +.sym 3348 $false +.sym 3350 $abc$60421$n787 +.sym 3351 $abc$60421$n783 +.sym 3352 $abc$60421$n785 +.sym 3353 $false +.sym 3355 $abc$60421$n717 +.sym 3356 $abc$60421$n785 +.sym 3357 $abc$60421$n805 +.sym 3358 $abc$60421$n756 +.sym 3360 $abc$60421$n829 +.sym 3361 $abc$60421$n828 +.sym 3362 $abc$60421$n804 +.sym 3363 $abc$60421$n835 +.sym 3365 $techmap\KEYBOARD.$procmux$4894_Y[4] +.sym 3366 $false +.sym 3367 $false +.sym 3368 $false +.sym 3369 $auto$dff2dffe.cc:175:make_patterns_logic$53393 +.sym 3370 CLK$2$2 +.sym 3371 $0\KBD_FREEZE[0:0]$2 +.sym 3372 $abc$60421$n755 +.sym 3373 $abc$60421$n745 +.sym 3374 $abc$60421$n788 +.sym 3375 $abc$60421$n614 +.sym 3376 $abc$60421$n789 +.sym 3377 KEYBOARD.report[28] +.sym 3378 KEYBOARD.report[31] +.sym 3379 KEYBOARD.report[26] +.sym 3458 $abc$60421$n614 +.sym 3459 $abc$60421$n615 +.sym 3460 $false +.sym 3461 $false +.sym 3463 $abc$60421$n615 +.sym 3464 $abc$60421$n614 +.sym 3465 $abc$60421$n784 +.sym 3466 $abc$60421$n806 +.sym 3468 $abc$60421$n615 +.sym 3469 $abc$60421$n614 +.sym 3470 $abc$60421$n784 +.sym 3471 $false +.sym 3473 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 3474 $abc$60421$n778 +.sym 3475 $false +.sym 3476 $false +.sym 3478 $abc$60421$n615 +.sym 3479 $abc$60421$n614 +.sym 3480 $abc$60421$n784 +.sym 3481 $abc$60421$n786 +.sym 3483 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 3484 $abc$60421$n778 +.sym 3485 $abc$60421$n788 +.sym 3486 $false +.sym 3488 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 3489 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] +.sym 3490 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] +.sym 3491 $auto$alumacc.cc:470:replace_alu$22821.AA[5] +.sym 3493 $techmap\KEYBOARD.$procmux$4894_Y[4] +.sym 3494 $false +.sym 3495 $false +.sym 3496 $false +.sym 3497 $auto$dff2dffe.cc:175:make_patterns_logic$54691 +.sym 3498 CLK$2$2 +.sym 3499 $0\KBD_FREEZE[0:0]$2 +.sym 3500 $auto$dff2dffe.cc:175:make_patterns_logic$58544 +.sym 3501 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 3502 $abc$60421$n600 +.sym 3503 $abc$60421$n578 +.sym 3504 $abc$60421$n577 +.sym 3505 $abc$60421$n731 +.sym 3506 KEYBOARD.report[7] +.sym 3507 KEYBOARD.report[0] +.sym 3586 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 3587 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.sym 3588 $false +.sym 3589 $false +.sym 3596 $auto$simplemap.cc:309:simplemap_lut$44816 +.sym 3597 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 3598 $false +.sym 3599 $false +.sym 3611 RESET +.sym 3612 KBD_FREEZE +.sym 3613 $false +.sym 3614 $false +.sym 3616 $techmap\KEYBOARD.$procmux$3661_Y +.sym 3617 $false +.sym 3618 $false +.sym 3619 $false +.sym 3625 $auto$dff2dffe.cc:175:make_patterns_logic$58544 +.sym 3626 CLK$2$2 +.sym 3627 $0\KBD_FREEZE[0:0]$2 +.sym 3628 $abc$60421$n586 +.sym 3629 $abc$60421$n606 +.sym 3630 $abc$60421$n609 +.sym 3631 $abc$60421$n799 +.sym 3632 $abc$60421$n856 +.sym 3633 $abc$60421$n608 +.sym 3634 $abc$60421$n594 +.sym 3635 $auto$alumacc.cc:470:replace_alu$22821.C[1] +.sym 3676 $true +.sym 3713 I2C.byte_counter[1]$2 +.sym 3714 $false +.sym 3715 I2C.byte_counter[1] +.sym 3716 $false +.sym 3717 $false +.sym 3718 $auto$alumacc.cc:484:replace_alu$22879[2]$2 +.sym 3720 I2C.byte_counter[2] +.sym 3721 $true$2 +.sym 3727 $auto$alumacc.cc:484:replace_alu$22879[2]$2 +.sym 3734 $auto$simplemap.cc:309:simplemap_lut$44816 +.sym 3735 $false +.sym 3736 $false +.sym 3737 $false +.sym 3744 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 3745 $false +.sym 3746 $false +.sym 3747 $false +.sym 3753 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 3754 CLK$2$2 +.sym 3755 $false +.sym 3758 $auto$alumacc.cc:484:replace_alu$22923[1] +.sym 3759 $techmap\UART.$sub$uart.v:38$347_Y[0] +.sym 3760 $techmap\I2C.FLT_SCL.$procmux$979_Y[2] +.sym 3761 $techmap\UART.$sub$uart.v:38$347_Y[2] +.sym 3762 $auto$wreduce.cc:310:run$22795[2] +.sym 3763 KEYBOARD.last_data[9] +.sym 3842 I2C.FLT_SCL.counter[0] +.sym 3843 I2C.FLT_SCL.counter[1] +.sym 3844 $false +.sym 3845 $false +.sym 3847 I2C.FLT_SCL.counter[0] +.sym 3848 I2C.FLT_SCL.counter[1] +.sym 3849 I2C.FLT_SCL.counter[2] +.sym 3850 $false +.sym 3852 $false +.sym 3853 I2C.FLT_SCL.counter[0] +.sym 3854 $false +.sym 3855 $true$2 +.sym 3857 $techmap\I2C.FLT_SCL.$procmux$979_Y[1] +.sym 3858 $auto$wreduce.cc:310:run$22795[0] +.sym 3859 I2C.FLT_SCL.out +.sym 3860 I2C.SCLF +.sym 3862 $abc$60421$n900 +.sym 3863 I2C.FLT_SCL.out +.sym 3864 I2C.SCLF +.sym 3865 $false +.sym 3867 $techmap\I2C.FLT_SCL.$procmux$979_Y[1] +.sym 3868 $false +.sym 3869 $false +.sym 3870 $false +.sym 3872 $abc$60421$n900 +.sym 3873 $auto$wreduce.cc:310:run$22795[0] +.sym 3874 $false +.sym 3875 $false +.sym 3877 $techmap\I2C.FLT_SCL.$procmux$979_Y[2] +.sym 3878 $false +.sym 3879 $false +.sym 3880 $false +.sym 3881 $auto$dff2dffe.cc:158:make_patterns_logic$59851 +.sym 3882 CLK$2$2 +.sym 3883 $0\KBD_FREEZE[0:0]$2 +.sym 3890 $abc$60421$n583 +.sym 3990 $techmap\I2C.FLT_SCL.$procmux$979_Y[2] +.sym 3991 $abc$60421$n901_1 +.sym 3992 $false +.sym 3993 $false +.sym 4000 I2C.SCLF +.sym 4001 $false +.sym 4002 $false +.sym 4003 $false +.sym 4009 $auto$dff2dffe.cc:175:make_patterns_logic$59836 +.sym 4010 CLK$2$2 +.sym 4011 $0\KBD_FREEZE[0:0]$2 +.sym 4200 I2C.byte_counter[0] +.sym 4201 $false +.sym 4202 $false +.sym 4203 $false +.sym 4210 I2C.received_byte[6] +.sym 4211 $false +.sym 4212 $false +.sym 4213 $false +.sym 4215 I2C.received_byte[2] +.sym 4216 $false +.sym 4217 $false +.sym 4218 $false +.sym 4230 I2C.received_byte[5] +.sym 4231 $false +.sym 4232 $false +.sym 4233 $false +.sym 4239 $memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284$2 +.sym 4240 CLK$2$2 +.sym 4241 $false +.sym 4318 $true +.sym 4355 $auto$alumacc.cc:470:replace_alu$22821.C[1]$2 +.sym 4356 $false +.sym 4357 $auto$alumacc.cc:470:replace_alu$22821.C[1] +.sym 4358 $false +.sym 4359 $false +.sym 4360 $auto$alumacc.cc:470:replace_alu$22821.C[2] +.sym 4362 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] +.sym 4363 $false +.sym 4365 $auto$alumacc.cc:470:replace_alu$22821.C[3] +.sym 4367 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] +.sym 4368 $false +.sym 4370 $auto$alumacc.cc:470:replace_alu$22821.C[4] +.sym 4372 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] +.sym 4373 $false +.sym 4375 $auto$alumacc.cc:470:replace_alu$22821.C[5] +.sym 4377 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] +.sym 4378 $false +.sym 4380 $auto$alumacc.cc:470:replace_alu$22821.C[6] +.sym 4382 $auto$alumacc.cc:470:replace_alu$22821.AA[5] +.sym 4383 $true$2 +.sym 4385 $auto$alumacc.cc:470:replace_alu$22821.C[7] +.sym 4387 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 4388 $false +.sym 4390 $auto$alumacc.cc:484:replace_alu$22823[7]$2 +.sym 4392 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.sym 4393 $false +.sym 4475 $auto$alumacc.cc:484:replace_alu$22823[7]$2 +.sym 4477 $false +.sym 4478 $true$2 +.sym 4479 $auto$alumacc.cc:484:replace_alu$22890[0] +.sym 4480 $false +.sym 4482 $auto$alumacc.cc:483:replace_alu$22889[6] +.sym 4483 $abc$60421$n1062 +.sym 4484 $techmap\I2C.$add$i2c_slave.v:156$223_Y[6] +.sym 4485 $abc$60421$n1060 +.sym 4487 $auto$alumacc.cc:483:replace_alu$22889[4] +.sym 4488 $abc$60421$n1062 +.sym 4489 $techmap\I2C.$add$i2c_slave.v:156$223_Y[4] +.sym 4490 $abc$60421$n1060 +.sym 4492 $auto$alumacc.cc:483:replace_alu$22889[3] +.sym 4493 $abc$60421$n1062 +.sym 4494 $techmap\I2C.$add$i2c_slave.v:156$223_Y[3] +.sym 4495 $abc$60421$n1060 +.sym 4497 $auto$alumacc.cc:483:replace_alu$22889[5] +.sym 4498 $abc$60421$n1062 +.sym 4499 $techmap\I2C.$add$i2c_slave.v:156$223_Y[5] +.sym 4500 $abc$60421$n1060 +.sym 4502 $auto$alumacc.cc:484:replace_alu$22890[0] +.sym 4503 $abc$60421$n1062 +.sym 4504 $techmap\I2C.$add$i2c_slave.v:156$223_Y[0] +.sym 4505 $abc$60421$n1060 +.sym 4507 $abc$60421$n1060 +.sym 4508 $abc$60421$n1062 +.sym 4509 $auto$alumacc.cc:484:replace_alu$22890[0] +.sym 4510 $auto$alumacc.cc:483:replace_alu$22889[1] +.sym 4511 $true +.sym 4512 CLK$2$2 +.sym 4513 $false +.sym 4588 KEYBOARD.row_time[0] +.sym 4589 KEYBOARD.row_time[3] +.sym 4590 KEYBOARD.row_time[1] +.sym 4591 KEYBOARD.row_time[2] +.sym 4593 $techmap\I2C.$procmux$12628_Y +.sym 4594 I2C.byte_counter[6] +.sym 4595 $false +.sym 4596 $false +.sym 4598 I2C.received_byte[3] +.sym 4599 $false +.sym 4600 $false +.sym 4601 $false +.sym 4618 I2C.received_byte[7] +.sym 4619 $false +.sym 4620 $false +.sym 4621 $false +.sym 4627 $memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284$2 +.sym 4628 CLK$2$2 +.sym 4629 $false +.sym 4666 $true +.sym 4703 KEYBOARD.row_time[0]$2 +.sym 4704 $false +.sym 4705 KEYBOARD.row_time[0] +.sym 4706 $false +.sym 4707 $false +.sym 4708 $auto$alumacc.cc:484:replace_alu$22905[1] +.sym 4710 $false +.sym 4711 KEYBOARD.row_time[1] +.sym 4713 $auto$alumacc.cc:484:replace_alu$22905[2] +.sym 4714 $false +.sym 4715 $false +.sym 4716 KEYBOARD.row_time[2] +.sym 4717 $auto$alumacc.cc:484:replace_alu$22905[1] +.sym 4718 $auto$alumacc.cc:484:replace_alu$22905[3] +.sym 4719 $false +.sym 4720 $false +.sym 4721 KEYBOARD.row_time[3] +.sym 4722 $auto$alumacc.cc:484:replace_alu$22905[2] +.sym 4723 $auto$alumacc.cc:484:replace_alu$22905[4] +.sym 4724 $false +.sym 4725 $false +.sym 4726 KEYBOARD.row_time[4] +.sym 4727 $auto$alumacc.cc:484:replace_alu$22905[3] +.sym 4728 $auto$alumacc.cc:484:replace_alu$22905[5] +.sym 4729 $false +.sym 4730 $false +.sym 4731 KEYBOARD.row_time[5] +.sym 4732 $auto$alumacc.cc:484:replace_alu$22905[4] +.sym 4733 $auto$alumacc.cc:484:replace_alu$22905[6] +.sym 4734 $false +.sym 4735 $false +.sym 4736 KEYBOARD.row_time[6] +.sym 4737 $auto$alumacc.cc:484:replace_alu$22905[5] +.sym 4738 $auto$alumacc.cc:484:replace_alu$22905[7] +.sym 4739 $false +.sym 4740 $false +.sym 4741 KEYBOARD.row_time[7] +.sym 4742 $auto$alumacc.cc:484:replace_alu$22905[6] +.sym 4743 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 4744 CLK$2$2 +.sym 4745 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 4782 $auto$alumacc.cc:484:replace_alu$22905[7] +.sym 4819 $auto$alumacc.cc:484:replace_alu$22905[8] +.sym 4820 $false +.sym 4821 $false +.sym 4822 KEYBOARD.row_time[8] +.sym 4823 $auto$alumacc.cc:484:replace_alu$22905[7] +.sym 4824 $auto$alumacc.cc:484:replace_alu$22905[9] +.sym 4825 $false +.sym 4826 $false +.sym 4827 KEYBOARD.row_time[9] +.sym 4828 $auto$alumacc.cc:484:replace_alu$22905[8] +.sym 4829 $auto$alumacc.cc:484:replace_alu$22905[10] +.sym 4830 $false +.sym 4831 $false +.sym 4832 KEYBOARD.row_time[10] +.sym 4833 $auto$alumacc.cc:484:replace_alu$22905[9] +.sym 4834 $auto$alumacc.cc:484:replace_alu$22905[11] +.sym 4835 $false +.sym 4836 $false +.sym 4837 KEYBOARD.row_time[11] +.sym 4838 $auto$alumacc.cc:484:replace_alu$22905[10] +.sym 4839 $auto$alumacc.cc:484:replace_alu$22905[12] +.sym 4840 $false +.sym 4841 $false +.sym 4842 KEYBOARD.row_time[12] +.sym 4843 $auto$alumacc.cc:484:replace_alu$22905[11] +.sym 4844 $auto$alumacc.cc:484:replace_alu$22905[13] +.sym 4845 $false +.sym 4846 $false +.sym 4847 KEYBOARD.row_time[13] +.sym 4848 $auto$alumacc.cc:484:replace_alu$22905[12] +.sym 4849 $auto$alumacc.cc:484:replace_alu$22905[14]$2 +.sym 4850 $false +.sym 4851 $false +.sym 4852 KEYBOARD.row_time[14] +.sym 4853 $auto$alumacc.cc:484:replace_alu$22905[13] +.sym 4858 $auto$alumacc.cc:484:replace_alu$22905[14]$2 +.sym 4859 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 4860 CLK$2$2 +.sym 4861 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 4936 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 4937 $auto$alumacc.cc:470:replace_alu$22821.C[1] +.sym 4938 $false +.sym 4939 $false +.sym 4941 $false +.sym 4942 I2C.byte_counter[0] +.sym 4943 $true$2 +.sym 4944 $true$2 +.sym 4951 $auto$alumacc.cc:484:replace_alu$22823[7] +.sym 4952 $auto$alumacc.cc:484:replace_alu$22818[7] +.sym 4953 $false +.sym 4954 $false +.sym 4956 $abc$60421$n1135_1 +.sym 4957 I2C.byte_counter[5] +.sym 4958 $false +.sym 4959 $false +.sym 4961 I2C.received_byte[5] +.sym 4962 $false +.sym 4963 $false +.sym 4964 $false +.sym 4966 I2C.received_byte[2] +.sym 4967 $false +.sym 4968 $false +.sym 4969 $false +.sym 4971 I2C.received_byte[6] +.sym 4972 $false +.sym 4973 $false +.sym 4974 $false +.sym 4975 $auto$dff2dffe.cc:158:make_patterns_logic$44967 +.sym 4976 CLK$2$2 +.sym 4977 $false +.sym 5052 $abc$60421$n809 +.sym 5053 $abc$60421$n810 +.sym 5054 $abc$60421$n811 +.sym 5055 $abc$60421$n812 +.sym 5057 KEYBOARD.report[9] +.sym 5058 KEYBOARD.report[25] +.sym 5059 I2C.byte_counter[1] +.sym 5060 $false +.sym 5062 $abc$60421$n932 +.sym 5063 $abc$60421$n931 +.sym 5064 I2C.byte_counter[2] +.sym 5065 $false +.sym 5067 $false +.sym 5068 $false +.sym 5069 $false +.sym 5070 $false +.sym 5072 $false +.sym 5073 $false +.sym 5074 $false +.sym 5075 $false +.sym 5077 $false +.sym 5078 $false +.sym 5079 $false +.sym 5080 $false +.sym 5082 $false +.sym 5083 $false +.sym 5084 $false +.sym 5085 $false +.sym 5087 $false +.sym 5088 $false +.sym 5089 $false +.sym 5090 $false +.sym 5091 $auto$dff2dffe.cc:175:make_patterns_logic$50579 +.sym 5092 CLK$2$2 +.sym 5093 $false +.sym 5168 RESET +.sym 5169 $auto$simplemap.cc:250:simplemap_eqne$53051[2] +.sym 5170 KBD_FREEZE +.sym 5171 $false +.sym 5173 $abc$60421$n938 +.sym 5174 $abc$60421$n939 +.sym 5175 $abc$60421$n935 +.sym 5176 $sub$top.v:74$27_Y[0] +.sym 5178 I2C.byte_counter[1] +.sym 5179 I2C.byte_counter[2] +.sym 5180 I2C.byte_counter[0] +.sym 5181 I2C.byte_counter[3] +.sym 5183 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 5184 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 5185 $false +.sym 5186 $false +.sym 5193 KEYBOARD.report[1] +.sym 5194 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 5195 $abc$60421$n1118_1 +.sym 5196 $false +.sym 5203 KEYBOARD.report[6] +.sym 5204 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 5205 $abc$60421$n724 +.sym 5206 $false +.sym 5207 $auto$dff2dffe.cc:175:make_patterns_logic$50377 +.sym 5208 CLK$2$2 +.sym 5209 $0\KBD_FREEZE[0:0]$2 +.sym 5284 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 5285 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 5286 $abc$60421$n714 +.sym 5287 $false +.sym 5289 $abc$60421$n841 +.sym 5290 $abc$60421$n840 +.sym 5291 $abc$60421$n824 +.sym 5292 $false +.sym 5294 $false +.sym 5295 I2C.byte_counter[3] +.sym 5296 $true$2 +.sym 5297 $auto$alumacc.cc:484:replace_alu$22879[2] +.sym 5299 $abc$60421$n1216 +.sym 5300 I2C.byte_counter[1] +.sym 5301 I2C.byte_counter[2] +.sym 5302 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] +.sym 5304 KEYBOARD.report[26] +.sym 5305 KEYBOARD.report[58] +.sym 5306 I2C.byte_counter[1] +.sym 5307 I2C.byte_counter[2] +.sym 5309 $abc$60421$n590 +.sym 5310 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 5311 $abc$60421$n856 +.sym 5312 $abc$60421$n865 +.sym 5314 KEYBOARD.report[0] +.sym 5315 KEYBOARD.report[32] +.sym 5316 I2C.byte_counter[2] +.sym 5317 $false +.sym 5319 I2C.received_byte[0] +.sym 5320 $false +.sym 5321 $false +.sym 5322 $false +.sym 5323 $auto$dff2dffe.cc:158:make_patterns_logic$44967 +.sym 5324 CLK$2$2 +.sym 5325 $false +.sym 5400 $abc$60421$n801 +.sym 5401 $abc$60421$n791 +.sym 5402 $abc$60421$n716 +.sym 5403 $abc$60421$n782 +.sym 5405 $abc$60421$n839 +.sym 5406 $abc$60421$n788 +.sym 5407 $abc$60421$n813 +.sym 5408 $false +.sym 5410 $abc$60421$n717 +.sym 5411 $abc$60421$n756 +.sym 5412 $false +.sym 5413 $false +.sym 5415 $abc$60421$n838 +.sym 5416 $abc$60421$n778 +.sym 5417 $abc$60421$n846 +.sym 5418 $abc$60421$n847 +.sym 5420 $abc$60421$n838 +.sym 5421 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 5422 $abc$60421$n778 +.sym 5423 $false +.sym 5425 KEYBOARD.report[30] +.sym 5426 KEYBOARD.report[62] +.sym 5427 I2C.byte_counter[1] +.sym 5428 I2C.byte_counter[2] +.sym 5430 $techmap\KEYBOARD.$procmux$4894_Y[5] +.sym 5431 $false +.sym 5432 $false +.sym 5433 $false +.sym 5435 $techmap\KEYBOARD.$procmux$4894_Y[3] +.sym 5436 $false +.sym 5437 $false +.sym 5438 $false +.sym 5439 $auto$dff2dffe.cc:175:make_patterns_logic$52061 +.sym 5440 CLK$2$2 +.sym 5441 $0\KBD_FREEZE[0:0]$2 +.sym 5516 $abc$60421$n726 +.sym 5517 $abc$60421$n727 +.sym 5518 $false +.sym 5519 $false +.sym 5521 $abc$60421$n580 +.sym 5522 $abc$60421$n583 +.sym 5523 $abc$60421$n582 +.sym 5524 $false +.sym 5526 $abc$60421$n789 +.sym 5527 $abc$60421$n790 +.sym 5528 $false +.sym 5529 $false +.sym 5531 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] +.sym 5532 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] +.sym 5533 $auto$alumacc.cc:470:replace_alu$22821.C[1] +.sym 5534 $false +.sym 5536 KEYBOARD.report[28] +.sym 5537 KEYBOARD.report[29] +.sym 5538 KEYBOARD.report[30] +.sym 5539 KEYBOARD.report[31] +.sym 5541 $techmap\KEYBOARD.$procmux$4894_Y[4] +.sym 5542 $false +.sym 5543 $false +.sym 5544 $false +.sym 5546 $techmap\KEYBOARD.$procmux$4894_Y[7] +.sym 5547 $false +.sym 5548 $false +.sym 5549 $false +.sym 5551 $techmap\KEYBOARD.$procmux$4894_Y[2] +.sym 5552 $false +.sym 5553 $false +.sym 5554 $false +.sym 5555 $auto$dff2dffe.cc:175:make_patterns_logic$52061 +.sym 5556 CLK$2$2 +.sym 5557 $0\KBD_FREEZE[0:0]$2 +.sym 5632 $abc$60421$n594 +.sym 5633 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 5634 $abc$60421$n856 +.sym 5635 $abc$60421$n881_1 +.sym 5637 $abc$60421$n583 +.sym 5638 $abc$60421$n578 +.sym 5639 $abc$60421$n577 +.sym 5640 $abc$60421$n560 +.sym 5642 $abc$60421$n579 +.sym 5643 $abc$60421$n581 +.sym 5644 $auto$simplemap.cc:309:simplemap_lut$44816 +.sym 5645 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 5647 $abc$60421$n580 +.sym 5648 $abc$60421$n582 +.sym 5649 $abc$60421$n581 +.sym 5650 $abc$60421$n579 +.sym 5652 $abc$60421$n544_1 +.sym 5653 $auto$simplemap.cc:309:simplemap_lut$44816 +.sym 5654 $abc$60421$n555_1 +.sym 5655 $false +.sym 5657 $abc$60421$n579 +.sym 5658 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 5659 $abc$60421$n722 +.sym 5660 $false +.sym 5662 KEYBOARD.report[7] +.sym 5663 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 5664 $abc$60421$n607 +.sym 5665 $false +.sym 5667 KEYBOARD.report[0] +.sym 5668 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 5669 $abc$60421$n614 +.sym 5670 $false +.sym 5671 $auto$dff2dffe.cc:175:make_patterns_logic$50377 +.sym 5672 CLK$2$2 +.sym 5673 $0\KBD_FREEZE[0:0]$2 +.sym 5748 $abc$60421$n587_1 +.sym 5749 $abc$60421$n555_1 +.sym 5750 $false +.sym 5751 $false +.sym 5753 $abc$60421$n579 +.sym 5754 $abc$60421$n581 +.sym 5755 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 5756 $false +.sym 5758 $abc$60421$n594 +.sym 5759 $abc$60421$n582 +.sym 5760 $false +.sym 5761 $false +.sym 5763 $abc$60421$n579 +.sym 5764 $abc$60421$n573 +.sym 5765 $abc$60421$n722 +.sym 5766 $false +.sym 5768 $abc$60421$n581 +.sym 5769 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 5770 $false +.sym 5771 $false +.sym 5773 $abc$60421$n580 +.sym 5774 $abc$60421$n609 +.sym 5775 $abc$60421$n586 +.sym 5776 $abc$60421$n544_1 +.sym 5778 $auto$simplemap.cc:309:simplemap_lut$44816 +.sym 5779 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 5780 $false +.sym 5781 $false +.sym 5783 $abc$60421$n606 +.sym 5784 $abc$60421$n607 +.sym 5785 $abc$60421$n608 +.sym 5786 $false +.sym 5826 $true +.sym 5863 $auto$alumacc.cc:470:replace_alu$22811.C[1]$3 +.sym 5864 $false +.sym 5865 $auto$alumacc.cc:470:replace_alu$22811.C[1] +.sym 5866 $false +.sym 5867 $false +.sym 5868 $auto$alumacc.cc:484:replace_alu$22923[1]$2 +.sym 5870 $false +.sym 5871 $auto$alumacc.cc:470:replace_alu$22811.BB[1] +.sym 5877 $auto$alumacc.cc:484:replace_alu$22923[1]$2 +.sym 5879 $false +.sym 5880 $false +.sym 5881 $auto$alumacc.cc:470:replace_alu$22811.C[1] +.sym 5882 $true$2 +.sym 5884 $abc$60421$n900 +.sym 5885 $auto$wreduce.cc:310:run$22795[2] +.sym 5886 $false +.sym 5887 $false +.sym 5889 $false +.sym 5890 $false +.sym 5891 $auto$alumacc.cc:470:replace_alu$22811.BB[2] +.sym 5892 $auto$alumacc.cc:484:replace_alu$22923[1] +.sym 5894 $false +.sym 5895 I2C.FLT_SCL.counter[2] +.sym 5896 $true$2 +.sym 5897 $auto$alumacc.cc:484:replace_alu$22896[1] +.sym 5899 KEYBOARD.COLS_SHADOW[1] +.sym 5900 $false +.sym 5901 $false +.sym 5902 $false +.sym 5903 $auto$dff2dffe.cc:175:make_patterns_logic$58440 +.sym 5904 CLK$2$2 +.sym 5905 $0\KBD_FREEZE[0:0]$2 +.sym 6010 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 6011 KEYBOARD.row_counter[1] +.sym 6012 $false +.sym 6013 $false +.sym 6202 $abc$60421$n646_1 +.sym 6205 I2C_INPUT_DATA[1][3] +.sym 6337 $abc$60421$n644 +.sym 6338 $auto$alumacc.cc:483:replace_alu$22889[5] +.sym 6339 $auto$alumacc.cc:484:replace_alu$22890[0] +.sym 6340 $abc$60421$n642 +.sym 6341 $auto$alumacc.cc:483:replace_alu$22889[4] +.sym 6342 $auto$alumacc.cc:483:replace_alu$22889[3] +.sym 6343 KBD_FREEZE +.sym 6439 $abc$60421$n1062 +.sym 6441 $techmap\KEYBOARD.$procmux$4894_Y[1] +.sym 6442 $auto$alumacc.cc:483:replace_alu$22884[5] +.sym 6444 KEYBOARD.report[36] +.sym 6445 KEYBOARD.report[33] +.sym 6540 $abc$60421$n575 +.sym 6541 $abc$60421$n581 +.sym 6542 $abc$60421$n728 +.sym 6543 $abc$60421$n559 +.sym 6544 $abc$60421$n574 +.sym 6545 $abc$60421$n534_1 +.sym 6546 KEYBOARD.report[59] +.sym 6547 KEYBOARD.report[60] +.sym 6642 $techmap\KEYBOARD.$procmux$4894_Y[3] +.sym 6643 $abc$60421$n555_1 +.sym 6644 $abc$60421$n727 +.sym 6645 $abc$60421$n535 +.sym 6646 $abc$60421$n722 +.sym 6647 $abc$60421$n767 +.sym 6648 $abc$60421$n582 +.sym 6649 KEYBOARD.row_time[15] +.sym 6744 $abc$60421$n947 +.sym 6745 $abc$60421$n945 +.sym 6746 $abc$60421$n643 +.sym 6747 $abc$60421$n944 +.sym 6748 $abc$60421$n927 +.sym 6749 $abc$60421$n928 +.sym 6750 KEYBOARD.report[35] +.sym 6751 KEYBOARD.report[32] +.sym 6846 $abc$60421$n812 +.sym 6847 $abc$60421$n810 +.sym 6848 $abc$60421$n809 +.sym 6849 $abc$60421$n814 +.sym 6850 $abc$60421$n929_1 +.sym 6851 $abc$60421$n815 +.sym 6852 $abc$60421$n946 +.sym 6853 $abc$60421$n811 +.sym 6948 $abc$60421$n954 +.sym 6949 $abc$60421$n953_1 +.sym 6951 $abc$60421$n932 +.sym 6952 $abc$60421$n939 +.sym 6953 $abc$60421$n949 +.sym 6954 $abc$60421$n813 +.sym 6955 KEYBOARD.report[57] +.sym 7050 $abc$60421$n817 +.sym 7051 $abc$60421$n843_1 +.sym 7052 $abc$60421$n850 +.sym 7053 $auto$dff2dffe.cc:175:make_patterns_logic$56016 +.sym 7054 $abc$60421$n848 +.sym 7055 $abc$60421$n847 +.sym 7056 $abc$60421$n849 +.sym 7057 KEYBOARD.report[25] +.sym 7152 $abc$60421$n851_1 +.sym 7153 $abc$60421$n844 +.sym 7154 $abc$60421$n852 +.sym 7155 $abc$60421$n787 +.sym 7156 $abc$60421$n846 +.sym 7157 KEYBOARD.report[61] +.sym 7158 KEYBOARD.report[62] +.sym 7159 KEYBOARD.report[58] +.sym 7254 $abc$60421$n800 +.sym 7255 $abc$60421$n791 +.sym 7256 $abc$60421$n792_1 +.sym 7257 $abc$60421$n793_1 +.sym 7258 $abc$60421$n790 +.sym 7259 $abc$60421$n796 +.sym 7260 KEYBOARD.report[30] +.sym 7261 KEYBOARD.report[24] +.sym 7356 $abc$60421$n570 +.sym 7357 $abc$60421$n730 +.sym 7358 $abc$60421$n729 +.sym 7359 $abc$60421$n732 +.sym 7360 $abc$60421$n733 +.sym 7361 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] +.sym 7362 KEYBOARD.report[50] +.sym 7363 KEYBOARD.report[51] +.sym 7458 $abc$60421$n798 +.sym 7459 $abc$60421$n603 +.sym 7460 $abc$60421$n604 +.sym 7461 $abc$60421$n797 +.sym 7462 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] +.sym 7463 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] +.sym 7464 $abc$60421$n585 +.sym 7465 $abc$60421$n588_1 +.sym 7562 $auto$alumacc.cc:484:replace_alu$22896[1] +.sym 7563 $abc$60421$n549 +.sym 7564 $auto$dff2dffe.cc:175:make_patterns_logic$58440 +.sym 7565 $auto$dff2dffe.cc:175:make_patterns_logic$57696 +.sym 7566 $abc$60421$n546 +.sym 7567 KEYBOARD.last_data[1] +.sym 7666 $auto$alumacc.cc:484:replace_alu$22813[3] +.sym 7668 $auto$alumacc.cc:470:replace_alu$22811.BB[3] +.sym 7669 KEYBOARD.row_counter[0] +.sym 7900 $auto$alumacc.cc:484:replace_alu$22861[7] +.sym 7901 $auto$alumacc.cc:470:replace_alu$22837.BB[3] +.sym 8015 $abc$60421$n1022 +.sym 8016 $abc$60421$n660 +.sym 8018 $abc$60421$n645 +.sym 8020 KEYBOARD.row_time[1] +.sym 8021 KEYBOARD.row_time[0] +.sym 8145 I2C_INPUT_DATA[0][4] +.sym 8146 I2C_INPUT_DATA[0][5] +.sym 8147 I2C_INPUT_DATA[0][6] +.sym 8148 I2C_INPUT_DATA[0][7] +.sym 8160 I2C.received_byte[3] +.sym 8161 $false +.sym 8162 $false +.sym 8163 $false +.sym 8164 $auto$dff2dffe.cc:158:make_patterns_logic$44967 +.sym 8165 CLK$2$2 +.sym 8166 $false +.sym 8167 $true$2 +.sym 8168 KEYBOARD.report[20] +.sym 8170 KEYBOARD.report[21] +.sym 8172 KEYBOARD.report[17] +.sym 8173 KEYBOARD.report[18] +.sym 8246 I2C_INPUT_DATA[1][0] +.sym 8247 I2C_INPUT_DATA[1][1] +.sym 8248 I2C_INPUT_DATA[1][2] +.sym 8249 I2C_INPUT_DATA[1][3] +.sym 8251 $techmap\I2C.$procmux$12628_Y +.sym 8252 I2C.byte_counter[5] +.sym 8253 $false +.sym 8254 $false +.sym 8256 $techmap\I2C.$procmux$12628_Y +.sym 8257 I2C.byte_counter[0] +.sym 8258 $false +.sym 8259 $false +.sym 8261 $abc$60421$n643 +.sym 8262 $abc$60421$n644 +.sym 8263 $false +.sym 8264 $false +.sym 8266 $techmap\I2C.$procmux$12628_Y +.sym 8267 I2C.byte_counter[4] +.sym 8268 $false +.sym 8269 $false +.sym 8271 $techmap\I2C.$procmux$12628_Y +.sym 8272 I2C.byte_counter[3] +.sym 8273 $false +.sym 8274 $false +.sym 8276 $0\KBD_FREEZE[0:0]$2 +.sym 8277 $false +.sym 8278 $false +.sym 8279 $false +.sym 8280 $auto$dff2dffe.cc:175:make_patterns_logic$46096 +.sym 8281 CLK$2$2 +.sym 8282 $false +.sym 8283 $techmap\KEYBOARD.$procmux$4894_Y[4] +.sym 8284 $abc$60421$n1063 +.sym 8285 $techmap\KEYBOARD.$procmux$4894_Y[2] +.sym 8286 $abc$60421$n951 +.sym 8287 $abc$60421$n952 +.sym 8288 $abc$60421$n950 +.sym 8289 KEYBOARD.report[4] +.sym 8290 KEYBOARD.report[3] +.sym 8362 $auto$alumacc.cc:483:replace_alu$22889[6] +.sym 8363 $abc$60421$n1063 +.sym 8364 $false +.sym 8365 $false +.sym 8372 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 8373 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] +.sym 8374 $false +.sym 8375 $false +.sym 8377 $auto$alumacc.cc:483:replace_alu$22889[5] +.sym 8378 $false +.sym 8379 $false +.sym 8380 $false +.sym 8387 $techmap\KEYBOARD.$procmux$4894_Y[4] +.sym 8388 $false +.sym 8389 $false +.sym 8390 $false +.sym 8392 $techmap\KEYBOARD.$procmux$4894_Y[1] +.sym 8393 $false +.sym 8394 $false +.sym 8395 $false +.sym 8396 $auto$dff2dffe.cc:175:make_patterns_logic$53084 +.sym 8397 CLK$2$2 +.sym 8398 $0\KBD_FREEZE[0:0]$2 +.sym 8399 $abc$60421$n779 +.sym 8400 $abc$60421$n758 +.sym 8401 $abc$60421$n776_1 +.sym 8402 $abc$60421$n780 +.sym 8403 $abc$60421$n778 +.sym 8404 $abc$60421$n769 +.sym 8405 $abc$60421$n943 +.sym 8406 KEYBOARD.report[19] +.sym 8473 $abc$60421$n556_1 +.sym 8474 KEYBOARD.row_time[3] +.sym 8475 KEYBOARD.row_time[2] +.sym 8476 $false +.sym 8478 $abc$60421$n575 +.sym 8479 $abc$60421$n558 +.sym 8480 KEYBOARD.row_time[0] +.sym 8481 KEYBOARD.row_time[1] +.sym 8483 KEYBOARD.row_time[0] +.sym 8484 KEYBOARD.row_time[3] +.sym 8485 KEYBOARD.row_time[1] +.sym 8486 KEYBOARD.row_time[2] +.sym 8488 KEYBOARD.row_time[0] +.sym 8489 KEYBOARD.row_time[3] +.sym 8490 KEYBOARD.row_time[1] +.sym 8491 KEYBOARD.row_time[2] +.sym 8493 $abc$60421$n575 +.sym 8494 $abc$60421$n558 +.sym 8495 KEYBOARD.row_time[0] +.sym 8496 KEYBOARD.row_time[1] +.sym 8498 KEYBOARD.row_time[0] +.sym 8499 KEYBOARD.row_time[3] +.sym 8500 KEYBOARD.row_time[1] +.sym 8501 KEYBOARD.row_time[2] +.sym 8503 $techmap\KEYBOARD.$procmux$4894_Y[3] +.sym 8504 $false +.sym 8505 $false +.sym 8506 $false +.sym 8508 $techmap\KEYBOARD.$procmux$4894_Y[4] +.sym 8509 $false +.sym 8510 $false +.sym 8511 $false +.sym 8512 $auto$dff2dffe.cc:175:make_patterns_logic$56016 +.sym 8513 CLK$2$2 +.sym 8514 $0\KBD_FREEZE[0:0]$2 +.sym 8515 $abc$60421$n770 +.sym 8516 $abc$60421$n757 +.sym 8517 $auto$dff2dffe.cc:175:make_patterns_logic$51066 +.sym 8518 $abc$60421$n1122 +.sym 8519 $abc$60421$n771 +.sym 8520 KEYBOARD.report[22] +.sym 8521 KEYBOARD.report[23] +.sym 8522 KEYBOARD.report[16] +.sym 8589 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 8590 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] +.sym 8591 $false +.sym 8592 $false +.sym 8594 $abc$60421$n556_1 +.sym 8595 $abc$60421$n558 +.sym 8596 $abc$60421$n559 +.sym 8597 $false +.sym 8599 $abc$60421$n556_1 +.sym 8600 $abc$60421$n558 +.sym 8601 $abc$60421$n728 +.sym 8602 $false +.sym 8604 KEYBOARD.row_time[14] +.sym 8605 KEYBOARD.row_time[15] +.sym 8606 KEYBOARD.row_time[9] +.sym 8607 KEYBOARD.row_time[10] +.sym 8609 $abc$60421$n556_1 +.sym 8610 $abc$60421$n558 +.sym 8611 $abc$60421$n723 +.sym 8612 $false +.sym 8614 $abc$60421$n556_1 +.sym 8615 $abc$60421$n534_1 +.sym 8616 $abc$60421$n558 +.sym 8617 $false +.sym 8619 $abc$60421$n556_1 +.sym 8620 $abc$60421$n534_1 +.sym 8621 $abc$60421$n558 +.sym 8622 $false +.sym 8624 $false +.sym 8625 $false +.sym 8626 KEYBOARD.row_time[15] +.sym 8627 $auto$alumacc.cc:484:replace_alu$22905[14] +.sym 8628 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 8629 CLK$2$2 +.sym 8630 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 8631 $abc$60421$n777 +.sym 8632 $abc$60421$n941 +.sym 8633 $abc$60421$n942 +.sym 8634 $abc$60421$n926 +.sym 8635 I2C_TX_REPORT[5] +.sym 8636 I2C_TX_REPORT[6] +.sym 8637 I2C_TX_REPORT[1] +.sym 8638 I2C_TX_REPORT[3] +.sym 8705 KEYBOARD.report[43] +.sym 8706 KEYBOARD.report[59] +.sym 8707 I2C.byte_counter[1] +.sym 8708 $false +.sym 8710 $abc$60421$n947 +.sym 8711 $abc$60421$n946 +.sym 8712 I2C.byte_counter[2] +.sym 8713 $false +.sym 8715 I2C_INPUT_DATA[1][4] +.sym 8716 I2C_INPUT_DATA[1][5] +.sym 8717 I2C_INPUT_DATA[1][6] +.sym 8718 I2C_INPUT_DATA[1][7] +.sym 8720 KEYBOARD.report[35] +.sym 8721 KEYBOARD.report[51] +.sym 8722 I2C.byte_counter[1] +.sym 8723 $false +.sym 8725 $abc$60421$n929_1 +.sym 8726 $abc$60421$n928 +.sym 8727 I2C.byte_counter[2] +.sym 8728 $false +.sym 8730 KEYBOARD.report[1] +.sym 8731 KEYBOARD.report[17] +.sym 8732 I2C.byte_counter[1] +.sym 8733 $false +.sym 8735 $techmap\KEYBOARD.$procmux$4894_Y[3] +.sym 8736 $false +.sym 8737 $false +.sym 8738 $false +.sym 8740 $techmap\KEYBOARD.$procmux$4894_Y[0] +.sym 8741 $false +.sym 8742 $false +.sym 8743 $false +.sym 8744 $auto$dff2dffe.cc:175:make_patterns_logic$53084 +.sym 8745 CLK$2$2 +.sym 8746 $0\KBD_FREEZE[0:0]$2 +.sym 8747 $abc$60421$n963 +.sym 8748 $abc$60421$n935 +.sym 8749 $abc$60421$n965 +.sym 8750 $abc$60421$n966 +.sym 8751 $abc$60421$n964 +.sym 8752 $abc$60421$n968_1 +.sym 8753 $abc$60421$n936_1 +.sym 8754 KEYBOARD.report[14] +.sym 8821 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.sym 8822 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 8823 KEYBOARD.report[38] +.sym 8824 KEYBOARD.report[39] +.sym 8826 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] +.sym 8827 $abc$60421$n734 +.sym 8828 KEYBOARD.report[34] +.sym 8829 KEYBOARD.report[35] +.sym 8831 $abc$60421$n719 +.sym 8832 $abc$60421$n729 +.sym 8833 KEYBOARD.report[32] +.sym 8834 KEYBOARD.report[33] +.sym 8836 KEYBOARD.report[36] +.sym 8837 KEYBOARD.report[37] +.sym 8838 KEYBOARD.report[38] +.sym 8839 KEYBOARD.report[39] +.sym 8841 KEYBOARD.report[33] +.sym 8842 KEYBOARD.report[49] +.sym 8843 I2C.byte_counter[1] +.sym 8844 $false +.sym 8846 KEYBOARD.report[32] +.sym 8847 KEYBOARD.report[33] +.sym 8848 KEYBOARD.report[34] +.sym 8849 KEYBOARD.report[35] +.sym 8851 KEYBOARD.report[11] +.sym 8852 KEYBOARD.report[27] +.sym 8853 I2C.byte_counter[1] +.sym 8854 $false +.sym 8856 $abc$60421$n797 +.sym 8857 $abc$60421$n772 +.sym 8858 KEYBOARD.report[36] +.sym 8859 KEYBOARD.report[37] +.sym 8863 $abc$60421$n819 +.sym 8864 $abc$60421$n1118_1 +.sym 8865 $abc$60421$n820 +.sym 8866 $abc$60421$n972 +.sym 8867 $abc$60421$n821 +.sym 8868 $abc$60421$n826_1 +.sym 8869 $abc$60421$n818 +.sym 8870 KEYBOARD.report[49] +.sym 8937 KEYBOARD.report[12] +.sym 8938 KEYBOARD.report[44] +.sym 8939 I2C.byte_counter[1] +.sym 8940 I2C.byte_counter[2] +.sym 8942 KEYBOARD.report[28] +.sym 8943 KEYBOARD.report[60] +.sym 8944 I2C.byte_counter[1] +.sym 8945 I2C.byte_counter[2] +.sym 8952 KEYBOARD.report[41] +.sym 8953 KEYBOARD.report[57] +.sym 8954 I2C.byte_counter[1] +.sym 8955 $false +.sym 8957 KEYBOARD.report[10] +.sym 8958 KEYBOARD.report[42] +.sym 8959 I2C.byte_counter[1] +.sym 8960 I2C.byte_counter[2] +.sym 8962 $abc$60421$n953_1 +.sym 8963 $abc$60421$n954 +.sym 8964 $abc$60421$n950 +.sym 8965 $sub$top.v:74$27_Y[0] +.sym 8967 $abc$60421$n814 +.sym 8968 $abc$60421$n815 +.sym 8969 $false +.sym 8970 $false +.sym 8972 $techmap\KEYBOARD.$procmux$4894_Y[1] +.sym 8973 $false +.sym 8974 $false +.sym 8975 $false +.sym 8976 $auto$dff2dffe.cc:175:make_patterns_logic$56016 +.sym 8977 CLK$2$2 +.sym 8978 $0\KBD_FREEZE[0:0]$2 +.sym 8979 $abc$60421$n840 +.sym 8980 $abc$60421$n841 +.sym 8981 $abc$60421$n825 +.sym 8982 $abc$60421$n833_1 +.sym 8983 $abc$60421$n824 +.sym 8984 $abc$60421$n831 +.sym 8985 $abc$60421$n822 +.sym 8986 KEYBOARD.last_data[13] +.sym 9053 $abc$60421$n801 +.sym 9054 $abc$60421$n818 +.sym 9055 $false +.sym 9056 $false +.sym 9058 $auto$simplemap.cc:250:simplemap_eqne$53051[2] +.sym 9059 $abc$60421$n844 +.sym 9060 $abc$60421$n714 +.sym 9061 KBD_FREEZE +.sym 9063 $abc$60421$n794 +.sym 9064 $abc$60421$n734 +.sym 9065 KEYBOARD.report[58] +.sym 9066 KEYBOARD.report[59] +.sym 9068 $abc$60421$n848 +.sym 9069 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 9070 $abc$60421$n843_1 +.sym 9071 RESET +.sym 9073 $abc$60421$n849 +.sym 9074 $abc$60421$n850 +.sym 9075 $abc$60421$n851_1 +.sym 9076 $abc$60421$n852 +.sym 9078 KEYBOARD.report[56] +.sym 9079 KEYBOARD.report[57] +.sym 9080 KEYBOARD.report[58] +.sym 9081 KEYBOARD.report[59] +.sym 9083 $abc$60421$n719 +.sym 9084 $abc$60421$n729 +.sym 9085 KEYBOARD.report[56] +.sym 9086 KEYBOARD.report[57] +.sym 9088 $techmap\KEYBOARD.$procmux$4894_Y[1] +.sym 9089 $false +.sym 9090 $false +.sym 9091 $false +.sym 9092 $auto$dff2dffe.cc:175:make_patterns_logic$52061 +.sym 9093 CLK$2$2 +.sym 9094 $0\KBD_FREEZE[0:0]$2 +.sym 9095 $abc$60421$n832 +.sym 9096 $abc$60421$n830 +.sym 9097 $abc$60421$n960 +.sym 9098 $abc$60421$n829 +.sym 9099 $abc$60421$n834 +.sym 9100 KEYBOARD.report[41] +.sym 9101 KEYBOARD.report[46] +.sym 9102 KEYBOARD.report[43] +.sym 9169 $abc$60421$n797 +.sym 9170 $abc$60421$n772 +.sym 9171 KEYBOARD.report[60] +.sym 9172 KEYBOARD.report[61] +.sym 9174 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 9175 $abc$60421$n845 +.sym 9176 $false +.sym 9177 $false +.sym 9179 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.sym 9180 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 9181 KEYBOARD.report[62] +.sym 9182 KEYBOARD.report[63] +.sym 9184 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 9185 $abc$60421$n778 +.sym 9186 $abc$60421$n788 +.sym 9187 $false +.sym 9189 KEYBOARD.report[60] +.sym 9190 KEYBOARD.report[61] +.sym 9191 KEYBOARD.report[62] +.sym 9192 KEYBOARD.report[63] +.sym 9194 $techmap\KEYBOARD.$procmux$4894_Y[5] +.sym 9195 $false +.sym 9196 $false +.sym 9197 $false +.sym 9199 $techmap\KEYBOARD.$procmux$4894_Y[6] +.sym 9200 $false +.sym 9201 $false +.sym 9202 $false +.sym 9204 $techmap\KEYBOARD.$procmux$4894_Y[2] +.sym 9205 $false +.sym 9206 $false +.sym 9207 $false +.sym 9208 $auto$dff2dffe.cc:175:make_patterns_logic$56016 +.sym 9209 CLK$2$2 +.sym 9210 $0\KBD_FREEZE[0:0]$2 +.sym 9211 $abc$60421$n744 +.sym 9212 $abc$60421$n974 +.sym 9213 $abc$60421$n746 +.sym 9214 $abc$60421$n747 +.sym 9215 $abc$60421$n590 +.sym 9216 $abc$60421$n748 +.sym 9217 KEYBOARD.report[63] +.sym 9218 KEYBOARD.report[56] +.sym 9285 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.sym 9286 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 9287 KEYBOARD.report[30] +.sym 9288 KEYBOARD.report[31] +.sym 9290 $abc$60421$n792_1 +.sym 9291 $abc$60421$n793_1 +.sym 9292 $abc$60421$n796 +.sym 9293 $abc$60421$n800 +.sym 9295 $abc$60421$n719 +.sym 9296 $abc$60421$n729 +.sym 9297 KEYBOARD.report[24] +.sym 9298 KEYBOARD.report[25] +.sym 9300 $abc$60421$n794 +.sym 9301 $abc$60421$n734 +.sym 9302 KEYBOARD.report[26] +.sym 9303 KEYBOARD.report[27] +.sym 9305 KEYBOARD.report[24] +.sym 9306 KEYBOARD.report[25] +.sym 9307 KEYBOARD.report[26] +.sym 9308 KEYBOARD.report[27] +.sym 9310 $abc$60421$n797 +.sym 9311 $abc$60421$n772 +.sym 9312 KEYBOARD.report[28] +.sym 9313 KEYBOARD.report[29] +.sym 9315 $techmap\KEYBOARD.$procmux$4894_Y[6] +.sym 9316 $false +.sym 9317 $false +.sym 9318 $false +.sym 9320 $techmap\KEYBOARD.$procmux$4894_Y[0] +.sym 9321 $false +.sym 9322 $false +.sym 9323 $false +.sym 9324 $auto$dff2dffe.cc:175:make_patterns_logic$52061 +.sym 9325 CLK$2$2 +.sym 9326 $0\KBD_FREEZE[0:0]$2 +.sym 9327 $abc$60421$n773 +.sym 9328 $abc$60421$n775_1 +.sym 9329 $abc$60421$n794 +.sym 9330 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.sym 9331 $abc$60421$n601 +.sym 9332 $abc$60421$n772 +.sym 9333 $abc$60421$n543_1 +.sym 9334 KEYBOARD.report[47] +.sym 9401 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 9402 KEYBOARD.row_counter[0] +.sym 9403 KEYBOARD.row_counter[1] +.sym 9404 KEYBOARD.last_data[10] +.sym 9406 $abc$60421$n580 +.sym 9407 $abc$60421$n594 +.sym 9408 $abc$60421$n582 +.sym 9409 $false +.sym 9411 $abc$60421$n730 +.sym 9412 $abc$60421$n731 +.sym 9413 $abc$60421$n732 +.sym 9414 $abc$60421$n733 +.sym 9416 $abc$60421$n544_1 +.sym 9417 $abc$60421$n587_1 +.sym 9418 $abc$60421$n555_1 +.sym 9419 $false +.sym 9421 $abc$60421$n726 +.sym 9422 $abc$60421$n583 +.sym 9423 $abc$60421$n727 +.sym 9424 $false +.sym 9426 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 9427 $abc$60421$n599 +.sym 9428 $abc$60421$n601 +.sym 9429 $abc$60421$n600 +.sym 9431 $techmap\KEYBOARD.$procmux$4894_Y[2] +.sym 9432 $false +.sym 9433 $false +.sym 9434 $false +.sym 9436 $techmap\KEYBOARD.$procmux$4894_Y[3] +.sym 9437 $false +.sym 9438 $false +.sym 9439 $false +.sym 9440 $auto$dff2dffe.cc:175:make_patterns_logic$54691 +.sym 9441 CLK$2$2 +.sym 9442 $0\KBD_FREEZE[0:0]$2 +.sym 9443 $abc$60421$n774 +.sym 9444 $abc$60421$n607 +.sym 9445 $auto$simplemap.cc:309:simplemap_lut$44816 +.sym 9446 $abc$60421$n739 +.sym 9447 $abc$60421$n742 +.sym 9448 $abc$60421$n740 +.sym 9449 $abc$60421$n599 +.sym 9450 $abc$60421$n741 +.sym 9517 $abc$60421$n580 +.sym 9518 $abc$60421$n767 +.sym 9519 $false +.sym 9520 $false +.sym 9522 $abc$60421$n589 +.sym 9523 $abc$60421$n544_1 +.sym 9524 $abc$60421$n594 +.sym 9525 $abc$60421$n555_1 +.sym 9527 $abc$60421$n579 +.sym 9528 $abc$60421$n573 +.sym 9529 $abc$60421$n581 +.sym 9530 $false +.sym 9532 $abc$60421$n590 +.sym 9533 $abc$60421$n798 +.sym 9534 $abc$60421$n585 +.sym 9535 $abc$60421$n799 +.sym 9537 $abc$60421$n590 +.sym 9538 $abc$60421$n585 +.sym 9539 $abc$60421$n589 +.sym 9540 $abc$60421$n588_1 +.sym 9542 $abc$60421$n587_1 +.sym 9543 $abc$60421$n599 +.sym 9544 $abc$60421$n604 +.sym 9545 $abc$60421$n603 +.sym 9547 $abc$60421$n544_1 +.sym 9548 $abc$60421$n586 +.sym 9549 $false +.sym 9550 $false +.sym 9552 $abc$60421$n579 +.sym 9553 $abc$60421$n573 +.sym 9554 $abc$60421$n581 +.sym 9555 $false +.sym 9561 $auto$alumacc.cc:470:replace_alu$22811.B_buf[2] +.sym 9562 $auto$alumacc.cc:484:replace_alu$22920[2] +.sym 9563 $abc$60421$n548_1 +.sym 9564 $auto$dff2dffe.cc:175:make_patterns_logic$58812 +.sym 9565 $abc$60421$n545 +.sym 9566 UART.tx_line +.sym 9595 $true +.sym 9632 I2C.FLT_SCL.counter[0]$2 +.sym 9633 $false +.sym 9634 I2C.FLT_SCL.counter[0] +.sym 9635 $false +.sym 9636 $false +.sym 9637 $auto$alumacc.cc:484:replace_alu$22896[1]$2 +.sym 9639 I2C.FLT_SCL.counter[1] +.sym 9640 $true$2 +.sym 9646 $auto$alumacc.cc:484:replace_alu$22896[1]$2 +.sym 9648 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 9649 KEYBOARD.row_counter[0] +.sym 9650 KEYBOARD.row_counter[1] +.sym 9651 KEYBOARD.last_data[9] +.sym 9653 $abc$60421$n860 +.sym 9654 $abc$60421$n594 +.sym 9655 $auto$simplemap.cc:250:simplemap_eqne$33831[0] +.sym 9656 $abc$60421$n863 +.sym 9658 $abc$60421$n860 +.sym 9659 $abc$60421$n590 +.sym 9660 $auto$simplemap.cc:250:simplemap_eqne$33831[0] +.sym 9661 $abc$60421$n863 +.sym 9663 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 9664 KEYBOARD.row_counter[0] +.sym 9665 KEYBOARD.row_counter[1] +.sym 9666 KEYBOARD.last_data[1] +.sym 9668 KEYBOARD.COLS_SHADOW[1] +.sym 9669 $false +.sym 9670 $false +.sym 9671 $false +.sym 9672 $auto$dff2dffe.cc:175:make_patterns_logic$57696 +.sym 9673 CLK$2$2 +.sym 9674 $0\KBD_FREEZE[0:0]$2 +.sym 9676 $auto$alumacc.cc:470:replace_alu$22811.BB[1] +.sym 9677 $abc$60421$n709 +.sym 9678 $auto$alumacc.cc:470:replace_alu$22811.BB[2] +.sym 9679 $auto$alumacc.cc:470:replace_alu$22811.B_buf[3] +.sym 9680 UART.tx_bit_counter[3] +.sym 9682 UART.tx_bit_counter[2] +.sym 9711 $true +.sym 9748 $auto$alumacc.cc:470:replace_alu$22811.C[1]$2 +.sym 9749 $false +.sym 9750 $auto$alumacc.cc:470:replace_alu$22811.C[1] +.sym 9751 $false +.sym 9752 $false +.sym 9753 $auto$alumacc.cc:470:replace_alu$22811.C[2] +.sym 9755 $false +.sym 9756 $auto$alumacc.cc:470:replace_alu$22811.BB[1] +.sym 9758 $auto$alumacc.cc:470:replace_alu$22811.C[3] +.sym 9760 $false +.sym 9761 $auto$alumacc.cc:470:replace_alu$22811.BB[2] +.sym 9763 $auto$alumacc.cc:484:replace_alu$22813[3]$2 +.sym 9765 $false +.sym 9766 $auto$alumacc.cc:470:replace_alu$22811.BB[3] +.sym 9772 $auto$alumacc.cc:484:replace_alu$22813[3]$2 +.sym 9779 $auto$alumacc.cc:470:replace_alu$22811.B_buf[3] +.sym 9780 $false +.sym 9781 $false +.sym 9782 $false +.sym 9784 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 9785 $false +.sym 9786 $false +.sym 9787 $false +.sym 9788 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 9789 CLK$2$2 +.sym 9790 $false +.sym 9927 $true +.sym 9964 $sub$top.v:74$27_Y[2]$2 +.sym 9965 $false +.sym 9966 $sub$top.v:74$27_Y[2] +.sym 9967 $false +.sym 9968 $false +.sym 9969 $auto$alumacc.cc:484:replace_alu$22861[3] +.sym 9971 $true$2 +.sym 9972 $auto$alumacc.cc:470:replace_alu$22837.BB[3] +.sym 9974 $auto$alumacc.cc:484:replace_alu$22861[4] +.sym 9976 $false +.sym 9977 $auto$alumacc.cc:483:replace_alu$22849[4] +.sym 9979 $auto$alumacc.cc:484:replace_alu$22861[5] +.sym 9981 $false +.sym 9982 $auto$alumacc.cc:483:replace_alu$22849[5] +.sym 9984 $auto$alumacc.cc:484:replace_alu$22861[6] +.sym 9986 $false +.sym 9987 $auto$alumacc.cc:483:replace_alu$22849[6] +.sym 9989 $auto$alumacc.cc:484:replace_alu$22861[7]$2 +.sym 9991 $false +.sym 9992 $auto$alumacc.cc:483:replace_alu$22849[7] +.sym 9998 $auto$alumacc.cc:484:replace_alu$22861[7]$2 +.sym 10000 I2C.byte_counter[3] +.sym 10001 $false +.sym 10002 $false +.sym 10003 $false +.sym 10011 $auto$alumacc.cc:484:replace_alu$22850[7] +.sym 10014 $abc$60421$n1024 +.sym 10015 $abc$60421$n1023 +.sym 10016 $abc$60421$n1021 +.sym 10017 $sub$top.v:74$27_Y[2] +.sym 10121 I2C_INPUT_DATA[0][2] +.sym 10122 I2C_INPUT_DATA[0][3] +.sym 10123 $false +.sym 10124 $false +.sym 10126 I2C_INPUT_DATA[0][0] +.sym 10127 I2C_INPUT_DATA[0][2] +.sym 10128 I2C_INPUT_DATA[0][3] +.sym 10129 I2C_INPUT_DATA[0][1] +.sym 10136 I2C_INPUT_DATA[0][0] +.sym 10137 I2C_INPUT_DATA[0][2] +.sym 10138 I2C_INPUT_DATA[0][3] +.sym 10139 I2C_INPUT_DATA[0][1] +.sym 10146 KEYBOARD.row_time[0] +.sym 10147 KEYBOARD.row_time[1] +.sym 10148 $false +.sym 10149 $false +.sym 10151 $false +.sym 10152 $true$2 +.sym 10153 KEYBOARD.row_time[0] +.sym 10154 $false +.sym 10160 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 10161 CLK$2$2 +.sym 10162 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 10164 $abc$60421$n1028 +.sym 10165 $abc$60421$n1020_1 +.sym 10167 $abc$60421$n1015 +.sym 10168 I2C_INPUT_DATA[0][4] +.sym 10237 $false +.sym 10238 $false +.sym 10239 $false +.sym 10240 $false +.sym 10242 $techmap\KEYBOARD.$procmux$4894_Y[4] +.sym 10243 $false +.sym 10244 $false +.sym 10245 $false +.sym 10252 $techmap\KEYBOARD.$procmux$4894_Y[5] +.sym 10253 $false +.sym 10254 $false +.sym 10255 $false +.sym 10262 $techmap\KEYBOARD.$procmux$4894_Y[1] +.sym 10263 $false +.sym 10264 $false +.sym 10265 $false +.sym 10267 $techmap\KEYBOARD.$procmux$4894_Y[2] +.sym 10268 $false +.sym 10269 $false +.sym 10270 $false +.sym 10276 $auto$dff2dffe.cc:175:make_patterns_logic$51066 +.sym 10277 CLK$2$2 +.sym 10278 $0\KBD_FREEZE[0:0]$2 +.sym 10279 $techmap\KEYBOARD.$procmux$4894_Y[5] +.sym 10280 $abc$60421$n1027 +.sym 10282 $abc$60421$n1030 +.sym 10283 $abc$60421$n1026 +.sym 10284 $abc$60421$n1031 +.sym 10286 I2C_OUTPUT_TYPE[2] +.sym 10353 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 10354 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] +.sym 10355 $false +.sym 10356 $false +.sym 10358 $abc$60421$n1064 +.sym 10359 I2C.byte_counter[4] +.sym 10360 I2C.byte_counter[5] +.sym 10361 I2C.byte_counter[7] +.sym 10363 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 10364 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] +.sym 10365 $false +.sym 10366 $false +.sym 10368 KEYBOARD.report[20] +.sym 10369 KEYBOARD.report[52] +.sym 10370 I2C.byte_counter[2] +.sym 10371 $false +.sym 10373 KEYBOARD.report[4] +.sym 10374 KEYBOARD.report[36] +.sym 10375 I2C.byte_counter[2] +.sym 10376 $false +.sym 10378 $abc$60421$n952 +.sym 10379 $abc$60421$n951 +.sym 10380 I2C.byte_counter[1] +.sym 10381 $false +.sym 10383 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 10384 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] +.sym 10385 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] +.sym 10386 KEYBOARD.report[4] +.sym 10388 KEYBOARD.report[3] +.sym 10389 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 10390 $abc$60421$n1122 +.sym 10391 $false +.sym 10392 $auto$dff2dffe.cc:175:make_patterns_logic$50377 +.sym 10393 CLK$2$2 +.sym 10394 $0\KBD_FREEZE[0:0]$2 +.sym 10395 $auto$dff2dffe.cc:175:make_patterns_logic$59498 +.sym 10396 $abc$60421$n891 +.sym 10399 $abc$60421$n921 +.sym 10400 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 10401 $abc$60421$n1064 +.sym 10402 I2C_HID_DESC.last_rd_request +.sym 10469 KEYBOARD.report[20] +.sym 10470 KEYBOARD.report[21] +.sym 10471 KEYBOARD.report[22] +.sym 10472 KEYBOARD.report[23] +.sym 10474 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 10475 $abc$60421$n769 +.sym 10476 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] +.sym 10477 KEYBOARD.report[20] +.sym 10479 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 10480 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] +.sym 10481 KEYBOARD.report[18] +.sym 10482 KEYBOARD.report[22] +.sym 10484 KEYBOARD.report[16] +.sym 10485 KEYBOARD.report[17] +.sym 10486 KEYBOARD.report[18] +.sym 10487 KEYBOARD.report[19] +.sym 10489 $abc$60421$n779 +.sym 10490 $abc$60421$n780 +.sym 10491 $false +.sym 10492 $false +.sym 10494 $abc$60421$n734 +.sym 10495 KEYBOARD.report[19] +.sym 10496 $false +.sym 10497 $false +.sym 10499 KEYBOARD.report[3] +.sym 10500 KEYBOARD.report[19] +.sym 10501 I2C.byte_counter[1] +.sym 10502 $false +.sym 10504 $techmap\KEYBOARD.$procmux$4894_Y[3] +.sym 10505 $false +.sym 10506 $false +.sym 10507 $false +.sym 10508 $auto$dff2dffe.cc:175:make_patterns_logic$51066 +.sym 10509 CLK$2$2 +.sym 10510 $0\KBD_FREEZE[0:0]$2 +.sym 10511 $abc$60421$n924 +.sym 10512 $abc$60421$n923 +.sym 10514 $abc$60421$n1120 +.sym 10515 $auto$dff2dffe.cc:175:make_patterns_logic$45135 +.sym 10516 $abc$60421$n1216 +.sym 10517 KEYBOARD.report[5] +.sym 10518 KEYBOARD.report[2] +.sym 10585 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.sym 10586 $abc$60421$n729 +.sym 10587 KEYBOARD.report[16] +.sym 10588 KEYBOARD.report[23] +.sym 10590 $abc$60421$n758 +.sym 10591 $abc$60421$n770 +.sym 10592 $abc$60421$n771 +.sym 10593 $abc$60421$n776_1 +.sym 10595 $abc$60421$n757 +.sym 10596 $auto$simplemap.cc:250:simplemap_eqne$53051[2] +.sym 10597 $abc$60421$n777 +.sym 10598 $abc$60421$n716 +.sym 10600 $abc$60421$n719 +.sym 10601 $abc$60421$n729 +.sym 10602 $abc$60421$n739 +.sym 10603 $false +.sym 10605 $abc$60421$n772 +.sym 10606 $abc$60421$n719 +.sym 10607 KEYBOARD.report[17] +.sym 10608 KEYBOARD.report[21] +.sym 10610 $techmap\KEYBOARD.$procmux$4894_Y[6] +.sym 10611 $false +.sym 10612 $false +.sym 10613 $false +.sym 10615 $techmap\KEYBOARD.$procmux$4894_Y[7] +.sym 10616 $false +.sym 10617 $false +.sym 10618 $false +.sym 10620 $techmap\KEYBOARD.$procmux$4894_Y[0] +.sym 10621 $false +.sym 10622 $false +.sym 10623 $false +.sym 10624 $auto$dff2dffe.cc:175:make_patterns_logic$51066 +.sym 10625 CLK$2$2 +.sym 10626 $0\KBD_FREEZE[0:0]$2 +.sym 10627 $abc$60421$n958 +.sym 10628 $abc$60421$n919 +.sym 10629 $abc$60421$n959 +.sym 10630 $abc$60421$n956_1 +.sym 10631 $abc$60421$n1147_1 +.sym 10632 $abc$60421$n957 +.sym 10633 I2C_INPUT_DATA[1][4] +.sym 10634 I2C_INPUT_DATA[1][7] +.sym 10701 $abc$60421$n778 +.sym 10702 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 10703 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 10704 $abc$60421$n714 +.sym 10706 $abc$60421$n945 +.sym 10707 $abc$60421$n942 +.sym 10708 $abc$60421$n923 +.sym 10709 $sub$top.v:74$27_Y[0] +.sym 10711 $abc$60421$n944 +.sym 10712 $abc$60421$n943 +.sym 10713 I2C.byte_counter[2] +.sym 10714 $false +.sym 10716 $abc$60421$n930 +.sym 10717 $abc$60421$n927 +.sym 10718 $abc$60421$n923 +.sym 10719 $sub$top.v:74$27_Y[0] +.sym 10721 $abc$60421$n919 +.sym 10722 $abc$60421$n956_1 +.sym 10723 $false +.sym 10724 $false +.sym 10726 $abc$60421$n919 +.sym 10727 $abc$60421$n963 +.sym 10728 $false +.sym 10729 $false +.sym 10731 $abc$60421$n920 +.sym 10732 $abc$60421$n926 +.sym 10733 $auto$alumacc.cc:484:replace_alu$22839[7] +.sym 10734 $auto$alumacc.cc:484:replace_alu$22861[7] +.sym 10736 $abc$60421$n920 +.sym 10737 $abc$60421$n941 +.sym 10738 $auto$alumacc.cc:484:replace_alu$22839[7] +.sym 10739 $auto$alumacc.cc:484:replace_alu$22861[7] +.sym 10740 $auto$dff2dffe.cc:175:make_patterns_logic$45135 +.sym 10741 CLK$2$2 +.sym 10742 $auto$simplemap.cc:256:simplemap_eqne$23468$2 +.sym 10743 $abc$60421$n1162 +.sym 10744 $abc$60421$n1000 +.sym 10745 $abc$60421$n937 +.sym 10746 $abc$60421$n1148 +.sym 10747 KEYBOARD.report[37] +.sym 10748 KEYBOARD.report[34] +.sym 10749 KEYBOARD.report[38] +.sym 10750 KEYBOARD.report[39] +.sym 10817 $abc$60421$n967 +.sym 10818 $abc$60421$n968_1 +.sym 10819 $abc$60421$n964 +.sym 10820 $sub$top.v:74$27_Y[0] +.sym 10822 $abc$60421$n937 +.sym 10823 $abc$60421$n936_1 +.sym 10824 I2C.byte_counter[1] +.sym 10825 $false +.sym 10827 KEYBOARD.report[22] +.sym 10828 KEYBOARD.report[54] +.sym 10829 I2C.byte_counter[2] +.sym 10830 $false +.sym 10832 KEYBOARD.report[6] +.sym 10833 KEYBOARD.report[38] +.sym 10834 I2C.byte_counter[2] +.sym 10835 $false +.sym 10837 $abc$60421$n966 +.sym 10838 $abc$60421$n965 +.sym 10839 I2C.byte_counter[1] +.sym 10840 $false +.sym 10842 KEYBOARD.report[14] +.sym 10843 KEYBOARD.report[46] +.sym 10844 I2C.byte_counter[1] +.sym 10845 I2C.byte_counter[2] +.sym 10847 KEYBOARD.report[18] +.sym 10848 KEYBOARD.report[50] +.sym 10849 I2C.byte_counter[2] +.sym 10850 $false +.sym 10852 $false +.sym 10853 $false +.sym 10854 $false +.sym 10855 $false +.sym 10856 $auto$dff2dffe.cc:175:make_patterns_logic$50579 +.sym 10857 CLK$2$2 +.sym 10858 $false +.sym 10859 $abc$60421$n918 +.sym 10860 $abc$60421$n1008_1 +.sym 10861 $abc$60421$n1002 +.sym 10862 $abc$60421$n973 +.sym 10863 $abc$60421$n971_1 +.sym 10864 $abc$60421$n1006 +.sym 10865 $auto$dff2dffe.cc:175:make_patterns_logic$50377 +.sym 10866 I2C_OUT_DESC_MASK[5] +.sym 10933 $abc$60421$n719 +.sym 10934 $abc$60421$n729 +.sym 10935 KEYBOARD.report[40] +.sym 10936 KEYBOARD.report[41] +.sym 10938 $abc$60421$n719 +.sym 10939 $abc$60421$n729 +.sym 10940 $abc$60421$n739 +.sym 10941 $false +.sym 10943 $abc$60421$n794 +.sym 10944 $abc$60421$n734 +.sym 10945 KEYBOARD.report[42] +.sym 10946 KEYBOARD.report[43] +.sym 10948 KEYBOARD.report[23] +.sym 10949 KEYBOARD.report[55] +.sym 10950 I2C.byte_counter[2] +.sym 10951 $false +.sym 10953 $abc$60421$n797 +.sym 10954 $abc$60421$n772 +.sym 10955 KEYBOARD.report[44] +.sym 10956 KEYBOARD.report[45] +.sym 10958 KEYBOARD.report[40] +.sym 10959 KEYBOARD.report[41] +.sym 10960 KEYBOARD.report[42] +.sym 10961 KEYBOARD.report[43] +.sym 10963 $abc$60421$n819 +.sym 10964 $abc$60421$n820 +.sym 10965 $abc$60421$n821 +.sym 10966 $abc$60421$n822 +.sym 10968 $techmap\KEYBOARD.$procmux$4894_Y[1] +.sym 10969 $false +.sym 10970 $false +.sym 10971 $false +.sym 10972 $auto$dff2dffe.cc:175:make_patterns_logic$54691 +.sym 10973 CLK$2$2 +.sym 10974 $0\KBD_FREEZE[0:0]$2 +.sym 10975 $abc$60421$n915_1 +.sym 10976 $abc$60421$n917 +.sym 10977 $abc$60421$n914 +.sym 10978 $abc$60421$n913 +.sym 10979 KEYBOARD.report[55] +.sym 10980 KEYBOARD.report[48] +.sym 10981 KEYBOARD.report[54] +.sym 10982 KEYBOARD.report[53] +.sym 11049 KEYBOARD.report[52] +.sym 11050 KEYBOARD.report[53] +.sym 11051 KEYBOARD.report[54] +.sym 11052 KEYBOARD.report[55] +.sym 11054 KEYBOARD.report[48] +.sym 11055 KEYBOARD.report[49] +.sym 11056 KEYBOARD.report[50] +.sym 11057 KEYBOARD.report[51] +.sym 11059 KEYBOARD.report[44] +.sym 11060 KEYBOARD.report[45] +.sym 11061 KEYBOARD.report[46] +.sym 11062 KEYBOARD.report[47] +.sym 11064 $abc$60421$n719 +.sym 11065 $abc$60421$n729 +.sym 11066 KEYBOARD.report[48] +.sym 11067 KEYBOARD.report[49] +.sym 11069 $abc$60421$n825 +.sym 11070 $abc$60421$n826_1 +.sym 11071 $false +.sym 11072 $false +.sym 11074 $abc$60421$n797 +.sym 11075 $abc$60421$n772 +.sym 11076 KEYBOARD.report[52] +.sym 11077 KEYBOARD.report[53] +.sym 11079 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] +.sym 11080 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] +.sym 11081 KEYBOARD.report[46] +.sym 11082 KEYBOARD.report[47] +.sym 11084 KEYBOARD.COLS_SHADOW[1] +.sym 11085 $false +.sym 11086 $false +.sym 11087 $false +.sym 11088 $auto$dff2dffe.cc:175:make_patterns_logic$58812 +.sym 11089 CLK$2$2 +.sym 11090 $0\KBD_FREEZE[0:0]$2 +.sym 11091 $abc$60421$n795 +.sym 11092 $abc$60421$n565 +.sym 11093 $abc$60421$n717 +.sym 11094 $abc$60421$n970 +.sym 11095 $abc$60421$n718 +.sym 11096 $abc$60421$n975 +.sym 11097 $abc$60421$n571 +.sym 11098 KEYBOARD.last_data[11] +.sym 11165 $abc$60421$n747 +.sym 11166 $abc$60421$n754 +.sym 11167 KEYBOARD.report[55] +.sym 11168 $false +.sym 11170 $abc$60421$n831 +.sym 11171 $abc$60421$n744 +.sym 11172 $abc$60421$n832 +.sym 11173 KEYBOARD.report[54] +.sym 11175 KEYBOARD.report[29] +.sym 11176 KEYBOARD.report[61] +.sym 11177 I2C.byte_counter[1] +.sym 11178 I2C.byte_counter[2] +.sym 11180 $abc$60421$n830 +.sym 11181 $abc$60421$n833_1 +.sym 11182 $abc$60421$n834 +.sym 11183 $false +.sym 11185 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] +.sym 11186 $abc$60421$n734 +.sym 11187 KEYBOARD.report[50] +.sym 11188 KEYBOARD.report[51] +.sym 11190 $techmap\KEYBOARD.$procmux$4894_Y[1] +.sym 11191 $false +.sym 11192 $false +.sym 11193 $false +.sym 11195 $techmap\KEYBOARD.$procmux$4894_Y[6] +.sym 11196 $false +.sym 11197 $false +.sym 11198 $false +.sym 11200 $techmap\KEYBOARD.$procmux$4894_Y[3] +.sym 11201 $false +.sym 11202 $false +.sym 11203 $false +.sym 11204 $auto$dff2dffe.cc:175:make_patterns_logic$53393 +.sym 11205 CLK$2$2 +.sym 11206 $0\KBD_FREEZE[0:0]$2 +.sym 11207 $abc$60421$n753 +.sym 11208 $abc$60421$n743 +.sym 11209 $abc$60421$n754 +.sym 11210 $abc$60421$n752 +.sym 11211 I2C_TX_REPORT[2] +.sym 11212 I2C_TX_REPORT[7] +.sym 11213 I2C_TX_REPORT[4] +.sym 11214 I2C_TX_REPORT[0] +.sym 11281 $abc$60421$n745 +.sym 11282 $abc$60421$n746 +.sym 11283 $abc$60421$n747 +.sym 11284 $abc$60421$n748 +.sym 11286 KEYBOARD.report[31] +.sym 11287 KEYBOARD.report[63] +.sym 11288 I2C.byte_counter[1] +.sym 11289 I2C.byte_counter[2] +.sym 11291 $abc$60421$n579 +.sym 11292 $abc$60421$n583 +.sym 11293 $abc$60421$n722 +.sym 11294 $false +.sym 11296 $abc$60421$n726 +.sym 11297 $abc$60421$n573 +.sym 11298 $abc$60421$n727 +.sym 11299 $false +.sym 11301 $auto$simplemap.cc:309:simplemap_lut$44816 +.sym 11302 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 11303 $false +.sym 11304 $false +.sym 11306 $abc$60421$n544_1 +.sym 11307 $auto$simplemap.cc:309:simplemap_lut$44816 +.sym 11308 $abc$60421$n555_1 +.sym 11309 $false +.sym 11311 $techmap\KEYBOARD.$procmux$4894_Y[7] +.sym 11312 $false +.sym 11313 $false +.sym 11314 $false +.sym 11316 $techmap\KEYBOARD.$procmux$4894_Y[0] +.sym 11317 $false +.sym 11318 $false +.sym 11319 $false +.sym 11320 $auto$dff2dffe.cc:175:make_patterns_logic$56016 +.sym 11321 CLK$2$2 +.sym 11322 $0\KBD_FREEZE[0:0]$2 +.sym 11323 $abc$60421$n611 +.sym 11324 $abc$60421$n567_1 +.sym 11325 $abc$60421$n784 +.sym 11326 $auto$alumacc.cc:470:replace_alu$22821.AA[5] +.sym 11327 $abc$60421$n569 +.sym 11328 $abc$60421$n560 +.sym 11329 $abc$60421$n572_1 +.sym 11330 KEYBOARD.last_data[6] +.sym 11397 $abc$60421$n561 +.sym 11398 $abc$60421$n727 +.sym 11399 KEYBOARD.COLS_SHADOW[3] +.sym 11400 $false +.sym 11402 $abc$60421$n579 +.sym 11403 $abc$60421$n587_1 +.sym 11404 $abc$60421$n722 +.sym 11405 $false +.sym 11407 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 11408 $abc$60421$n773 +.sym 11409 $abc$60421$n795 +.sym 11410 $abc$60421$n601 +.sym 11412 $abc$60421$n543_1 +.sym 11413 $abc$60421$n560 +.sym 11414 $false +.sym 11415 $false +.sym 11417 $abc$60421$n544_1 +.sym 11418 $abc$60421$n587_1 +.sym 11419 $abc$60421$n555_1 +.sym 11420 $false +.sym 11422 $abc$60421$n543_1 +.sym 11423 $abc$60421$n773 +.sym 11424 $abc$60421$n774 +.sym 11425 $abc$60421$n775_1 +.sym 11427 $abc$60421$n544_1 +.sym 11428 $auto$simplemap.cc:309:simplemap_lut$44816 +.sym 11429 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 11430 $abc$60421$n555_1 +.sym 11432 $techmap\KEYBOARD.$procmux$4894_Y[7] +.sym 11433 $false +.sym 11434 $false +.sym 11435 $false +.sym 11436 $auto$dff2dffe.cc:175:make_patterns_logic$53393 +.sym 11437 CLK$2$2 +.sym 11438 $0\KBD_FREEZE[0:0]$2 +.sym 11439 $abc$60421$n761 +.sym 11440 $abc$60421$n763 +.sym 11441 $abc$60421$n589 +.sym 11442 $abc$60421$n764_1 +.sym 11443 $abc$60421$n768_1 +.sym 11444 $abc$60421$n760 +.sym 11445 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 11446 I2C.SCL_LAST +.sym 11513 $abc$60421$n580 +.sym 11514 $abc$60421$n583 +.sym 11515 $abc$60421$n767 +.sym 11516 $false +.sym 11518 $abc$60421$n561 +.sym 11519 $abc$60421$n574 +.sym 11520 $abc$60421$n583 +.sym 11521 KEYBOARD.COLS_SHADOW[3] +.sym 11523 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 11524 KEYBOARD.row_counter[0] +.sym 11525 KEYBOARD.row_counter[1] +.sym 11526 $false +.sym 11528 $abc$60421$n740 +.sym 11529 $abc$60421$n741 +.sym 11530 $abc$60421$n742 +.sym 11531 $false +.sym 11533 $abc$60421$n726 +.sym 11534 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 11535 $abc$60421$n727 +.sym 11536 $false +.sym 11538 $abc$60421$n579 +.sym 11539 $abc$60421$n594 +.sym 11540 $abc$60421$n722 +.sym 11541 $false +.sym 11543 $abc$60421$n561 +.sym 11544 $abc$60421$n574 +.sym 11545 KEYBOARD.COLS_SHADOW[3] +.sym 11546 $false +.sym 11548 $abc$60421$n544_1 +.sym 11549 $abc$60421$n587_1 +.sym 11550 $abc$60421$n555_1 +.sym 11551 $false +.sym 11555 $abc$60421$n547 +.sym 11556 $abc$60421$n858 +.sym 11557 $auto$simplemap.cc:250:simplemap_eqne$33831[0] +.sym 11558 $auto$dff2dffe.cc:175:make_patterns_logic$58068 +.sym 11559 $abc$60421$n762 +.sym 11560 $abc$60421$n544_1 +.sym 11561 $abc$60421$n860 +.sym 11562 KEYBOARD.last_data[5] +.sym 11591 $true +.sym 11628 UART.tx_bit_counter[0]$2 +.sym 11629 $false +.sym 11630 UART.tx_bit_counter[0] +.sym 11631 $false +.sym 11632 $false +.sym 11633 $auto$alumacc.cc:484:replace_alu$22920[1] +.sym 11635 UART.tx_bit_counter[1] +.sym 11636 $true$2 +.sym 11638 $auto$alumacc.cc:484:replace_alu$22920[2]$2 +.sym 11639 $false +.sym 11640 UART.tx_bit_counter[2] +.sym 11641 $true$2 +.sym 11642 $auto$alumacc.cc:484:replace_alu$22920[1] +.sym 11647 $auto$alumacc.cc:484:replace_alu$22920[2]$2 +.sym 11649 KEYBOARD.row_counter[1] +.sym 11650 KEYBOARD.last_data[13] +.sym 11651 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 11652 KEYBOARD.row_counter[0] +.sym 11654 $abc$60421$n860 +.sym 11655 $abc$60421$n573 +.sym 11656 $auto$simplemap.cc:250:simplemap_eqne$33831[0] +.sym 11657 $abc$60421$n863 +.sym 11659 $abc$60421$n548_1 +.sym 11660 $abc$60421$n547 +.sym 11661 $abc$60421$n546 +.sym 11662 $abc$60421$n549 +.sym 11664 $abc$60421$n1101 +.sym 11665 $abc$60421$n1096 +.sym 11666 $auto$alumacc.cc:484:replace_alu$22813[3] +.sym 11667 $techmap\UART.$sub$uart.v:38$347_Y[0] +.sym 11668 $auto$dff2dffe.cc:175:make_patterns_logic$49554 +.sym 11669 CLK$2$2 +.sym 11670 $eq$top.v:152$130_Y +.sym 11671 $0\KBD_FREEZE[0:0] +.sym 11673 $abc$60421$n554 +.sym 11676 $auto$dff2dffe.cc:175:make_patterns_logic$57616 +.sym 11677 $auto$alumacc.cc:470:replace_alu$22811.C[1] +.sym 11678 KEYBOARD.last_data[0] +.sym 11750 UART.tx_bit_counter[0] +.sym 11751 UART.tx_bit_counter[1] +.sym 11752 $false +.sym 11753 $false +.sym 11755 UART.tx_bit_counter[0] +.sym 11756 UART.tx_bit_counter[1] +.sym 11757 UART.tx_bit_counter[2] +.sym 11758 UART.tx_bit_counter[3] +.sym 11760 $auto$alumacc.cc:470:replace_alu$22811.B_buf[2] +.sym 11761 $false +.sym 11762 $false +.sym 11763 $false +.sym 11765 $false +.sym 11766 UART.tx_bit_counter[3] +.sym 11767 $true$2 +.sym 11768 $auto$alumacc.cc:484:replace_alu$22920[2] +.sym 11770 $auto$alumacc.cc:470:replace_alu$22811.B_buf[3] +.sym 11771 $false +.sym 11772 $false +.sym 11773 $false +.sym 11780 $auto$alumacc.cc:470:replace_alu$22811.B_buf[2] +.sym 11781 $false +.sym 11782 $false +.sym 11783 $false +.sym 11784 $auto$dff2dffe.cc:175:make_patterns_logic$49554 +.sym 11785 CLK$2$2 +.sym 11786 $eq$top.v:152$130_Y +.sym 11861 $auto$dff2dffe.cc:175:make_patterns_logic$48057 +.sym 11924 $true +.sym 11961 $auto$alumacc.cc:483:replace_alu$22849[0]$3 +.sym 11962 $false +.sym 11963 $auto$alumacc.cc:483:replace_alu$22849[0] +.sym 11964 $false +.sym 11965 $false +.sym 11966 $auto$alumacc.cc:484:replace_alu$22850[1] +.sym 11968 $false +.sym 11969 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[1] +.sym 11971 $auto$alumacc.cc:484:replace_alu$22850[2] +.sym 11973 $true$2 +.sym 11974 $sub$top.v:74$27_Y[2] +.sym 11976 $auto$alumacc.cc:484:replace_alu$22850[3] +.sym 11978 $true$2 +.sym 11979 $auto$alumacc.cc:470:replace_alu$22837.BB[3] +.sym 11981 $auto$alumacc.cc:484:replace_alu$22850[4] +.sym 11983 $false +.sym 11984 $auto$alumacc.cc:483:replace_alu$22849[4] +.sym 11986 $auto$alumacc.cc:484:replace_alu$22850[5] +.sym 11988 $false +.sym 11989 $auto$alumacc.cc:483:replace_alu$22849[5] +.sym 11991 $auto$alumacc.cc:484:replace_alu$22850[6] +.sym 11993 $false +.sym 11994 $auto$alumacc.cc:483:replace_alu$22849[6] +.sym 11996 $auto$alumacc.cc:484:replace_alu$22850[7]$2 +.sym 11998 $false +.sym 11999 $auto$alumacc.cc:483:replace_alu$22849[7] +.sym 12008 $auto$alumacc.cc:484:replace_alu$22839[7] +.sym 12009 $abc$60421$n648 +.sym 12010 $abc$60421$n659_1 +.sym 12011 $abc$60421$n661 +.sym 12012 I2C_INPUT_DATA[2][2] +.sym 12013 I2C_INPUT_DATA[2][0] +.sym 12014 I2C_INPUT_DATA[2][1] +.sym 12015 I2C_INPUT_DATA[2][3] +.sym 12121 $auto$alumacc.cc:484:replace_alu$22850[7]$2 +.sym 12133 $abc$60421$n982 +.sym 12134 $abc$60421$n1022 +.sym 12135 I2C_INPUT_DATA[0][0] +.sym 12136 I2C_INPUT_DATA[0][1] +.sym 12138 $abc$60421$n982 +.sym 12139 $abc$60421$n1022 +.sym 12140 I2C_INPUT_DATA[0][0] +.sym 12141 I2C_INPUT_DATA[0][1] +.sym 12143 $abc$60421$n982 +.sym 12144 $abc$60421$n1022 +.sym 12145 I2C_INPUT_DATA[0][0] +.sym 12146 I2C_INPUT_DATA[0][1] +.sym 12148 I2C.byte_counter[2] +.sym 12149 $false +.sym 12150 $false +.sym 12151 $false +.sym 12160 $abc$60421$n639 +.sym 12161 $abc$60421$n649 +.sym 12162 $abc$60421$n1016 +.sym 12163 $abc$60421$n1017 +.sym 12164 $abc$60421$n656 +.sym 12165 $abc$60421$n1019 +.sym 12166 $abc$60421$n663 +.sym 12167 I2C_INPUT_DATA[2][5] +.sym 12239 $abc$60421$n1021 +.sym 12240 $abc$60421$n1024 +.sym 12241 $false +.sym 12242 $false +.sym 12244 $abc$60421$n1024 +.sym 12245 $abc$60421$n1021 +.sym 12246 I2C_OUTPUT_TYPE[0] +.sym 12247 $abc$60421$n1023 +.sym 12254 $abc$60421$n1020_1 +.sym 12255 $abc$60421$n1016 +.sym 12256 I2C_OUTPUT_TYPE[0] +.sym 12257 $abc$60421$n671_1 +.sym 12259 I2C.received_byte[4] +.sym 12260 $false +.sym 12261 $false +.sym 12262 $false +.sym 12273 $memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284$2 +.sym 12274 CLK$2$2 +.sym 12275 $false +.sym 12276 $abc$60421$n620 +.sym 12277 $abc$60421$n634 +.sym 12280 $auto$simplemap.cc:256:simplemap_eqne$23468 +.sym 12283 I2C_INPUT_DATA[2][4] +.sym 12350 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 12351 $auto$alumacc.cc:470:replace_alu$22821.AA[5] +.sym 12352 $false +.sym 12353 $false +.sym 12355 I2C_OUTPUT_TYPE[1] +.sym 12356 $abc$60421$n1028 +.sym 12357 $abc$60421$n671_1 +.sym 12358 $abc$60421$n1023 +.sym 12365 $abc$60421$n671_1 +.sym 12366 $abc$60421$n1031 +.sym 12367 $abc$60421$n1016 +.sym 12368 I2C_OUTPUT_TYPE[2] +.sym 12370 I2C_OUTPUT_TYPE[1] +.sym 12371 $abc$60421$n671_1 +.sym 12372 $abc$60421$n1027 +.sym 12373 $abc$60421$n1016 +.sym 12375 $abc$60421$n1028 +.sym 12376 $abc$60421$n1023 +.sym 12377 $false +.sym 12378 $false +.sym 12385 $abc$60421$n1030 +.sym 12386 $2\INT[0:0] +.sym 12387 $false +.sym 12388 $false +.sym 12389 $auto$dff2dffe.cc:175:make_patterns_logic$48057$2 +.sym 12390 CLK$2$2 +.sym 12391 $0\KBD_FREEZE[0:0]$2 +.sym 12392 $auto$dff2dffe.cc:175:make_patterns_logic$48057 +.sym 12393 $abc$60421$n1146 +.sym 12396 $abc$60421$n1135_1 +.sym 12397 $abc$60421$n1143 +.sym 12398 $auto$dff2dffe.cc:175:make_patterns_logic$45905 +.sym 12399 INT +.sym 12466 RESET +.sym 12467 $abc$60421$n891 +.sym 12468 I2C.wr +.sym 12469 $false +.sym 12471 I2C_OUTPUT_TYPE[0] +.sym 12472 I2C_OUTPUT_TYPE[1] +.sym 12473 I2C_HID_DESC.last_rd_request +.sym 12474 $false +.sym 12486 I2C.byte_counter[4] +.sym 12487 I2C.byte_counter[5] +.sym 12488 I2C.byte_counter[6] +.sym 12489 I2C.byte_counter[7] +.sym 12491 $abc$60421$n1026 +.sym 12492 $abc$60421$n1030 +.sym 12493 $abc$60421$n1015 +.sym 12494 $2\INT[0:0] +.sym 12496 I2C.byte_counter[1] +.sym 12497 I2C.byte_counter[3] +.sym 12498 I2C.byte_counter[2] +.sym 12499 I2C.byte_counter[0] +.sym 12501 I2C.wr +.sym 12502 $false +.sym 12503 $false +.sym 12504 $false +.sym 12505 $true +.sym 12506 CLK$2$2 +.sym 12507 $0\KBD_FREEZE[0:0]$2 +.sym 12508 $abc$60421$n1199 +.sym 12509 $abc$60421$n1144 +.sym 12510 $abc$60421$n1198 +.sym 12511 $abc$60421$n1171 +.sym 12512 $abc$60421$n922_1 +.sym 12513 $abc$60421$n1139 +.sym 12514 $abc$60421$n1142 +.sym 12515 KEYBOARD.isr +.sym 12582 I2C.byte_counter[1] +.sym 12583 I2C.byte_counter[3] +.sym 12584 I2C.byte_counter[2] +.sym 12585 I2C.byte_counter[0] +.sym 12587 $abc$60421$n921 +.sym 12588 $abc$60421$n924 +.sym 12589 $false +.sym 12590 $false +.sym 12597 $abc$60421$n719 +.sym 12598 $abc$60421$n729 +.sym 12599 $abc$60421$n739 +.sym 12600 $false +.sym 12602 $abc$60421$n617 +.sym 12603 I2C.is_read +.sym 12604 $false +.sym 12605 $false +.sym 12607 $abc$60421$n617 +.sym 12608 $abc$60421$n1200 +.sym 12609 I2C.is_read +.sym 12610 $auto$alumacc.cc:484:replace_alu$22850[7] +.sym 12612 KEYBOARD.report[5] +.sym 12613 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 12614 $abc$60421$n795 +.sym 12615 $false +.sym 12617 KEYBOARD.report[2] +.sym 12618 $auto$simplemap.cc:250:simplemap_eqne$53186[5] +.sym 12619 $abc$60421$n1120 +.sym 12620 $false +.sym 12621 $auto$dff2dffe.cc:175:make_patterns_logic$50377 +.sym 12622 CLK$2$2 +.sym 12623 $0\KBD_FREEZE[0:0]$2 +.sym 12624 $abc$60421$n1175 +.sym 12625 $abc$60421$n920 +.sym 12626 $abc$60421$n1145 +.sym 12627 $abc$60421$n1176 +.sym 12628 $abc$60421$n1151 +.sym 12629 $abc$60421$n1189 +.sym 12630 $abc$60421$n1141 +.sym 12631 $abc$60421$n1188 +.sym 12698 KEYBOARD.report[21] +.sym 12699 KEYBOARD.report[53] +.sym 12700 I2C.byte_counter[2] +.sym 12701 $false +.sym 12703 $abc$60421$n920 +.sym 12704 $abc$60421$n923 +.sym 12705 $auto$alumacc.cc:484:replace_alu$22861[7] +.sym 12706 $auto$alumacc.cc:484:replace_alu$22839[7] +.sym 12708 KEYBOARD.report[5] +.sym 12709 KEYBOARD.report[37] +.sym 12710 I2C.byte_counter[2] +.sym 12711 $false +.sym 12713 $abc$60421$n960 +.sym 12714 $abc$60421$n961 +.sym 12715 $abc$60421$n957 +.sym 12716 $sub$top.v:74$27_Y[0] +.sym 12718 I2C.byte_counter[1] +.sym 12719 I2C.byte_counter[3] +.sym 12720 I2C.byte_counter[2] +.sym 12721 I2C.byte_counter[0] +.sym 12723 $abc$60421$n959 +.sym 12724 $abc$60421$n958 +.sym 12725 I2C.byte_counter[1] +.sym 12726 $false +.sym 12728 I2C.received_byte[4] +.sym 12729 $false +.sym 12730 $false +.sym 12731 $false +.sym 12733 I2C.received_byte[7] +.sym 12734 $false +.sym 12735 $false +.sym 12736 $false +.sym 12737 $auto$dff2dffe.cc:158:make_patterns_logic$44967 +.sym 12738 CLK$2$2 +.sym 12739 $false +.sym 12740 $abc$60421$n1187 +.sym 12741 $abc$60421$n1186 +.sym 12742 $auto$rtlil.cc:1692:NotGate$60416 +.sym 12743 $abc$60421$n1161_1 +.sym 12744 $abc$60421$n1193 +.sym 12745 $abc$60421$n1131 +.sym 12746 I2C_OUTPUT_TYPE[1] +.sym 12747 I2C_OUTPUT_TYPE[0] +.sym 12814 I2C.byte_counter[1] +.sym 12815 I2C.byte_counter[3] +.sym 12816 I2C.byte_counter[2] +.sym 12817 I2C.byte_counter[0] +.sym 12819 I2C_TX_REPORT[1] +.sym 12820 I2C_HID_DESC.VAL[1] +.sym 12821 I2C_OUT_DESC_MASK[1] +.sym 12822 $false +.sym 12824 KEYBOARD.report[2] +.sym 12825 KEYBOARD.report[34] +.sym 12826 I2C.byte_counter[2] +.sym 12827 $false +.sym 12829 $abc$60421$n921 +.sym 12830 $abc$60421$n1147_1 +.sym 12831 $false +.sym 12832 $false +.sym 12834 $techmap\KEYBOARD.$procmux$4894_Y[5] +.sym 12835 $false +.sym 12836 $false +.sym 12837 $false +.sym 12839 $techmap\KEYBOARD.$procmux$4894_Y[2] +.sym 12840 $false +.sym 12841 $false +.sym 12842 $false +.sym 12844 $techmap\KEYBOARD.$procmux$4894_Y[6] +.sym 12845 $false +.sym 12846 $false +.sym 12847 $false +.sym 12849 $techmap\KEYBOARD.$procmux$4894_Y[7] +.sym 12850 $false +.sym 12851 $false +.sym 12852 $false +.sym 12853 $auto$dff2dffe.cc:175:make_patterns_logic$53084 +.sym 12854 CLK$2$2 +.sym 12855 $0\KBD_FREEZE[0:0]$2 +.sym 12856 $abc$60421$n1089_1 +.sym 12857 $abc$60421$n1090 +.sym 12858 $abc$60421$n1010 +.sym 12859 UART_TX_DATA[0] +.sym 12860 UART_TX_DATA[4] +.sym 12861 UART_TX_DATA[1] +.sym 12862 UART_TX_DATA[6] +.sym 12863 UART_TX_DATA[2] +.sym 12930 KEYBOARD.report[8] +.sym 12931 KEYBOARD.report[40] +.sym 12932 I2C.byte_counter[1] +.sym 12933 I2C.byte_counter[2] +.sym 12935 I2C_TX_REPORT[5] +.sym 12936 I2C_HID_DESC.VAL[5] +.sym 12937 I2C_OUT_DESC_MASK[5] +.sym 12938 $false +.sym 12940 I2C_TX_REPORT[2] +.sym 12941 I2C_HID_DESC.VAL[2] +.sym 12942 I2C_OUT_DESC_MASK[2] +.sym 12943 $false +.sym 12945 KEYBOARD.report[7] +.sym 12946 KEYBOARD.report[39] +.sym 12947 I2C.byte_counter[2] +.sym 12948 $false +.sym 12950 $abc$60421$n973 +.sym 12951 $abc$60421$n972 +.sym 12952 I2C.byte_counter[1] +.sym 12953 $false +.sym 12955 I2C_TX_REPORT[4] +.sym 12956 I2C_HID_DESC.VAL[4] +.sym 12957 I2C_OUT_DESC_MASK[4] +.sym 12958 $false +.sym 12960 $abc$60421$n714 +.sym 12961 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 12962 $auto$dff2dffe.cc:175:make_patterns_logic$50579 +.sym 12963 $false +.sym 12965 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 12966 $false +.sym 12967 $false +.sym 12968 $false +.sym 12969 $auto$dff2dffe.cc:175:make_patterns_logic$48057$2 +.sym 12970 CLK$2$2 +.sym 12971 $0\KBD_FREEZE[0:0]$2 +.sym 12972 $abc$60421$n1101 +.sym 12974 $abc$60421$n1102 +.sym 12975 $abc$60421$n865 +.sym 12976 $abc$60421$n1103 +.sym 12977 $auto$dff2dffe.cc:175:make_patterns_logic$57905 +.sym 12978 $abc$60421$n870 +.sym 12979 KEYBOARD.last_data[3] +.sym 13046 KEYBOARD.report[16] +.sym 13047 KEYBOARD.report[48] +.sym 13048 I2C.byte_counter[2] +.sym 13049 $false +.sym 13051 KEYBOARD.report[24] +.sym 13052 KEYBOARD.report[56] +.sym 13053 I2C.byte_counter[1] +.sym 13054 I2C.byte_counter[2] +.sym 13056 $abc$60421$n916 +.sym 13057 $abc$60421$n915_1 +.sym 13058 I2C.byte_counter[1] +.sym 13059 $false +.sym 13061 $abc$60421$n917 +.sym 13062 $abc$60421$n918 +.sym 13063 $abc$60421$n914 +.sym 13064 $sub$top.v:74$27_Y[0] +.sym 13066 $techmap\KEYBOARD.$procmux$4894_Y[7] +.sym 13067 $false +.sym 13068 $false +.sym 13069 $false +.sym 13071 $techmap\KEYBOARD.$procmux$4894_Y[0] +.sym 13072 $false +.sym 13073 $false +.sym 13074 $false +.sym 13076 $techmap\KEYBOARD.$procmux$4894_Y[6] +.sym 13077 $false +.sym 13078 $false +.sym 13079 $false +.sym 13081 $techmap\KEYBOARD.$procmux$4894_Y[5] +.sym 13082 $false +.sym 13083 $false +.sym 13084 $false +.sym 13085 $auto$dff2dffe.cc:175:make_patterns_logic$54691 +.sym 13086 CLK$2$2 +.sym 13087 $0\KBD_FREEZE[0:0]$2 +.sym 13088 $abc$60421$n566 +.sym 13089 $auto$dff2dffe.cc:175:make_patterns_logic$58649 +.sym 13090 $abc$60421$n564 +.sym 13091 $abc$60421$n883 +.sym 13092 $abc$60421$n562 +.sym 13093 $auto$dff2dffe.cc:175:make_patterns_logic$59021 +.sym 13094 $abc$60421$n563 +.sym 13095 KEYBOARD.last_data[15] +.sym 13162 $abc$60421$n579 +.sym 13163 $abc$60421$n594 +.sym 13164 $abc$60421$n722 +.sym 13165 $false +.sym 13167 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 13168 KEYBOARD.row_counter[0] +.sym 13169 KEYBOARD.row_counter[1] +.sym 13170 KEYBOARD.last_data[11] +.sym 13172 $abc$60421$n714 +.sym 13173 $abc$60421$n743 +.sym 13174 $abc$60421$n718 +.sym 13175 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 13177 $abc$60421$n974 +.sym 13178 $abc$60421$n975 +.sym 13179 $abc$60421$n971_1 +.sym 13180 $sub$top.v:74$27_Y[0] +.sym 13182 $abc$60421$n719 +.sym 13183 $abc$60421$n729 +.sym 13184 $abc$60421$n734 +.sym 13185 $abc$60421$n739 +.sym 13187 KEYBOARD.report[15] +.sym 13188 KEYBOARD.report[47] +.sym 13189 I2C.byte_counter[1] +.sym 13190 I2C.byte_counter[2] +.sym 13192 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 13193 KEYBOARD.row_counter[0] +.sym 13194 KEYBOARD.row_counter[1] +.sym 13195 KEYBOARD.last_data[2] +.sym 13197 $techmap\KEYBOARD.$procmux$3661_Y +.sym 13198 $false +.sym 13199 $false +.sym 13200 $false +.sym 13201 $auto$dff2dffe.cc:175:make_patterns_logic$58649 +.sym 13202 CLK$2$2 +.sym 13203 $0\KBD_FREEZE[0:0]$2 +.sym 13204 $abc$60421$n724 +.sym 13205 $abc$60421$n720 +.sym 13206 $abc$60421$n719 +.sym 13207 $abc$60421$n735 +.sym 13208 $auto$dff2dffe.cc:175:make_patterns_logic$58277 +.sym 13209 $abc$60421$n877 +.sym 13210 $abc$60421$n725 +.sym 13211 KEYBOARD.last_data[7] +.sym 13278 $abc$60421$n579 +.sym 13279 $abc$60421$n587_1 +.sym 13280 $abc$60421$n722 +.sym 13281 $false +.sym 13283 $abc$60421$n744 +.sym 13284 $abc$60421$n749 +.sym 13285 $abc$60421$n752 +.sym 13286 $false +.sym 13288 $abc$60421$n544_1 +.sym 13289 $abc$60421$n590 +.sym 13290 $abc$60421$n555_1 +.sym 13291 $false +.sym 13293 $abc$60421$n735 +.sym 13294 $abc$60421$n753 +.sym 13295 $abc$60421$n754 +.sym 13296 $abc$60421$n755 +.sym 13298 $abc$60421$n919 +.sym 13299 $abc$60421$n934 +.sym 13300 $false +.sym 13301 $false +.sym 13303 $abc$60421$n919 +.sym 13304 $abc$60421$n970 +.sym 13305 $false +.sym 13306 $false +.sym 13308 $abc$60421$n919 +.sym 13309 $abc$60421$n949 +.sym 13310 $false +.sym 13311 $false +.sym 13313 $abc$60421$n913 +.sym 13314 $abc$60421$n919 +.sym 13315 $false +.sym 13316 $false +.sym 13317 $auto$dff2dffe.cc:175:make_patterns_logic$45135 +.sym 13318 CLK$2$2 +.sym 13319 $auto$simplemap.cc:256:simplemap_eqne$23468$2 +.sym 13320 $auto$dff2dffe.cc:175:make_patterns_logic$58172 +.sym 13321 $abc$60421$n881_1 +.sym 13322 $abc$60421$n568 +.sym 13323 $abc$60421$n766 +.sym 13324 $abc$60421$n875 +.sym 13325 $abc$60421$n887_1 +.sym 13326 $auto$dff2dffe.cc:175:make_patterns_logic$58916 +.sym 13327 KEYBOARD.last_data[14] +.sym 13394 $abc$60421$n579 +.sym 13395 $abc$60421$n587_1 +.sym 13396 $abc$60421$n581 +.sym 13397 $false +.sym 13399 $abc$60421$n569 +.sym 13400 $abc$60421$n568 +.sym 13401 $abc$60421$n570 +.sym 13402 $abc$60421$n571 +.sym 13404 $abc$60421$n768_1 +.sym 13405 $abc$60421$n764_1 +.sym 13406 $abc$60421$n760 +.sym 13407 $abc$60421$n714 +.sym 13409 $abc$60421$n543_1 +.sym 13410 $abc$60421$n611 +.sym 13411 $abc$60421$n612 +.sym 13412 $false +.sym 13414 KEYBOARD.row_counter[1] +.sym 13415 KEYBOARD.last_data[6] +.sym 13416 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 13417 KEYBOARD.row_counter[0] +.sym 13419 $abc$60421$n561 +.sym 13420 $abc$60421$n572_1 +.sym 13421 $abc$60421$n574 +.sym 13422 KEYBOARD.COLS_SHADOW[3] +.sym 13424 $abc$60421$n573 +.sym 13425 $abc$60421$n555_1 +.sym 13426 $false +.sym 13427 $false +.sym 13429 $techmap\KEYBOARD.$procmux$3661_Y +.sym 13430 $false +.sym 13431 $false +.sym 13432 $false +.sym 13433 $auto$dff2dffe.cc:175:make_patterns_logic$58172 +.sym 13434 CLK$2$2 +.sym 13435 $0\KBD_FREEZE[0:0]$2 +.sym 13436 $abc$60421$n855 +.sym 13437 $abc$60421$n866 +.sym 13438 $abc$60421$n580 +.sym 13439 $abc$60421$n857_1 +.sym 13440 $abc$60421$n593 +.sym 13441 $abc$60421$n765 +.sym 13442 $techmap\KEYBOARD.$procmux$3193_Y +.sym 13443 KEYBOARD.last_data[4] +.sym 13510 $abc$60421$n544_1 +.sym 13511 KEYBOARD.is_pressed +.sym 13512 $abc$60421$n762 +.sym 13513 $abc$60421$n555_1 +.sym 13515 $abc$60421$n561 +.sym 13516 $abc$60421$n727 +.sym 13517 KEYBOARD.COLS_SHADOW[3] +.sym 13518 KEYBOARD.is_pressed +.sym 13520 $abc$60421$n590 +.sym 13521 $abc$60421$n550 +.sym 13522 $abc$60421$n582 +.sym 13523 KEYBOARD.COLS_SHADOW[0] +.sym 13525 $abc$60421$n765 +.sym 13526 $abc$60421$n767 +.sym 13527 $false +.sym 13528 $false +.sym 13530 $abc$60421$n550 +.sym 13531 KEYBOARD.is_pressed +.sym 13532 KEYBOARD.COLS_SHADOW[0] +.sym 13533 $abc$60421$n582 +.sym 13535 $abc$60421$n555_1 +.sym 13536 $abc$60421$n763 +.sym 13537 $abc$60421$n761 +.sym 13538 $abc$60421$n722 +.sym 13540 $abc$60421$n768_1 +.sym 13541 $abc$60421$n764_1 +.sym 13542 $abc$60421$n760 +.sym 13543 $false +.sym 13545 I2C.FLT_SCL.out +.sym 13546 $false +.sym 13547 $false +.sym 13548 $false +.sym 13549 $true +.sym 13550 CLK$2$2 +.sym 13551 $false +.sym 13552 $abc$60421$n861 +.sym 13553 $abc$60421$n863 +.sym 13554 $abc$60421$n859 +.sym 13555 $abc$60421$n867 +.sym 13556 $abc$60421$n872 +.sym 13557 $auto$dff2dffe.cc:175:make_patterns_logic$58732 +.sym 13558 $abc$60421$n854 +.sym 13559 KEYBOARD.last_data[12] +.sym 13626 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 13627 KEYBOARD.row_counter[0] +.sym 13628 KEYBOARD.row_counter[1] +.sym 13629 KEYBOARD.last_data[5] +.sym 13631 $auto$simplemap.cc:250:simplemap_eqne$33831[0] +.sym 13632 $abc$60421$n582 +.sym 13633 $abc$60421$n590 +.sym 13634 $false +.sym 13636 $false +.sym 13637 $true$2 +.sym 13638 $false +.sym 13639 $false +.sym 13641 $abc$60421$n860 +.sym 13642 $abc$60421$n583 +.sym 13643 $auto$simplemap.cc:250:simplemap_eqne$33831[0] +.sym 13644 $abc$60421$n863 +.sym 13646 $abc$60421$n550 +.sym 13647 $abc$60421$n545 +.sym 13648 $auto$simplemap.cc:250:simplemap_eqne$33831[0] +.sym 13649 KEYBOARD.COLS_SHADOW[1] +.sym 13651 $abc$60421$n545 +.sym 13652 $abc$60421$n550 +.sym 13653 $auto$simplemap.cc:250:simplemap_eqne$33831[0] +.sym 13654 KEYBOARD.COLS_SHADOW[1] +.sym 13656 $abc$60421$n555_1 +.sym 13657 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 13658 $false +.sym 13659 $false +.sym 13661 KEYBOARD.COLS_SHADOW[1] +.sym 13662 $false +.sym 13663 $false +.sym 13664 $false +.sym 13665 $auto$dff2dffe.cc:175:make_patterns_logic$58068 +.sym 13666 CLK$2$2 +.sym 13667 $0\KBD_FREEZE[0:0]$2 +.sym 13668 $abc$60421$n553 +.sym 13669 $abc$60421$n552_1 +.sym 13670 $abc$60421$n551 +.sym 13671 $auto$dff2dffe.cc:175:make_patterns_logic$57988 +.sym 13672 $abc$60421$n550 +.sym 13674 $auto$dff2dffe.cc:175:make_patterns_logic$58360 +.sym 13675 KEYBOARD.last_data[8] +.sym 13742 RESET +.sym 13743 $false +.sym 13744 $false +.sym 13745 $false +.sym 13752 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 13753 KEYBOARD.row_counter[0] +.sym 13754 KEYBOARD.row_counter[1] +.sym 13755 KEYBOARD.last_data[0] +.sym 13767 $abc$60421$n859 +.sym 13768 $abc$60421$n858 +.sym 13769 $abc$60421$n854 +.sym 13770 $false +.sym 13772 $auto$alumacc.cc:470:replace_alu$22811.B_buf[0] +.sym 13773 $false +.sym 13774 $false +.sym 13775 $false +.sym 13777 $techmap\KEYBOARD.$procmux$3193_Y +.sym 13778 $false +.sym 13779 $false +.sym 13780 $false +.sym 13781 $auto$dff2dffe.cc:175:make_patterns_logic$57616 +.sym 13782 CLK$2$2 +.sym 13783 $0\KBD_FREEZE[0:0]$2 +.sym 13828 $0\KBD_FREEZE[0:0] +.sym 13858 $auto$simplemap.cc:256:simplemap_eqne$23468 +.sym 13884 $auto$alumacc.cc:483:replace_alu$22849[4] +.sym 13887 $auto$alumacc.cc:483:replace_alu$22849[5] +.sym 13888 $auto$alumacc.cc:483:replace_alu$22849[6] +.sym 13889 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[1] +.sym 13890 $add$top.v:47$12_Y[0] +.sym 13891 rststate[0] +.sym 13921 $true +.sym 13958 $auto$alumacc.cc:483:replace_alu$22849[0]$2 +.sym 13959 $false +.sym 13960 $auto$alumacc.cc:483:replace_alu$22849[0] +.sym 13961 $false +.sym 13962 $false +.sym 13963 $auto$alumacc.cc:470:replace_alu$22837.C[2] +.sym 13965 $true$2 +.sym 13966 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[1] +.sym 13968 $auto$alumacc.cc:470:replace_alu$22837.C[3] +.sym 13970 $false +.sym 13971 $sub$top.v:74$27_Y[2] +.sym 13973 $auto$alumacc.cc:470:replace_alu$22837.C[4] +.sym 13975 $false +.sym 13976 $auto$alumacc.cc:470:replace_alu$22837.BB[3] +.sym 13978 $auto$alumacc.cc:470:replace_alu$22837.C[5] +.sym 13980 $false +.sym 13981 $auto$alumacc.cc:483:replace_alu$22849[4] +.sym 13983 $auto$alumacc.cc:470:replace_alu$22837.C[6] +.sym 13985 $false +.sym 13986 $auto$alumacc.cc:483:replace_alu$22849[5] +.sym 13988 $auto$alumacc.cc:470:replace_alu$22837.C[7] +.sym 13990 $false +.sym 13991 $auto$alumacc.cc:483:replace_alu$22849[6] +.sym 13993 $auto$alumacc.cc:484:replace_alu$22839[7]$2 +.sym 13995 $false +.sym 13996 $auto$alumacc.cc:483:replace_alu$22849[7] +.sym 14005 $abc$60421$n641 +.sym 14006 $abc$60421$n977_1 +.sym 14007 $abc$60421$n658_1 +.sym 14009 $abc$60421$n982 +.sym 14010 $abc$60421$n640 +.sym 14012 last_wr +.sym 14118 $auto$alumacc.cc:484:replace_alu$22839[7]$2 +.sym 14120 I2C_INPUT_DATA[2][1] +.sym 14121 I2C_INPUT_DATA[2][0] +.sym 14122 I2C_INPUT_DATA[2][2] +.sym 14123 I2C_INPUT_DATA[2][3] +.sym 14125 $abc$60421$n650 +.sym 14126 $abc$60421$n660 +.sym 14127 I2C_INPUT_DATA[2][2] +.sym 14128 I2C_INPUT_DATA[2][3] +.sym 14130 I2C_INPUT_DATA[2][1] +.sym 14131 I2C_INPUT_DATA[3][0] +.sym 14132 I2C_INPUT_DATA[3][1] +.sym 14133 I2C_INPUT_DATA[2][0] +.sym 14135 I2C.received_byte[2] +.sym 14136 $false +.sym 14137 $false +.sym 14138 $false +.sym 14140 I2C.received_byte[0] +.sym 14141 $false +.sym 14142 $false +.sym 14143 $false +.sym 14145 I2C.received_byte[1] +.sym 14146 $false +.sym 14147 $false +.sym 14148 $false +.sym 14150 I2C.received_byte[3] +.sym 14151 $false +.sym 14152 $false +.sym 14153 $false +.sym 14154 $auto$dff2dffe.cc:158:make_patterns_logic$49819 +.sym 14155 CLK$2$2 +.sym 14156 $false +.sym 14157 $abc$60421$n978 +.sym 14158 $abc$60421$n637 +.sym 14159 $abc$60421$n979 +.sym 14160 $abc$60421$n638 +.sym 14161 $abc$60421$n980 +.sym 14162 $abc$60421$n657 +.sym 14163 $abc$60421$n662 +.sym 14164 I2C_INPUT_DATA[3][0] +.sym 14231 $abc$60421$n640 +.sym 14232 $abc$60421$n649 +.sym 14233 $abc$60421$n651 +.sym 14234 $abc$60421$n656 +.sym 14236 $abc$60421$n650 +.sym 14237 I2C_INPUT_DATA[2][6] +.sym 14238 I2C_INPUT_DATA[2][7] +.sym 14239 $false +.sym 14241 $abc$60421$n640 +.sym 14242 $abc$60421$n1017 +.sym 14243 $abc$60421$n1019 +.sym 14244 I2C_INPUT_DATA[3][0] +.sym 14246 $abc$60421$n651 +.sym 14247 $abc$60421$n1018 +.sym 14248 $false +.sym 14249 $false +.sym 14251 I2C_INPUT_DATA[2][5] +.sym 14252 I2C_INPUT_DATA[3][0] +.sym 14253 I2C_INPUT_DATA[2][4] +.sym 14254 I2C_INPUT_DATA[3][1] +.sym 14256 $abc$60421$n649 +.sym 14257 I2C_INPUT_DATA[2][5] +.sym 14258 I2C_INPUT_DATA[2][4] +.sym 14259 I2C_INPUT_DATA[3][1] +.sym 14261 I2C_INPUT_DATA[2][5] +.sym 14262 I2C_INPUT_DATA[2][4] +.sym 14263 I2C_INPUT_DATA[2][6] +.sym 14264 I2C_INPUT_DATA[2][7] +.sym 14266 I2C.received_byte[5] +.sym 14267 $false +.sym 14268 $false +.sym 14269 $false +.sym 14270 $auto$dff2dffe.cc:158:make_patterns_logic$49819 +.sym 14271 CLK$2$2 +.sym 14272 $false +.sym 14273 $abc$60421$n680 +.sym 14274 $abc$60421$n636 +.sym 14275 $auto$rtlil.cc:1692:NotGate$60252 +.sym 14276 $abc$60421$n625 +.sym 14277 $abc$60421$n621 +.sym 14278 $abc$60421$n632 +.sym 14279 $abc$60421$n618 +.sym 14280 $auto$dff2dffe.cc:175:make_patterns_logic$46377 +.sym 14347 $abc$60421$n621 +.sym 14348 $2\INT[0:0] +.sym 14349 $false +.sym 14350 $false +.sym 14352 I2C_OUTPUT_TYPE[2] +.sym 14353 I2C_OUTPUT_TYPE[0] +.sym 14354 I2C_OUTPUT_TYPE[1] +.sym 14355 $auto$alumacc.cc:484:replace_alu$22868[7] +.sym 14367 I2C_OUTPUT_TYPE[2] +.sym 14368 I2C_OUTPUT_TYPE[0] +.sym 14369 I2C_OUTPUT_TYPE[1] +.sym 14370 $false +.sym 14382 I2C.received_byte[4] +.sym 14383 $false +.sym 14384 $false +.sym 14385 $false +.sym 14386 $auto$dff2dffe.cc:158:make_patterns_logic$49819 +.sym 14387 CLK$2$2 +.sym 14388 $false +.sym 14389 $abc$60421$n630 +.sym 14390 $abc$60421$n631 +.sym 14391 $auto$simplemap.cc:127:simplemap_reduce$45588[1] +.sym 14392 $auto$dff2dffe.cc:175:make_patterns_logic$45702 +.sym 14393 $abc$60421$n629 +.sym 14394 $abc$60421$n1210 +.sym 14395 $abc$60421$n617 +.sym 14396 I2C_INPUT_DATA[4][2] +.sym 14463 I2C.is_read +.sym 14464 $abc$60421$n620 +.sym 14465 $abc$60421$n629 +.sym 14466 $false +.sym 14468 I2C.byte_counter[4] +.sym 14469 I2C.byte_counter[5] +.sym 14470 I2C.byte_counter[6] +.sym 14471 I2C.byte_counter[7] +.sym 14483 I2C.byte_counter[4] +.sym 14484 I2C.byte_counter[6] +.sym 14485 I2C.byte_counter[7] +.sym 14486 $false +.sym 14488 I2C.byte_counter[1] +.sym 14489 I2C.byte_counter[3] +.sym 14490 I2C.byte_counter[2] +.sym 14491 I2C.byte_counter[0] +.sym 14493 $abc$60421$n634 +.sym 14494 I2C.is_read +.sym 14495 $abc$60421$n620 +.sym 14496 $abc$60421$n629 +.sym 14498 $2\INT[0:0] +.sym 14499 $false +.sym 14500 $false +.sym 14501 $false +.sym 14502 $auto$dff2dffe.cc:175:make_patterns_logic$45905 +.sym 14503 CLK$2$2 +.sym 14504 $0\KBD_FREEZE[0:0]$2 +.sym 14505 $abc$60421$n1209 +.sym 14506 $abc$60421$n1153 +.sym 14507 $abc$60421$n1200 +.sym 14508 $abc$60421$n1170 +.sym 14509 $abc$60421$n1197 +.sym 14510 $abc$60421$n1206 +.sym 14511 $abc$60421$n1205_1 +.sym 14512 $abc$60421$n1181 +.sym 14579 $abc$60421$n1146 +.sym 14580 $abc$60421$n1139 +.sym 14581 $abc$60421$n924 +.sym 14582 $false +.sym 14584 I2C.byte_counter[1] +.sym 14585 I2C.byte_counter[3] +.sym 14586 I2C.byte_counter[2] +.sym 14587 I2C.byte_counter[0] +.sym 14589 $abc$60421$n1144 +.sym 14590 $abc$60421$n1134 +.sym 14591 $abc$60421$n1199 +.sym 14592 $false +.sym 14594 $abc$60421$n1153 +.sym 14595 I2C.byte_counter[5] +.sym 14596 $abc$60421$n922_1 +.sym 14597 $abc$60421$n1135_1 +.sym 14599 I2C.byte_counter[1] +.sym 14600 I2C.byte_counter[3] +.sym 14601 I2C.byte_counter[2] +.sym 14602 I2C.byte_counter[0] +.sym 14604 $abc$60421$n1135_1 +.sym 14605 I2C.byte_counter[5] +.sym 14606 $false +.sym 14607 $false +.sym 14609 $abc$60421$n1143 +.sym 14610 $abc$60421$n1144 +.sym 14611 $false +.sym 14612 $false +.sym 14614 $auto$simplemap.cc:250:simplemap_eqne$53051[2] +.sym 14615 $false +.sym 14616 $false +.sym 14617 $false +.sym 14618 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 14619 CLK$2$2 +.sym 14620 $false +.sym 14621 $abc$60421$n1169 +.sym 14622 $abc$60421$n1160 +.sym 14623 $abc$60421$n1150 +.sym 14624 $abc$60421$n1194_1 +.sym 14625 $abc$60421$n1173 +.sym 14626 $abc$60421$n1196 +.sym 14627 $abc$60421$n1190 +.sym 14628 I2C_HID_DESC.VAL[3] +.sym 14695 $abc$60421$n1176 +.sym 14696 $abc$60421$n1146 +.sym 14697 $abc$60421$n1064 +.sym 14698 $abc$60421$n1134 +.sym 14700 $abc$60421$n921 +.sym 14701 $abc$60421$n922_1 +.sym 14702 $false +.sym 14703 $false +.sym 14705 $abc$60421$n1147_1 +.sym 14706 $abc$60421$n1064 +.sym 14707 $abc$60421$n1146 +.sym 14708 $false +.sym 14710 $abc$60421$n922_1 +.sym 14711 $abc$60421$n1162 +.sym 14712 $false +.sym 14713 $false +.sym 14715 $abc$60421$n1139 +.sym 14716 $abc$60421$n921 +.sym 14717 $false +.sym 14718 $false +.sym 14720 $abc$60421$n1146 +.sym 14721 $abc$60421$n1158 +.sym 14722 $abc$60421$n1162 +.sym 14723 $abc$60421$n1134 +.sym 14725 $abc$60421$n1146 +.sym 14726 $abc$60421$n1142 +.sym 14727 $auto$rtlil.cc:1692:NotGate$60416 +.sym 14728 $abc$60421$n1145 +.sym 14730 $abc$60421$n1158 +.sym 14731 $abc$60421$n1151 +.sym 14732 $auto$rtlil.cc:1692:NotGate$60416 +.sym 14733 $abc$60421$n1145 +.sym 14737 $abc$60421$n1149 +.sym 14738 $abc$60421$n1202 +.sym 14739 $abc$60421$n1185 +.sym 14740 $abc$60421$n1168_1 +.sym 14741 $abc$60421$n1192 +.sym 14742 I2C_HID_DESC.VAL[4] +.sym 14743 I2C_HID_DESC.VAL[1] +.sym 14744 I2C_HID_DESC.VAL[2] +.sym 14811 $abc$60421$n1143 +.sym 14812 $abc$60421$n1139 +.sym 14813 $abc$60421$n1179 +.sym 14814 $false +.sym 14816 $abc$60421$n1187 +.sym 14817 $abc$60421$n1188 +.sym 14818 $abc$60421$n1189 +.sym 14819 $abc$60421$n1148 +.sym 14821 I2C_OUTPUT_TYPE[0] +.sym 14822 I2C_OUTPUT_TYPE[1] +.sym 14823 $false +.sym 14824 $false +.sym 14826 $abc$60421$n1147_1 +.sym 14827 $abc$60421$n1162 +.sym 14828 $abc$60421$n1139 +.sym 14829 $false +.sym 14831 $abc$60421$n1187 +.sym 14832 $abc$60421$n1161_1 +.sym 14833 $abc$60421$n1148 +.sym 14834 $auto$rtlil.cc:1692:NotGate$60416 +.sym 14836 $abc$60421$n1132 +.sym 14837 $abc$60421$n1141 +.sym 14838 $abc$60421$n923 +.sym 14839 $abc$60421$n1148 +.sym 14841 $abc$60421$n1026 +.sym 14842 $2\INT[0:0] +.sym 14843 $false +.sym 14844 $false +.sym 14846 $abc$60421$n1015 +.sym 14847 $2\INT[0:0] +.sym 14848 $false +.sym 14849 $false +.sym 14850 $auto$dff2dffe.cc:175:make_patterns_logic$48057$2 +.sym 14851 CLK$2$2 +.sym 14852 $0\KBD_FREEZE[0:0]$2 +.sym 14853 $abc$60421$n1177 +.sym 14854 $abc$60421$n1159 +.sym 14855 $abc$60421$n1178 +.sym 14856 $abc$60421$n1204 +.sym 14857 $abc$60421$n1208 +.sym 14858 $abc$60421$n1130 +.sym 14859 $abc$60421$n1179 +.sym 14860 I2C_HID_DESC.VAL[6] +.sym 14927 $abc$60421$n1006 +.sym 14928 $abc$60421$n998 +.sym 14929 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] +.sym 14930 $false +.sym 14932 $abc$60421$n1010 +.sym 14933 $abc$60421$n1002 +.sym 14934 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] +.sym 14935 $false +.sym 14937 I2C_TX_REPORT[6] +.sym 14938 I2C_HID_DESC.VAL[6] +.sym 14939 I2C_OUT_DESC_MASK[6] +.sym 14940 $false +.sym 14942 I2C.received_byte[0] +.sym 14943 $abc$60421$n998 +.sym 14944 I2C.is_read +.sym 14945 $false +.sym 14947 I2C.received_byte[4] +.sym 14948 $abc$60421$n1006 +.sym 14949 I2C.is_read +.sym 14950 $false +.sym 14952 I2C.received_byte[1] +.sym 14953 $abc$60421$n1000 +.sym 14954 I2C.is_read +.sym 14955 $false +.sym 14957 I2C.received_byte[6] +.sym 14958 $abc$60421$n1010 +.sym 14959 I2C.is_read +.sym 14960 $false +.sym 14962 I2C.received_byte[2] +.sym 14963 $abc$60421$n1002 +.sym 14964 I2C.is_read +.sym 14965 $false +.sym 14966 $auto$dff2dffe.cc:175:make_patterns_logic$45702 +.sym 14967 CLK$2$2 +.sym 14968 $auto$rtlil.cc:1692:NotGate$60252 +.sym 14970 $abc$60421$n1099 +.sym 14971 $abc$60421$n1004 +.sym 14972 $memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 +.sym 14973 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 14974 $abc$60421$n1096 +.sym 14976 I2C.received_byte[1] +.sym 15043 $abc$60421$n1103 +.sym 15044 $abc$60421$n1102 +.sym 15045 $abc$60421$n1097 +.sym 15046 $false +.sym 15053 UART_TX_DATA[4] +.sym 15054 UART_TX_DATA[0] +.sym 15055 $techmap\UART.$sub$uart.v:38$347_Y[2] +.sym 15056 $false +.sym 15058 $abc$60421$n590 +.sym 15059 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 15060 $abc$60421$n868 +.sym 15061 $abc$60421$n866 +.sym 15063 UART_TX_DATA[6] +.sym 15064 UART_TX_DATA[2] +.sym 15065 $techmap\UART.$sub$uart.v:38$347_Y[2] +.sym 15066 $false +.sym 15068 $abc$60421$n590 +.sym 15069 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 15070 $abc$60421$n856 +.sym 15071 $abc$60421$n870 +.sym 15073 $abc$60421$n590 +.sym 15074 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 15075 $abc$60421$n868 +.sym 15076 $abc$60421$n866 +.sym 15078 $techmap\KEYBOARD.$procmux$3661_Y +.sym 15079 $false +.sym 15080 $false +.sym 15081 $false +.sym 15082 $auto$dff2dffe.cc:175:make_patterns_logic$57905 +.sym 15083 CLK$2$2 +.sym 15084 $0\KBD_FREEZE[0:0]$2 +.sym 15085 $abc$60421$n889 +.sym 15086 $abc$60421$n998 +.sym 15087 $techmap\KEYBOARD.$procmux$3661_Y +.sym 15088 $abc$60421$n1047 +.sym 15089 $abc$60421$n750 +.sym 15090 I2C_HID_DESC.VAL[7] +.sym 15091 I2C_HID_DESC.VAL[5] +.sym 15092 I2C_HID_DESC.VAL[0] +.sym 15159 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 15160 KEYBOARD.row_counter[0] +.sym 15161 KEYBOARD.row_counter[1] +.sym 15162 KEYBOARD.last_data[3] +.sym 15164 $abc$60421$n594 +.sym 15165 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 15166 $abc$60421$n856 +.sym 15167 $abc$60421$n883 +.sym 15169 KEYBOARD.row_counter[1] +.sym 15170 KEYBOARD.last_data[7] +.sym 15171 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 15172 KEYBOARD.row_counter[0] +.sym 15174 $abc$60421$n594 +.sym 15175 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 15176 $abc$60421$n868 +.sym 15177 $abc$60421$n866 +.sym 15179 $abc$60421$n564 +.sym 15180 $abc$60421$n563 +.sym 15181 $abc$60421$n565 +.sym 15182 $abc$60421$n566 +.sym 15184 $abc$60421$n573 +.sym 15185 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 15186 $abc$60421$n856 +.sym 15187 $abc$60421$n889 +.sym 15189 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 15190 KEYBOARD.row_counter[0] +.sym 15191 KEYBOARD.row_counter[1] +.sym 15192 KEYBOARD.last_data[15] +.sym 15194 $techmap\KEYBOARD.$procmux$3661_Y +.sym 15195 $false +.sym 15196 $false +.sym 15197 $false +.sym 15198 $auto$dff2dffe.cc:175:make_patterns_logic$59021 +.sym 15199 CLK$2$2 +.sym 15200 $0\KBD_FREEZE[0:0]$2 +.sym 15201 $abc$60421$n721 +.sym 15202 $abc$60421$n738 +.sym 15203 $abc$60421$n736 +.sym 15204 $abc$60421$n734 +.sym 15205 $abc$60421$n751 +.sym 15206 $abc$60421$n737 +.sym 15207 $abc$60421$n749 +.sym 15208 I2C.received_byte[2] +.sym 15275 $abc$60421$n544_1 +.sym 15276 $abc$60421$n594 +.sym 15277 $abc$60421$n555_1 +.sym 15278 $false +.sym 15280 $abc$60421$n580 +.sym 15281 $abc$60421$n590 +.sym 15282 $abc$60421$n582 +.sym 15283 $false +.sym 15285 $abc$60421$n720 +.sym 15286 $abc$60421$n721 +.sym 15287 $abc$60421$n724 +.sym 15288 $abc$60421$n725 +.sym 15290 $abc$60421$n580 +.sym 15291 $abc$60421$n583 +.sym 15292 $abc$60421$n582 +.sym 15293 $false +.sym 15295 $abc$60421$n583 +.sym 15296 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 15297 $abc$60421$n856 +.sym 15298 $abc$60421$n877 +.sym 15300 $abc$60421$n583 +.sym 15301 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 15302 $abc$60421$n868 +.sym 15303 $abc$60421$n866 +.sym 15305 $abc$60421$n726 +.sym 15306 $abc$60421$n587_1 +.sym 15307 $abc$60421$n727 +.sym 15308 $false +.sym 15310 $techmap\KEYBOARD.$procmux$3661_Y +.sym 15311 $false +.sym 15312 $false +.sym 15313 $false +.sym 15314 $auto$dff2dffe.cc:175:make_patterns_logic$58277 +.sym 15315 CLK$2$2 +.sym 15316 $0\KBD_FREEZE[0:0]$2 +.sym 15317 $abc$60421$n690 +.sym 15318 $abc$60421$n579 +.sym 15319 $abc$60421$n726 +.sym 15320 I2C_TRANS +.sym 15321 $abc$60421$n686 +.sym 15322 $abc$60421$n561 +.sym 15323 I2C.i2c_state_machine +.sym 15391 $abc$60421$n583 +.sym 15392 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 15393 $abc$60421$n856 +.sym 15394 $abc$60421$n875 +.sym 15396 $abc$60421$n594 +.sym 15397 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 15398 $abc$60421$n868 +.sym 15399 $abc$60421$n866 +.sym 15401 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 15402 KEYBOARD.row_counter[0] +.sym 15403 KEYBOARD.row_counter[1] +.sym 15404 KEYBOARD.last_data[14] +.sym 15406 $abc$60421$n567_1 +.sym 15407 $abc$60421$n562 +.sym 15408 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 15409 KEYBOARD.COLS_SHADOW[2] +.sym 15411 $abc$60421$n583 +.sym 15412 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 15413 $abc$60421$n868 +.sym 15414 $abc$60421$n866 +.sym 15416 $abc$60421$n573 +.sym 15417 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 15418 $abc$60421$n868 +.sym 15419 $abc$60421$n866 +.sym 15421 $abc$60421$n573 +.sym 15422 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 15423 $abc$60421$n856 +.sym 15424 $abc$60421$n887_1 +.sym 15426 $techmap\KEYBOARD.$procmux$3661_Y +.sym 15427 $false +.sym 15428 $false +.sym 15429 $false +.sym 15430 $auto$dff2dffe.cc:175:make_patterns_logic$58916 +.sym 15431 CLK$2$2 +.sym 15432 $0\KBD_FREEZE[0:0]$2 +.sym 15433 $abc$60421$n595 +.sym 15434 $abc$60421$n597 +.sym 15435 $abc$60421$n592 +.sym 15436 $abc$60421$n596 +.sym 15437 $techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] +.sym 15438 $abc$60421$n612 +.sym 15439 $auto$dff2dffe.cc:158:make_patterns_logic$49447 +.sym 15440 I2C.i2c_start_latency +.sym 15507 $abc$60421$n856 +.sym 15508 $abc$60421$n857_1 +.sym 15509 $false +.sym 15510 $false +.sym 15512 $abc$60421$n727 +.sym 15513 $abc$60421$n857_1 +.sym 15514 $abc$60421$n867 +.sym 15515 $false +.sym 15517 $abc$60421$n550 +.sym 15518 KEYBOARD.COLS_SHADOW[0] +.sym 15519 $false +.sym 15520 $false +.sym 15522 $abc$60421$n555_1 +.sym 15523 $abc$60421$n582 +.sym 15524 $abc$60421$n722 +.sym 15525 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 15527 $abc$60421$n550 +.sym 15528 $abc$60421$n583 +.sym 15529 $abc$60421$n582 +.sym 15530 KEYBOARD.COLS_SHADOW[0] +.sym 15532 KEYBOARD.is_pressed +.sym 15533 $abc$60421$n579 +.sym 15534 $abc$60421$n722 +.sym 15535 $abc$60421$n766 +.sym 15537 KEYBOARD.COLS_SHADOW[1] +.sym 15538 KEYBOARD.COLS_SHADOW[0] +.sym 15539 $abc$60421$n582 +.sym 15540 $false +.sym 15542 $techmap\KEYBOARD.$procmux$3193_Y +.sym 15543 $false +.sym 15544 $false +.sym 15545 $false +.sym 15546 $auto$dff2dffe.cc:175:make_patterns_logic$57988 +.sym 15547 CLK$2$2 +.sym 15548 $0\KBD_FREEZE[0:0]$2 +.sym 15552 $auto$dff2dffe.cc:175:make_patterns_logic$49554 +.sym 15553 $techmap\UART.$procmux$739_Y +.sym 15555 $abc$60421$n573 +.sym 15556 UART.tx_activity +.sym 15623 $abc$60421$n582 +.sym 15624 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 15625 $false +.sym 15626 $false +.sym 15628 $abc$60421$n855 +.sym 15629 $abc$60421$n861 +.sym 15630 $abc$60421$n756 +.sym 15631 $false +.sym 15633 $abc$60421$n860 +.sym 15634 $abc$60421$n861 +.sym 15635 $false +.sym 15636 $false +.sym 15638 $abc$60421$n860 +.sym 15639 $abc$60421$n861 +.sym 15640 $abc$60421$n756 +.sym 15641 $false +.sym 15643 $abc$60421$n860 +.sym 15644 $auto$simplemap.cc:250:simplemap_eqne$33831[0] +.sym 15645 $false +.sym 15646 $false +.sym 15648 $abc$60421$n872 +.sym 15649 $abc$60421$n573 +.sym 15650 $abc$60421$n859 +.sym 15651 $abc$60421$n854 +.sym 15653 $abc$60421$n855 +.sym 15654 $abc$60421$n756 +.sym 15655 $false +.sym 15656 $false +.sym 15658 $techmap\KEYBOARD.$procmux$3193_Y +.sym 15659 $false +.sym 15660 $false +.sym 15661 $false +.sym 15662 $auto$dff2dffe.cc:175:make_patterns_logic$58732 +.sym 15663 CLK$2$2 +.sym 15664 $0\KBD_FREEZE[0:0]$2 +.sym 15667 $abc$60421$n1097 +.sym 15668 $auto$alumacc.cc:470:replace_alu$22811.B_buf[0] +.sym 15670 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 15671 UART.tx_bit_counter[0] +.sym 15672 UART.tx_bit_counter[1] +.sym 15739 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 15740 KEYBOARD.row_counter[0] +.sym 15741 KEYBOARD.row_counter[1] +.sym 15742 KEYBOARD.last_data[8] +.sym 15744 KEYBOARD.row_counter[1] +.sym 15745 KEYBOARD.last_data[12] +.sym 15746 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 15747 KEYBOARD.row_counter[0] +.sym 15749 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 15750 KEYBOARD.row_counter[0] +.sym 15751 KEYBOARD.row_counter[1] +.sym 15752 KEYBOARD.last_data[4] +.sym 15754 $abc$60421$n872 +.sym 15755 $abc$60421$n583 +.sym 15756 $abc$60421$n859 +.sym 15757 $abc$60421$n854 +.sym 15759 $abc$60421$n552_1 +.sym 15760 $abc$60421$n551 +.sym 15761 $abc$60421$n553 +.sym 15762 $abc$60421$n554 +.sym 15769 $abc$60421$n872 +.sym 15770 $abc$60421$n594 +.sym 15771 $abc$60421$n859 +.sym 15772 $abc$60421$n854 +.sym 15774 $techmap\KEYBOARD.$procmux$3193_Y +.sym 15775 $false +.sym 15776 $false +.sym 15777 $false +.sym 15778 $auto$dff2dffe.cc:175:make_patterns_logic$58360 +.sym 15779 CLK$2$2 +.sym 15780 $0\KBD_FREEZE[0:0]$2 +.sym 15825 $memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 +.sym 15883 $sub$top.v:60$17_Y[2] +.sym 15884 $sub$top.v:60$17_Y[3] +.sym 15885 $sub$top.v:60$17_Y[4] +.sym 15886 $sub$top.v:60$17_Y[5] +.sym 15887 $sub$top.v:60$17_Y[6] +.sym 15888 $auto$alumacc.cc:484:replace_alu$22876[6] +.sym 15956 I2C.byte_counter[4] +.sym 15957 $false +.sym 15958 $false +.sym 15959 $false +.sym 15971 I2C.byte_counter[5] +.sym 15972 $false +.sym 15973 $false +.sym 15974 $false +.sym 15976 I2C.byte_counter[6] +.sym 15977 $false +.sym 15978 $false +.sym 15979 $false +.sym 15981 I2C.byte_counter[1] +.sym 15982 $false +.sym 15983 $false +.sym 15984 $false +.sym 15986 $false +.sym 15987 $0\KBD_FREEZE[0:0]$2 +.sym 15988 rststate[0] +.sym 15989 $false +.sym 15991 $abc$60421$n977_1 +.sym 15992 $add$top.v:47$12_Y[0] +.sym 15993 $false +.sym 15994 $false +.sym 15995 $true +.sym 15996 CLK$2$2 +.sym 15997 $false +.sym 16002 $sub$top.v:60$17_Y[7] +.sym 16003 I2C_INPUT_LEN[6] +.sym 16004 I2C_INPUT_LEN[5] +.sym 16005 I2C_INPUT_LEN[2] +.sym 16006 I2C_INPUT_LEN[3] +.sym 16008 I2C_INPUT_LEN[4] +.sym 16009 I2C_INPUT_LEN[7] +.sym 16112 $abc$60421$n642 +.sym 16113 $abc$60421$n645 +.sym 16114 $abc$60421$n646_1 +.sym 16115 $false +.sym 16117 $abc$60421$n978 +.sym 16118 $abc$60421$n982 +.sym 16119 $abc$60421$n645 +.sym 16120 $false +.sym 16122 $abc$60421$n659_1 +.sym 16123 $abc$60421$n646_1 +.sym 16124 $abc$60421$n661 +.sym 16125 $false +.sym 16132 $abc$60421$n642 +.sym 16133 $abc$60421$n646_1 +.sym 16134 $false +.sym 16135 $false +.sym 16137 $abc$60421$n641 +.sym 16138 $abc$60421$n647 +.sym 16139 $abc$60421$n648 +.sym 16140 $false +.sym 16147 I2C.wr +.sym 16148 $false +.sym 16149 $false +.sym 16150 $false +.sym 16151 RESET +.sym 16152 CLK$2$2 +.sym 16153 $false +.sym 16154 $sub$top.v:60$17_Y[0] +.sym 16155 $auto$alumacc.cc:483:replace_alu$22867[6] +.sym 16156 $abc$60421$n981_1 +.sym 16157 $abc$60421$n669_1 +.sym 16158 $abc$60421$n1018 +.sym 16159 $abc$60421$n671_1 +.sym 16160 I2C_INPUT_LEN[1] +.sym 16161 I2C_INPUT_LEN[0] +.sym 16228 $abc$60421$n979 +.sym 16229 $abc$60421$n621 +.sym 16230 $abc$60421$n662 +.sym 16231 $abc$60421$n981_1 +.sym 16233 $abc$60421$n638 +.sym 16234 $abc$60421$n669_1 +.sym 16235 $abc$60421$n671_1 +.sym 16236 I2C.is_read +.sym 16238 $abc$60421$n980 +.sym 16239 $abc$60421$n665_1 +.sym 16240 $abc$60421$n648 +.sym 16241 $false +.sym 16243 $abc$60421$n657 +.sym 16244 $abc$60421$n667_1 +.sym 16245 $abc$60421$n664 +.sym 16246 $abc$60421$n639 +.sym 16248 $2\INT[0:0] +.sym 16249 I2C_INPUT_DATA[3][0] +.sym 16250 I2C_INPUT_DATA[3][1] +.sym 16251 I2C.is_read +.sym 16253 $abc$60421$n658_1 +.sym 16254 $abc$60421$n642 +.sym 16255 $abc$60421$n662 +.sym 16256 $false +.sym 16258 $abc$60421$n647 +.sym 16259 $abc$60421$n663 +.sym 16260 $false +.sym 16261 $false +.sym 16263 I2C.received_byte[0] +.sym 16264 $false +.sym 16265 $false +.sym 16266 $false +.sym 16267 $auto$simplemap.cc:250:simplemap_eqne$49500 +.sym 16268 CLK$2$2 +.sym 16269 $false +.sym 16270 $abc$60421$n675 +.sym 16271 $abc$60421$n676_1 +.sym 16272 $abc$60421$n673_1 +.sym 16273 $auto$dff2dffe.cc:175:make_patterns_logic$48550 +.sym 16274 $abc$60421$n674_1 +.sym 16275 $auto$dff2dffe.cc:175:make_patterns_logic$46096 +.sym 16276 $abc$60421$n672 +.sym 16277 I2C_INPUT_DATA[5][3] +.sym 16344 $abc$60421$n669_1 +.sym 16345 I2C.is_read +.sym 16346 $abc$60421$n620 +.sym 16347 $abc$60421$n631 +.sym 16349 $2\INT[0:0] +.sym 16350 $abc$60421$n637 +.sym 16351 $abc$60421$n625 +.sym 16352 $false +.sym 16354 I2C.wr +.sym 16355 last_wr +.sym 16356 $false +.sym 16357 $false +.sym 16359 $abc$60421$n621 +.sym 16360 $0\uart_double_ff[0:0] +.sym 16361 $false +.sym 16362 $false +.sym 16364 $abc$60421$n618 +.sym 16365 $auto$rtlil.cc:1692:NotGate$60252 +.sym 16366 $false +.sym 16367 $false +.sym 16369 $0\uart_double_ff[0:0] +.sym 16370 $auto$rtlil.cc:1692:NotGate$60252 +.sym 16371 $abc$60421$n618 +.sym 16372 $false +.sym 16374 last_wr +.sym 16375 I2C.wr +.sym 16376 RESET +.sym 16377 $false +.sym 16379 $2\INT[0:0] +.sym 16380 $abc$60421$n627 +.sym 16381 $abc$60421$n625 +.sym 16382 $abc$60421$n632 +.sym 16386 $techmap\UART.$sub$uart.v:30$342_Y[0] +.sym 16387 $techmap\UART.$sub$uart.v:30$342_Y[3] +.sym 16388 $auto$dff2dffe.cc:158:make_patterns_logic$49597 +.sym 16389 $abc$60421$n707 +.sym 16390 $abc$60421$n708 +.sym 16391 UART.tx_clk_counter[3] +.sym 16392 UART.tx_clk_counter[0] +.sym 16393 UART.tx_clk_counter[2] +.sym 16460 $abc$60421$n625 +.sym 16461 $2\INT[0:0] +.sym 16462 $false +.sym 16463 $false +.sym 16465 $abc$60421$n617 +.sym 16466 $abc$60421$n632 +.sym 16467 $false +.sym 16468 $false +.sym 16470 $abc$60421$n620 +.sym 16471 $abc$60421$n617 +.sym 16472 $false +.sym 16473 $false +.sym 16475 $2\INT[0:0] +.sym 16476 $abc$60421$n627 +.sym 16477 $abc$60421$n625 +.sym 16478 $abc$60421$n618 +.sym 16480 $abc$60421$n627 +.sym 16481 $abc$60421$n633 +.sym 16482 $abc$60421$n630 +.sym 16483 $abc$60421$n631 +.sym 16485 I2C.byte_counter[4] +.sym 16486 I2C.byte_counter[5] +.sym 16487 I2C.byte_counter[6] +.sym 16488 I2C.byte_counter[7] +.sym 16490 $abc$60421$n618 +.sym 16491 RESET +.sym 16492 $false +.sym 16493 $false +.sym 16495 I2C.received_byte[2] +.sym 16496 $false +.sym 16497 $false +.sym 16498 $false +.sym 16499 $memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322$2 +.sym 16500 CLK$2$2 +.sym 16501 $false +.sym 16502 $abc$60421$n1053_1 +.sym 16503 $abc$60421$n1054 +.sym 16504 $abc$60421$n633 +.sym 16505 $abc$60421$n1152 +.sym 16506 $abc$60421$n704 +.sym 16507 $abc$60421$n1138 +.sym 16508 UART.TX_sig_last +.sym 16509 last_isr +.sym 16576 $abc$60421$n1210 +.sym 16577 $abc$60421$n1053_1 +.sym 16578 $abc$60421$n1205_1 +.sym 16579 $false +.sym 16581 I2C.byte_counter[1] +.sym 16582 I2C.byte_counter[3] +.sym 16583 I2C.byte_counter[2] +.sym 16584 I2C.byte_counter[0] +.sym 16586 $abc$60421$n1138 +.sym 16587 $abc$60421$n921 +.sym 16588 $false +.sym 16589 $false +.sym 16591 $abc$60421$n1146 +.sym 16592 $abc$60421$n1138 +.sym 16593 $abc$60421$n1053_1 +.sym 16594 $abc$60421$n1171 +.sym 16596 $abc$60421$n921 +.sym 16597 $abc$60421$n1152 +.sym 16598 $abc$60421$n1198 +.sym 16599 $false +.sym 16601 I2C.byte_counter[1] +.sym 16602 I2C.byte_counter[3] +.sym 16603 I2C.byte_counter[2] +.sym 16604 I2C.byte_counter[0] +.sym 16606 $abc$60421$n1147_1 +.sym 16607 $abc$60421$n1206 +.sym 16608 $abc$60421$n921 +.sym 16609 $false +.sym 16611 $abc$60421$n921 +.sym 16612 $abc$60421$n1153 +.sym 16613 $abc$60421$n1053_1 +.sym 16614 $abc$60421$n1134 +.sym 16618 $abc$60421$n1167 +.sym 16619 $abc$60421$n1172 +.sym 16620 $abc$60421$n1163 +.sym 16621 $abc$60421$n1166 +.sym 16622 $abc$60421$n1164 +.sym 16623 $abc$60421$n1137 +.sym 16624 $abc$60421$n1165 +.sym 16625 I2C.SDA_LAST +.sym 16692 $abc$60421$n1152 +.sym 16693 $abc$60421$n1139 +.sym 16694 $abc$60421$n1172 +.sym 16695 $abc$60421$n1170 +.sym 16697 $abc$60421$n1146 +.sym 16698 $abc$60421$n1136 +.sym 16699 $abc$60421$n1151 +.sym 16700 $abc$60421$n1138 +.sym 16702 $abc$60421$n1152 +.sym 16703 $abc$60421$n1146 +.sym 16704 $abc$60421$n1151 +.sym 16705 $abc$60421$n1153 +.sym 16707 $abc$60421$n1176 +.sym 16708 $abc$60421$n1134 +.sym 16709 $abc$60421$n1172 +.sym 16710 $auto$rtlil.cc:1692:NotGate$60416 +.sym 16712 $abc$60421$n920 +.sym 16713 $abc$60421$n1167 +.sym 16714 $abc$60421$n1158 +.sym 16715 $abc$60421$n1151 +.sym 16717 $abc$60421$n1197 +.sym 16718 $abc$60421$n1150 +.sym 16719 $abc$60421$n1160 +.sym 16720 $false +.sym 16722 $abc$60421$n921 +.sym 16723 $abc$60421$n1167 +.sym 16724 $abc$60421$n1138 +.sym 16725 $auto$rtlil.cc:1692:NotGate$60416 +.sym 16727 $abc$60421$n1185 +.sym 16728 $abc$60421$n1200 +.sym 16729 $abc$60421$n1196 +.sym 16730 $auto$rtlil.cc:1692:NotGate$60416 +.sym 16731 $auto$dff2dffe.cc:175:make_patterns_logic$59498 +.sym 16732 CLK$2$2 +.sym 16733 $false +.sym 16734 $abc$60421$n1132 +.sym 16735 $abc$60421$n1154 +.sym 16736 $abc$60421$n1155 +.sym 16737 $abc$60421$n1052 +.sym 16738 $abc$60421$n1174 +.sym 16739 $abc$60421$n1157 +.sym 16740 $abc$60421$n1156 +.sym 16741 uart_double_ff +.sym 16808 $abc$60421$n1158 +.sym 16809 $abc$60421$n1134 +.sym 16810 $abc$60421$n1150 +.sym 16811 $abc$60421$n1154 +.sym 16813 $abc$60421$n1163 +.sym 16814 $abc$60421$n1169 +.sym 16815 $abc$60421$n1150 +.sym 16816 $false +.sym 16818 $abc$60421$n1133 +.sym 16819 $abc$60421$n920 +.sym 16820 $abc$60421$n1180 +.sym 16821 $false +.sym 16823 $abc$60421$n1169 +.sym 16824 $abc$60421$n1173 +.sym 16825 $abc$60421$n1174 +.sym 16826 $abc$60421$n1175 +.sym 16828 $abc$60421$n1168_1 +.sym 16829 $abc$60421$n1193 +.sym 16830 $abc$60421$n1164 +.sym 16831 $false +.sym 16833 $abc$60421$n1185 +.sym 16834 $abc$60421$n1202 +.sym 16835 $auto$rtlil.cc:1692:NotGate$60416 +.sym 16836 $false +.sym 16838 $abc$60421$n1186 +.sym 16839 $abc$60421$n1184 +.sym 16840 $abc$60421$n1181 +.sym 16841 $abc$60421$n1190 +.sym 16843 $abc$60421$n1194_1 +.sym 16844 $abc$60421$n1184 +.sym 16845 $abc$60421$n1192 +.sym 16846 $false +.sym 16847 $auto$dff2dffe.cc:175:make_patterns_logic$59498 +.sym 16848 CLK$2$2 +.sym 16849 $false +.sym 16851 $abc$60421$n1133 +.sym 16852 $auto$dff2dffe.cc:158:make_patterns_logic$49819 +.sym 16853 $0\uart_double_ff[0:0] +.sym 16854 $auto$simplemap.cc:250:simplemap_eqne$49500 +.sym 16855 $abc$60421$n1136 +.sym 16856 $2\INT[0:0] +.sym 16857 last_trans +.sym 16924 $abc$60421$n1182_1 +.sym 16925 $abc$60421$n1134 +.sym 16926 $abc$60421$n1178 +.sym 16927 $abc$60421$n1181 +.sym 16929 $abc$60421$n1160 +.sym 16930 $abc$60421$n1161_1 +.sym 16931 $false +.sym 16932 $false +.sym 16934 $abc$60421$n1179 +.sym 16935 $abc$60421$n1180 +.sym 16936 $auto$rtlil.cc:1692:NotGate$60416 +.sym 16937 $false +.sym 16939 $abc$60421$n1159 +.sym 16940 $abc$60421$n1170 +.sym 16941 $abc$60421$n1174 +.sym 16942 $false +.sym 16944 $abc$60421$n1163 +.sym 16945 $abc$60421$n1132 +.sym 16946 $abc$60421$n1209 +.sym 16947 $false +.sym 16949 $abc$60421$n1131 +.sym 16950 $abc$60421$n1149 +.sym 16951 $abc$60421$n1159 +.sym 16952 $abc$60421$n1163 +.sym 16954 $abc$60421$n1156 +.sym 16955 I2C.byte_counter[1] +.sym 16956 I2C.byte_counter[0] +.sym 16957 $false +.sym 16959 $abc$60421$n1170 +.sym 16960 $abc$60421$n1161_1 +.sym 16961 $abc$60421$n1209 +.sym 16962 $false +.sym 16963 $auto$dff2dffe.cc:175:make_patterns_logic$59498 +.sym 16964 CLK$2$2 +.sym 16965 $auto$rtlil.cc:1692:NotGate$60416 +.sym 16967 $auto$dff2dffe.cc:175:make_patterns_logic$49036 +.sym 16968 $memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 +.sym 16969 $auto$dff2dffe.cc:158:make_patterns_logic$44949 +.sym 16970 $abc$60421$n1222 +.sym 16971 $auto$dff2dffe.cc:158:make_patterns_logic$44967 +.sym 16972 $abc$60421$n1217 +.sym 16973 I2C.received_byte[0] +.sym 17045 UART_TX_DATA[5] +.sym 17046 UART_TX_DATA[1] +.sym 17047 $techmap\UART.$sub$uart.v:38$347_Y[2] +.sym 17048 $false +.sym 17050 I2C_TX_REPORT[3] +.sym 17051 I2C_HID_DESC.VAL[3] +.sym 17052 I2C_OUT_DESC_MASK[3] +.sym 17053 $false +.sym 17055 $abc$60421$n1216 +.sym 17056 $abc$60421$n1217 +.sym 17057 I2C.byte_counter[2] +.sym 17058 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] +.sym 17060 $false +.sym 17061 $false +.sym 17062 $false +.sym 17063 $false +.sym 17065 $abc$60421$n1100 +.sym 17066 $abc$60421$n1099 +.sym 17067 $abc$60421$n1097 +.sym 17068 $false +.sym 17075 I2C.FLT_SDA.out +.sym 17076 $false +.sym 17077 $false +.sym 17078 $false +.sym 17079 $auto$dff2dffe.cc:175:make_patterns_logic$49036 +.sym 17080 CLK$2$2 +.sym 17081 $false +.sym 17082 $abc$60421$n1086 +.sym 17083 $abc$60421$n1087 +.sym 17084 $abc$60421$n1085 +.sym 17085 $abc$60421$n1094 +.sym 17086 $abc$60421$n1060 +.sym 17087 $abc$60421$n1080 +.sym 17088 $abc$60421$n1012 +.sym 17089 I2C.is_read +.sym 17156 $abc$60421$n573 +.sym 17157 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 17158 $abc$60421$n868 +.sym 17159 $abc$60421$n866 +.sym 17161 I2C_TX_REPORT[0] +.sym 17162 I2C_HID_DESC.VAL[0] +.sym 17163 I2C_OUT_DESC_MASK[0] +.sym 17164 $false +.sym 17166 KEYBOARD.COLS_SHADOW[3] +.sym 17167 KEYBOARD.COLS_SHADOW[2] +.sym 17168 $abc$60421$n722 +.sym 17169 $false +.sym 17171 $abc$60421$n686 +.sym 17172 $abc$60421$n690 +.sym 17173 $auto$alumacc.cc:484:replace_alu$22885[7] +.sym 17174 $false +.sym 17176 $abc$60421$n579 +.sym 17177 $abc$60421$n573 +.sym 17178 $abc$60421$n722 +.sym 17179 $false +.sym 17181 $abc$60421$n1133 +.sym 17182 $abc$60421$n1208 +.sym 17183 $abc$60421$n1180 +.sym 17184 $auto$rtlil.cc:1692:NotGate$60416 +.sym 17186 $auto$rtlil.cc:1692:NotGate$60416 +.sym 17187 $abc$60421$n1205_1 +.sym 17188 $abc$60421$n1204 +.sym 17189 $abc$60421$n1180 +.sym 17191 $abc$60421$n1168_1 +.sym 17192 $abc$60421$n1130 +.sym 17193 $abc$60421$n1177 +.sym 17194 $false +.sym 17195 $auto$dff2dffe.cc:175:make_patterns_logic$59498 +.sym 17196 CLK$2$2 +.sym 17197 $false +.sym 17198 $abc$60421$n1061 +.sym 17199 LED1$2 +.sym 17200 $abc$60421$n1042 +.sym 17201 I2C_OUT_DESC_MASK[7] +.sym 17202 I2C_OUT_DESC_MASK[0] +.sym 17203 I2C_OUT_DESC_MASK[3] +.sym 17205 I2C_OUT_DESC_MASK[6] +.sym 17272 $abc$60421$n579 +.sym 17273 $abc$60421$n573 +.sym 17274 $abc$60421$n722 +.sym 17275 $false +.sym 17277 $abc$60421$n726 +.sym 17278 $abc$60421$n573 +.sym 17279 $abc$60421$n727 +.sym 17280 $false +.sym 17282 $abc$60421$n579 +.sym 17283 $abc$60421$n594 +.sym 17284 $abc$60421$n722 +.sym 17285 $false +.sym 17287 $abc$60421$n735 +.sym 17288 $abc$60421$n736 +.sym 17289 $abc$60421$n737 +.sym 17290 $abc$60421$n738 +.sym 17292 $abc$60421$n544_1 +.sym 17293 $abc$60421$n573 +.sym 17294 $abc$60421$n555_1 +.sym 17295 $false +.sym 17297 $abc$60421$n544_1 +.sym 17298 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 17299 $abc$60421$n555_1 +.sym 17300 $false +.sym 17302 $abc$60421$n720 +.sym 17303 $abc$60421$n750 +.sym 17304 $abc$60421$n751 +.sym 17305 $false +.sym 17307 I2C.FLT_SDA.out +.sym 17308 $false +.sym 17309 $false +.sym 17310 $false +.sym 17311 $auto$dff2dffe.cc:175:make_patterns_logic$49103 +.sym 17312 CLK$2$2 +.sym 17313 $false +.sym 17314 $abc$60421$n1044 +.sym 17315 $abc$60421$n1043 +.sym 17318 $abc$60421$n1083 +.sym 17319 I2C.wr +.sym 17388 I2C.FLT_SCL.out +.sym 17389 I2C.FLT_SDA.out +.sym 17390 I2C.SDA_LAST +.sym 17391 I2C.i2c_state_machine +.sym 17393 $abc$60421$n562 +.sym 17394 $abc$60421$n567_1 +.sym 17395 $auto$simplemap.cc:127:simplemap_reduce$33820[0] +.sym 17396 KEYBOARD.COLS_SHADOW[2] +.sym 17398 $abc$60421$n562 +.sym 17399 $abc$60421$n567_1 +.sym 17400 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 17401 KEYBOARD.COLS_SHADOW[3] +.sym 17403 I2C.i2c_state_machine +.sym 17404 I2C.i2c_start_latency +.sym 17405 $false +.sym 17406 $false +.sym 17408 I2C.is_read +.sym 17409 $techmap\I2C.$procmux$12628_Y +.sym 17410 I2C.i2c_state_machine +.sym 17411 I2C.i2c_start_latency +.sym 17413 $abc$60421$n567_1 +.sym 17414 $abc$60421$n562 +.sym 17415 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 17416 $false +.sym 17418 $abc$60421$n1083 +.sym 17419 $abc$60421$n1082 +.sym 17420 $abc$60421$n686 +.sym 17421 $abc$60421$n690 +.sym 17427 $true +.sym 17428 CLK$2$2 +.sym 17429 $0\KBD_FREEZE[0:0]$2 +.sym 17432 $abc$60421$n1040 +.sym 17435 $abc$60421$n1058 +.sym 17437 I2C.is_ack +.sym 17504 $abc$60421$n544_1 +.sym 17505 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 17506 $abc$60421$n555_1 +.sym 17507 $false +.sym 17509 $abc$60421$n573 +.sym 17510 $abc$60421$n555_1 +.sym 17511 $false +.sym 17512 $false +.sym 17514 $abc$60421$n593 +.sym 17515 $abc$60421$n579 +.sym 17516 $abc$60421$n594 +.sym 17517 $abc$60421$n581 +.sym 17519 $abc$60421$n561 +.sym 17520 $abc$60421$n597 +.sym 17521 $abc$60421$n574 +.sym 17522 KEYBOARD.COLS_SHADOW[3] +.sym 17524 $abc$60421$n592 +.sym 17525 $abc$60421$n595 +.sym 17526 $abc$60421$n596 +.sym 17527 $false +.sym 17529 $abc$60421$n574 +.sym 17530 $abc$60421$n561 +.sym 17531 KEYBOARD.COLS_SHADOW[3] +.sym 17532 $abc$60421$n593 +.sym 17534 I2C.i2c_state_machine +.sym 17535 I2C.i2c_start_latency +.sym 17536 $techmap\I2C.$procmux$12628_Y +.sym 17537 $false +.sym 17539 $techmap\I2C.$procmux$12628_Y +.sym 17540 $false +.sym 17541 $false +.sym 17542 $false +.sym 17543 $auto$dff2dffe.cc:158:make_patterns_logic$49447 +.sym 17544 CLK$2$2 +.sym 17545 $false +.sym 17546 I2C.FLT_SDA.out +.sym 17635 UART.tx_activity +.sym 17636 $abc$60421$n707 +.sym 17637 RESET +.sym 17638 $techmap\UART.$procmux$739_Y +.sym 17640 $abc$60421$n704 +.sym 17641 $abc$60421$n707 +.sym 17642 $abc$60421$n709 +.sym 17643 UART.tx_activity +.sym 17650 $auto$simplemap.cc:250:simplemap_eqne$33874[2] +.sym 17651 KEYBOARD.row_counter[1] +.sym 17652 $false +.sym 17653 $false +.sym 17655 $techmap\UART.$procmux$739_Y +.sym 17656 $false +.sym 17657 $false +.sym 17658 $false +.sym 17659 RESET +.sym 17660 CLK$2$2 +.sym 17661 $false +.sym 17663 $techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:262$28020_Y[0] +.sym 17666 KEYBOARD.ROWS_EN[2] +.sym 17668 KEYBOARD.ROWS_EN[3] +.sym 17746 $auto$alumacc.cc:470:replace_alu$22811.BB[1] +.sym 17747 $auto$alumacc.cc:470:replace_alu$22811.B_buf[0] +.sym 17748 $false +.sym 17749 $false +.sym 17751 $false +.sym 17752 UART.tx_bit_counter[0] +.sym 17753 $false +.sym 17754 $true$2 +.sym 17761 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 17762 KEYBOARD.row_counter[0] +.sym 17763 $false +.sym 17764 $false +.sym 17766 $auto$alumacc.cc:470:replace_alu$22811.B_buf[0] +.sym 17767 $false +.sym 17768 $false +.sym 17769 $false +.sym 17771 $auto$alumacc.cc:470:replace_alu$22811.BB[1] +.sym 17772 $false +.sym 17773 $false +.sym 17774 $false +.sym 17775 $auto$dff2dffe.cc:175:make_patterns_logic$49554 +.sym 17776 CLK$2$2 +.sym 17777 $eq$top.v:152$130_Y +.sym 17914 $true +.sym 17951 I2C.byte_counter[0]$2 +.sym 17952 $false +.sym 17953 I2C.byte_counter[0] +.sym 17954 $false +.sym 17955 $false +.sym 17956 $auto$alumacc.cc:484:replace_alu$22876[1] +.sym 17958 I2C.byte_counter[1] +.sym 17959 $true$2 +.sym 17961 $auto$alumacc.cc:484:replace_alu$22876[2] +.sym 17962 $false +.sym 17963 I2C.byte_counter[2] +.sym 17964 $true$2 +.sym 17965 $auto$alumacc.cc:484:replace_alu$22876[1] +.sym 17966 $auto$alumacc.cc:484:replace_alu$22876[3] +.sym 17967 $false +.sym 17968 I2C.byte_counter[3] +.sym 17969 $true$2 +.sym 17970 $auto$alumacc.cc:484:replace_alu$22876[2] +.sym 17971 $auto$alumacc.cc:484:replace_alu$22876[4] +.sym 17972 $false +.sym 17973 I2C.byte_counter[4] +.sym 17974 $true$2 +.sym 17975 $auto$alumacc.cc:484:replace_alu$22876[3] +.sym 17976 $auto$alumacc.cc:484:replace_alu$22876[5] +.sym 17977 $false +.sym 17978 I2C.byte_counter[5] +.sym 17979 $true$2 +.sym 17980 $auto$alumacc.cc:484:replace_alu$22876[4] +.sym 17981 $auto$alumacc.cc:484:replace_alu$22876[6]$2 +.sym 17982 $false +.sym 17983 I2C.byte_counter[6] +.sym 17984 $true$2 +.sym 17985 $auto$alumacc.cc:484:replace_alu$22876[5] +.sym 17990 $auto$alumacc.cc:484:replace_alu$22876[6]$2 +.sym 18108 $false +.sym 18109 I2C.byte_counter[7] +.sym 18110 $true$2 +.sym 18111 $auto$alumacc.cc:484:replace_alu$22876[6] +.sym 18113 I2C.wr +.sym 18114 last_wr +.sym 18115 $sub$top.v:60$17_Y[6] +.sym 18116 $false +.sym 18118 I2C.wr +.sym 18119 last_wr +.sym 18120 $sub$top.v:60$17_Y[5] +.sym 18121 $false +.sym 18123 I2C.wr +.sym 18124 last_wr +.sym 18125 $sub$top.v:60$17_Y[2] +.sym 18126 $false +.sym 18128 I2C.wr +.sym 18129 last_wr +.sym 18130 $sub$top.v:60$17_Y[3] +.sym 18131 $false +.sym 18138 I2C.wr +.sym 18139 last_wr +.sym 18140 $sub$top.v:60$17_Y[4] +.sym 18141 $false +.sym 18143 I2C.wr +.sym 18144 last_wr +.sym 18145 $sub$top.v:60$17_Y[7] +.sym 18146 $false +.sym 18147 $auto$dff2dffe.cc:175:make_patterns_logic$46377 +.sym 18148 CLK$2$2 +.sym 18149 $0\KBD_FREEZE[0:0]$2 +.sym 18224 $false +.sym 18225 I2C.byte_counter[0] +.sym 18226 $false +.sym 18227 $true$2 +.sym 18229 I2C_INPUT_LEN[6] +.sym 18230 $false +.sym 18231 $false +.sym 18232 $false +.sym 18234 $abc$60421$n650 +.sym 18235 $abc$60421$n668_1 +.sym 18236 I2C_INPUT_LEN[0] +.sym 18237 I2C_INPUT_LEN[1] +.sym 18239 $abc$60421$n670 +.sym 18240 I2C_INPUT_LEN[0] +.sym 18241 I2C_INPUT_LEN[1] +.sym 18242 $false +.sym 18244 $abc$60421$n665_1 +.sym 18245 $abc$60421$n668_1 +.sym 18246 I2C_INPUT_LEN[0] +.sym 18247 I2C_INPUT_LEN[1] +.sym 18249 $abc$60421$n670 +.sym 18250 I2C_INPUT_LEN[0] +.sym 18251 I2C_INPUT_LEN[1] +.sym 18252 $false +.sym 18254 I2C.byte_counter[1] +.sym 18255 I2C.byte_counter[0] +.sym 18256 I2C.wr +.sym 18257 last_wr +.sym 18259 I2C.wr +.sym 18260 last_wr +.sym 18261 $sub$top.v:60$17_Y[0] +.sym 18262 $false +.sym 18263 $auto$dff2dffe.cc:175:make_patterns_logic$46377 +.sym 18264 CLK$2$2 +.sym 18265 $0\KBD_FREEZE[0:0]$2 +.sym 18340 $abc$60421$n625 +.sym 18341 $abc$60421$n676_1 +.sym 18342 $abc$60421$n671_1 +.sym 18343 I2C.is_read +.sym 18345 $abc$60421$n669_1 +.sym 18346 $2\INT[0:0] +.sym 18347 $false +.sym 18348 $false +.sym 18350 $abc$60421$n625 +.sym 18351 $abc$60421$n671_1 +.sym 18352 $2\INT[0:0] +.sym 18353 I2C.is_read +.sym 18355 $abc$60421$n636 +.sym 18356 $abc$60421$n674_1 +.sym 18357 $abc$60421$n673_1 +.sym 18358 $abc$60421$n680 +.sym 18360 $abc$60421$n675 +.sym 18361 $abc$60421$n664 +.sym 18362 $abc$60421$n667_1 +.sym 18363 $false +.sym 18365 $abc$60421$n636 +.sym 18366 $abc$60421$n672 +.sym 18367 $abc$60421$n674_1 +.sym 18368 $false +.sym 18370 RESET +.sym 18371 I2C.wr +.sym 18372 last_wr +.sym 18373 $abc$60421$n673_1 +.sym 18375 I2C.received_byte[3] +.sym 18376 $false +.sym 18377 $false +.sym 18378 $false +.sym 18379 $auto$dff2dffe.cc:158:make_patterns_logic$44949 +.sym 18380 CLK$2$2 +.sym 18381 $false +.sym 18456 $false +.sym 18457 UART.tx_clk_counter[0] +.sym 18458 $false +.sym 18459 $true$2 +.sym 18461 $false +.sym 18462 UART.tx_clk_counter[3] +.sym 18463 $true$2 +.sym 18464 $auto$alumacc.cc:484:replace_alu$22917[2] +.sym 18466 RESET +.sym 18467 $abc$60421$n704 +.sym 18468 UART.tx_activity +.sym 18469 $false +.sym 18471 $abc$60421$n708 +.sym 18472 UART.tx_clk_counter[0] +.sym 18473 UART.tx_clk_counter[1] +.sym 18474 $false +.sym 18476 $techmap\UART.$sub$uart.v:30$342_Y[0] +.sym 18477 $techmap\UART.$sub$uart.v:30$342_Y[2] +.sym 18478 $techmap\UART.$sub$uart.v:30$342_Y[3] +.sym 18479 $false +.sym 18481 $abc$60421$n707 +.sym 18482 UART.tx_activity +.sym 18483 $techmap\UART.$sub$uart.v:30$342_Y[3] +.sym 18484 $false +.sym 18486 $abc$60421$n707 +.sym 18487 UART.tx_activity +.sym 18488 $techmap\UART.$sub$uart.v:30$342_Y[0] +.sym 18489 $false +.sym 18491 $abc$60421$n707 +.sym 18492 UART.tx_activity +.sym 18493 $techmap\UART.$sub$uart.v:30$342_Y[2] +.sym 18494 $false +.sym 18495 $auto$dff2dffe.cc:158:make_patterns_logic$49597 +.sym 18496 CLK$2$2 +.sym 18497 $0\KBD_FREEZE[0:0]$2 +.sym 18572 $abc$60421$n1054 +.sym 18573 I2C.byte_counter[3] +.sym 18574 I2C.byte_counter[2] +.sym 18575 $false +.sym 18577 I2C.byte_counter[1] +.sym 18578 I2C.byte_counter[0] +.sym 18579 $false +.sym 18580 $false +.sym 18582 UART_WR +.sym 18583 KEYBOARD.isr +.sym 18584 INT +.sym 18585 last_isr +.sym 18587 $abc$60421$n1054 +.sym 18588 I2C.byte_counter[3] +.sym 18589 I2C.byte_counter[2] +.sym 18590 $false +.sym 18592 UART_WR +.sym 18593 UART.TX_sig_last +.sym 18594 $false +.sym 18595 $false +.sym 18597 $abc$60421$n1054 +.sym 18598 I2C.byte_counter[3] +.sym 18599 I2C.byte_counter[2] +.sym 18600 $false +.sym 18602 UART_WR +.sym 18603 $false +.sym 18604 $false +.sym 18605 $false +.sym 18607 KEYBOARD.isr +.sym 18608 $false +.sym 18609 $false +.sym 18610 $false +.sym 18611 RESET +.sym 18612 CLK$2$2 +.sym 18613 $false +.sym 18688 $abc$60421$n1054 +.sym 18689 I2C.byte_counter[3] +.sym 18690 I2C.byte_counter[2] +.sym 18691 $false +.sym 18693 $abc$60421$n921 +.sym 18694 $abc$60421$n1140 +.sym 18695 $false +.sym 18696 $false +.sym 18698 $abc$60421$n1146 +.sym 18699 $abc$60421$n1167 +.sym 18700 $abc$60421$n1140 +.sym 18701 $abc$60421$n1164 +.sym 18703 $abc$60421$n1152 +.sym 18704 $abc$60421$n1167 +.sym 18705 $abc$60421$n1140 +.sym 18706 $abc$60421$n1134 +.sym 18708 $abc$60421$n1165 +.sym 18709 $abc$60421$n1166 +.sym 18710 $false +.sym 18711 $false +.sym 18713 $abc$60421$n1139 +.sym 18714 $abc$60421$n1140 +.sym 18715 $abc$60421$n1138 +.sym 18716 $abc$60421$n1134 +.sym 18718 $abc$60421$n1146 +.sym 18719 $abc$60421$n1153 +.sym 18720 $abc$60421$n1053_1 +.sym 18721 $abc$60421$n1139 +.sym 18723 I2C.FLT_SDA.out +.sym 18724 $false +.sym 18725 $false +.sym 18726 $false +.sym 18727 $true +.sym 18728 CLK$2$2 +.sym 18729 $false +.sym 18804 $abc$60421$n1133 +.sym 18805 $abc$60421$n1137 +.sym 18806 $false +.sym 18807 $false +.sym 18809 $abc$60421$n1157 +.sym 18810 $abc$60421$n1134 +.sym 18811 $abc$60421$n1155 +.sym 18812 $false +.sym 18814 I2C.byte_counter[0] +.sym 18815 I2C.byte_counter[1] +.sym 18816 $abc$60421$n1156 +.sym 18817 $false +.sym 18819 $abc$60421$n921 +.sym 18820 $abc$60421$n1053_1 +.sym 18821 $techmap\I2C.$procmux$12628_Y +.sym 18822 $false +.sym 18824 $abc$60421$n1139 +.sym 18825 $abc$60421$n1136 +.sym 18826 $abc$60421$n1053_1 +.sym 18827 $abc$60421$n1134 +.sym 18829 I2C.byte_counter[1] +.sym 18830 I2C.byte_counter[3] +.sym 18831 I2C.byte_counter[2] +.sym 18832 I2C.byte_counter[0] +.sym 18834 $abc$60421$n921 +.sym 18835 I2C.byte_counter[3] +.sym 18836 I2C.byte_counter[2] +.sym 18837 $false +.sym 18839 $0\uart_double_ff[0:0] +.sym 18840 $false +.sym 18841 $false +.sym 18842 $false +.sym 18843 $auto$dff2dffe.cc:175:make_patterns_logic$48902 +.sym 18844 CLK$2$2 +.sym 18845 $false +.sym 18925 $abc$60421$n1134 +.sym 18926 $abc$60421$n1136 +.sym 18927 $false +.sym 18928 $false +.sym 18930 $abc$60421$n1216 +.sym 18931 $abc$60421$n1222 +.sym 18932 I2C.byte_counter[1] +.sym 18933 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] +.sym 18935 I2C_TRANS +.sym 18936 last_trans +.sym 18937 $false +.sym 18938 $false +.sym 18940 $abc$60421$n1216 +.sym 18941 $abc$60421$n1222 +.sym 18942 I2C.byte_counter[1] +.sym 18943 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] +.sym 18945 I2C.byte_counter[1] +.sym 18946 I2C.byte_counter[3] +.sym 18947 I2C.byte_counter[2] +.sym 18948 I2C.byte_counter[0] +.sym 18950 I2C_TRANS +.sym 18951 last_trans +.sym 18952 $false +.sym 18953 $false +.sym 18955 I2C_TRANS +.sym 18956 $false +.sym 18957 $false +.sym 18958 $false +.sym 18959 RESET +.sym 18960 CLK$2$2 +.sym 18961 $false +.sym 19041 $abc$60421$n683 +.sym 19042 $abc$60421$n691 +.sym 19043 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 19044 $false +.sym 19046 $abc$60421$n1219 +.sym 19047 $abc$60421$n1217 +.sym 19048 $false +.sym 19049 $false +.sym 19051 $abc$60421$n1219 +.sym 19052 I2C.byte_counter[1] +.sym 19053 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] +.sym 19054 $false +.sym 19056 I2C.byte_counter[1] +.sym 19057 I2C.byte_counter[2] +.sym 19058 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] +.sym 19059 $false +.sym 19061 $abc$60421$n1216 +.sym 19062 $abc$60421$n1222 +.sym 19063 I2C.byte_counter[1] +.sym 19064 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] +.sym 19066 I2C.byte_counter[1] +.sym 19067 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] +.sym 19068 $false +.sym 19069 $false +.sym 19071 I2C.FLT_SDA.out +.sym 19072 $false +.sym 19073 $false +.sym 19074 $false +.sym 19075 $auto$dff2dffe.cc:175:make_patterns_logic$48969 +.sym 19076 CLK$2$2 +.sym 19077 $false +.sym 19152 $abc$60421$n1055 +.sym 19153 $abc$60421$n1046 +.sym 19154 $abc$60421$n1087 +.sym 19155 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 19157 $abc$60421$n1046 +.sym 19158 $abc$60421$n1091 +.sym 19159 $abc$60421$n1088 +.sym 19160 $abc$60421$n1040 +.sym 19162 $abc$60421$n1094 +.sym 19163 $abc$60421$n1086 +.sym 19164 $abc$60421$n686 +.sym 19165 $false +.sym 19167 $abc$60421$n1040 +.sym 19168 $abc$60421$n1051 +.sym 19169 $abc$60421$n1046 +.sym 19170 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 19172 $abc$60421$n1061 +.sym 19173 $abc$60421$n690 +.sym 19174 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 19175 $false +.sym 19177 $abc$60421$n1055 +.sym 19178 $abc$60421$n690 +.sym 19179 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 19180 I2C.received_byte[0] +.sym 19182 I2C_TX_REPORT[7] +.sym 19183 I2C_HID_DESC.VAL[7] +.sym 19184 I2C_OUT_DESC_MASK[7] +.sym 19185 $false +.sym 19187 $abc$60421$n686 +.sym 19188 $abc$60421$n1052 +.sym 19189 $abc$60421$n1080 +.sym 19190 $false +.sym 19191 $true +.sym 19192 CLK$2$2 +.sym 19193 $false +.sym 19268 $abc$60421$n1055 +.sym 19269 $abc$60421$n1038 +.sym 19270 $abc$60421$n686 +.sym 19271 $false +.sym 19273 INT +.sym 19274 $false +.sym 19275 $false +.sym 19276 $false +.sym 19278 I2C.FLT_SCL.out +.sym 19279 I2C.FLT_SDA.out +.sym 19280 I2C.SDA_LAST +.sym 19281 I2C.wr +.sym 19283 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 19284 $false +.sym 19285 $false +.sym 19286 $false +.sym 19288 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 19289 $false +.sym 19290 $false +.sym 19291 $false +.sym 19293 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 19294 $false +.sym 19295 $false +.sym 19296 $false +.sym 19303 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 19304 $false +.sym 19305 $false +.sym 19306 $false +.sym 19307 $auto$dff2dffe.cc:175:make_patterns_logic$48057$2 +.sym 19308 CLK$2$2 +.sym 19309 $0\KBD_FREEZE[0:0]$2 +.sym 19384 $abc$60421$n1038 +.sym 19385 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 19386 $false +.sym 19387 $false +.sym 19389 I2C.FLT_SDA.out +.sym 19390 $abc$60421$n1046 +.sym 19391 $abc$60421$n1044 +.sym 19392 $abc$60421$n1045 +.sym 19404 $abc$60421$n1044 +.sym 19405 $abc$60421$n1046 +.sym 19406 I2C.FLT_SDA.out +.sym 19407 $false +.sym 19409 $abc$60421$n1047 +.sym 19410 $abc$60421$n1044 +.sym 19411 $abc$60421$n1036 +.sym 19412 $abc$60421$n1043 +.sym 19423 $true +.sym 19424 CLK$2$2 +.sym 19425 $false +.sym 19510 I2C.SCL_LAST +.sym 19511 I2C.FLT_SCL.out +.sym 19512 $false +.sym 19513 $false +.sym 19525 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 19526 $abc$60421$n1039 +.sym 19527 $abc$60421$n1040 +.sym 19528 $false +.sym 19535 I2C.is_ack +.sym 19536 $abc$60421$n1049 +.sym 19537 $abc$60421$n690 +.sym 19538 $false +.sym 19539 $true +.sym 19540 CLK$2$2 +.sym 19541 $false +.sym 19616 I2C.SDAF +.sym 19617 $false +.sym 19618 $false +.sym 19619 $false +.sym 19655 $auto$dff2dffe.cc:175:make_patterns_logic$59776 +.sym 19656 CLK$2$2 +.sym 19657 $0\KBD_FREEZE[0:0]$2 +.sym 19737 KEYBOARD.row_counter[0] +.sym 19738 $false +.sym 19739 $false +.sym 19740 $false +.sym 19752 KEYBOARD.row_counter[0] +.sym 19753 $false +.sym 19754 $false +.sym 19755 $false +.sym 19762 $techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:262$28020_Y[0] +.sym 19763 $false +.sym 19764 $false +.sym 19765 $false +.sym 19771 $auto$dff2dffe.cc:175:make_patterns_logic$50030 +.sym 19772 CLK$2$2 +.sym 19773 $auto$rtlil.cc:1692:NotGate$60420 +.sym 19819 $false +.sym 19821 KEYBOARD.ROWS_EN[0] +.sym 19822 $false +.sym 19824 KEYBOARD.ROWS_EN[1] +.sym 19875 $add$top.v:47$12_Y[1] +.sym 19876 $add$top.v:47$12_Y[2] +.sym 19877 $auto$alumacc.cc:484:replace_alu$22873[2] +.sym 19878 RESET +.sym 19880 rststate[2] +.sym 19881 rststate[1] +.sym 19951 $auto$alumacc.cc:483:replace_alu$22849[7] +.sym 19952 $auto$alumacc.cc:483:replace_alu$22867[5] +.sym 19953 $auto$alumacc.cc:483:replace_alu$22867[3] +.sym 19954 $abc$60421$n665_1 +.sym 19956 $auto$alumacc.cc:483:replace_alu$22867[7] +.sym 19957 I2C_INPUT_DATA[3][1] +.sym 20088 $abc$60421$n670 +.sym 20089 $abc$60421$n666 +.sym 20090 $auto$alumacc.cc:483:replace_alu$22867[1] +.sym 20091 $abc$60421$n664 +.sym 20092 $abc$60421$n667_1 +.sym 20093 $abc$60421$n668_1 +.sym 20094 I2C_INPUT_DATA[2][7] +.sym 20095 I2C_INPUT_DATA[2][6] +.sym 20190 $abc$60421$n655 +.sym 20192 $abc$60421$n651 +.sym 20193 I2C_INPUT_DATA[5][5] +.sym 20194 I2C_INPUT_DATA[5][0] +.sym 20196 I2C_INPUT_DATA[5][6] +.sym 20294 $abc$60421$n652 +.sym 20296 UART.tx_clk_counter[1] +.sym 20394 $abc$60421$n654_1 +.sym 20395 I2C_INPUT_DATA[4][7] +.sym 20396 I2C_INPUT_DATA[4][5] +.sym 20397 I2C_INPUT_DATA[4][1] +.sym 20398 I2C_INPUT_DATA[4][3] +.sym 20399 I2C_INPUT_DATA[4][4] +.sym 20400 I2C_INPUT_DATA[4][0] +.sym 20401 I2C_INPUT_DATA[4][6] +.sym 20502 $abc$60421$n1140 +.sym 20503 UART_WR +.sym 20598 $abc$60421$n1180 +.sym 20599 $abc$60421$n627 +.sym 20601 $auto$dff2dffe.cc:175:make_patterns_logic$48902 +.sym 20602 $abc$60421$n1184 +.sym 20603 $abc$60421$n1158 +.sym 20605 I2C.received_byte[3] +.sym 20700 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] +.sym 20701 $abc$60421$n1057 +.sym 20702 $abc$60421$n1092 +.sym 20703 $abc$60421$n1056 +.sym 20704 $abc$60421$n1088 +.sym 20705 KEYBOARD.report[45] +.sym 20706 KEYBOARD.report[40] +.sym 20707 KEYBOARD.report[42] +.sym 20802 $memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 +.sym 20803 $auto$dff2dffe.cc:175:make_patterns_logic$48969 +.sym 20804 $abc$60421$n961 +.sym 20805 $auto$dff2dffe.cc:175:make_patterns_logic$49170 +.sym 20806 $abc$60421$n1100 +.sym 20807 UART_TX_DATA[3] +.sym 20808 UART_TX_DATA[5] +.sym 20809 UART_TX_DATA[7] +.sym 20904 $abc$60421$n1051 +.sym 20905 $abc$60421$n683 +.sym 20906 $abc$60421$n1091 +.sym 20907 $abc$60421$n1093 +.sym 20908 $auto$dff2dffe.cc:175:make_patterns_logic$49103 +.sym 20909 LED2$2 +.sym 20910 LED3$2 +.sym 20911 LED4$2 +.sym 21006 $abc$60421$n1037 +.sym 21007 $abc$60421$n684 +.sym 21008 $abc$60421$n1036 +.sym 21009 $abc$60421$n1046 +.sym 21010 $abc$60421$n1073 +.sym 21011 $abc$60421$n868 +.sym 21012 $techmap\I2C.$procmux$12628_Y +.sym 21013 I2C.SDA_DIR +.sym 21108 $abc$60421$n1041 +.sym 21109 $abc$60421$n1055 +.sym 21110 $abc$60421$n1045 +.sym 21111 $abc$60421$n685 +.sym 21112 $abc$60421$n1082 +.sym 21113 $auto$simplemap.cc:250:simplemap_eqne$28997[4] +.sym 21114 KEYBOARD.report[15] +.sym 21115 KEYBOARD.report[13] +.sym 21210 $abc$60421$n1039 +.sym 21211 $abc$60421$n1049 +.sym 21212 $abc$60421$n1075_1 +.sym 21213 $abc$60421$n1050 +.sym 21214 $abc$60421$n689 +.sym 21215 $abc$60421$n1038 +.sym 21216 $abc$60421$n688 +.sym 21217 $abc$60421$n1074 +.sym 21313 $auto$dff2dffe.cc:175:make_patterns_logic$59776 +.sym 21314 $eq$top.v:152$130_Y +.sym 21316 last_uart_active +.sym 21415 $techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1] +.sym 21417 $auto$dff2dffe.cc:175:make_patterns_logic$50030 +.sym 21418 $auto$rtlil.cc:1692:NotGate$60420 +.sym 21420 KEYBOARD.ROWS_EN[0] +.sym 21421 KEYBOARD.ROWS_EN[1] +.sym 21591 $false +.sym 21593 KEYBOARD.ROWS_EN[2] +.sym 21594 $false +.sym 21596 KEYBOARD.ROWS_EN[3] +.sym 21646 $add$top.v:47$12_Y[3] +.sym 21647 rststate[3] +.sym 21683 $false +.sym 21720 $auto$alumacc.cc:484:replace_alu$22873[0] +.sym 21722 $0\KBD_FREEZE[0:0]$2 +.sym 21723 rststate[0] +.sym 21725 $auto$alumacc.cc:484:replace_alu$22873[1] +.sym 21726 $false +.sym 21727 $false +.sym 21728 rststate[1] +.sym 21729 $auto$alumacc.cc:484:replace_alu$22873[0] +.sym 21730 $auto$alumacc.cc:484:replace_alu$22873[2]$2 +.sym 21731 $false +.sym 21732 $false +.sym 21733 rststate[2] +.sym 21734 $auto$alumacc.cc:484:replace_alu$22873[1] +.sym 21739 $auto$alumacc.cc:484:replace_alu$22873[2]$2 +.sym 21741 rststate[3] +.sym 21742 rststate[2] +.sym 21743 rststate[1] +.sym 21744 rststate[0] +.sym 21751 $abc$60421$n977_1 +.sym 21752 $add$top.v:47$12_Y[2] +.sym 21753 $false +.sym 21754 $false +.sym 21756 $abc$60421$n977_1 +.sym 21757 $add$top.v:47$12_Y[1] +.sym 21758 $false +.sym 21759 $false +.sym 21760 $true +.sym 21761 CLK$2$2 +.sym 21762 $false +.sym 21768 $auto$alumacc.cc:483:replace_alu$22867[2] +.sym 21771 $auto$alumacc.cc:483:replace_alu$22867[4] +.sym 21772 I2C_INPUT_DATA[0][1] +.sym 21773 I2C_INPUT_DATA[0][0] +.sym 21882 I2C.byte_counter[7] +.sym 21883 $false +.sym 21884 $false +.sym 21885 $false +.sym 21887 I2C_INPUT_LEN[5] +.sym 21888 $false +.sym 21889 $false +.sym 21890 $false +.sym 21892 I2C_INPUT_LEN[3] +.sym 21893 $false +.sym 21894 $false +.sym 21895 $false +.sym 21897 I2C_INPUT_LEN[4] +.sym 21898 I2C_INPUT_LEN[5] +.sym 21899 I2C_INPUT_LEN[6] +.sym 21900 I2C_INPUT_LEN[7] +.sym 21907 I2C_INPUT_LEN[7] +.sym 21908 $false +.sym 21909 $false +.sym 21910 $false +.sym 21912 I2C.received_byte[1] +.sym 21913 $false +.sym 21914 $false +.sym 21915 $false +.sym 21916 $auto$simplemap.cc:250:simplemap_eqne$49500 +.sym 21917 CLK$2$2 +.sym 21918 $false +.sym 21926 $auto$alumacc.cc:484:replace_alu$22868[7] +.sym 21993 $abc$60421$n665_1 +.sym 21994 I2C_INPUT_LEN[2] +.sym 21995 I2C_INPUT_LEN[3] +.sym 21996 $false +.sym 21998 I2C_INPUT_LEN[2] +.sym 21999 I2C_INPUT_LEN[3] +.sym 22000 I2C_INPUT_LEN[0] +.sym 22001 I2C_INPUT_LEN[1] +.sym 22003 I2C_INPUT_LEN[1] +.sym 22004 $false +.sym 22005 $false +.sym 22006 $false +.sym 22008 $abc$60421$n665_1 +.sym 22009 $abc$60421$n666 +.sym 22010 $false +.sym 22011 $false +.sym 22013 $abc$60421$n665_1 +.sym 22014 $abc$60421$n668_1 +.sym 22015 I2C_INPUT_LEN[0] +.sym 22016 I2C_INPUT_LEN[1] +.sym 22018 I2C_INPUT_LEN[2] +.sym 22019 I2C_INPUT_LEN[3] +.sym 22020 $false +.sym 22021 $false +.sym 22023 I2C.received_byte[7] +.sym 22024 $false +.sym 22025 $false +.sym 22026 $false +.sym 22028 I2C.received_byte[6] +.sym 22029 $false +.sym 22030 $false +.sym 22031 $false +.sym 22032 $auto$dff2dffe.cc:158:make_patterns_logic$49819 +.sym 22033 CLK$2$2 +.sym 22034 $false +.sym 22035 $abc$60421$n653 +.sym 22039 I2C_INPUT_DATA[5][7] +.sym 22040 I2C_INPUT_DATA[5][1] +.sym 22041 I2C_INPUT_DATA[5][4] +.sym 22042 I2C_INPUT_DATA[5][2] +.sym 22109 I2C_INPUT_DATA[5][0] +.sym 22110 I2C_INPUT_DATA[5][3] +.sym 22111 I2C_INPUT_DATA[5][5] +.sym 22112 I2C_INPUT_DATA[5][6] +.sym 22119 $abc$60421$n652 +.sym 22120 $abc$60421$n653 +.sym 22121 $abc$60421$n654_1 +.sym 22122 $abc$60421$n655 +.sym 22124 I2C.received_byte[5] +.sym 22125 $false +.sym 22126 $false +.sym 22127 $false +.sym 22129 I2C.received_byte[0] +.sym 22130 $false +.sym 22131 $false +.sym 22132 $false +.sym 22139 I2C.received_byte[6] +.sym 22140 $false +.sym 22141 $false +.sym 22142 $false +.sym 22148 $auto$dff2dffe.cc:158:make_patterns_logic$44949 +.sym 22149 CLK$2$2 +.sym 22150 $false +.sym 22153 $techmap\UART.$sub$uart.v:30$342_Y[2] +.sym 22154 $auto$alumacc.cc:484:replace_alu$22917[2] +.sym 22155 I2C.received_byte[6] +.sym 22235 I2C_INPUT_DATA[4][0] +.sym 22236 I2C_INPUT_DATA[4][5] +.sym 22237 I2C_INPUT_DATA[4][6] +.sym 22238 I2C_INPUT_DATA[4][2] +.sym 22245 UART.tx_activity +.sym 22246 UART.tx_clk_counter[0] +.sym 22247 UART.tx_clk_counter[1] +.sym 22248 $false +.sym 22264 $auto$dff2dffe.cc:158:make_patterns_logic$49597 +.sym 22265 CLK$2$2 +.sym 22266 $0\KBD_FREEZE[0:0]$2 +.sym 22268 KEYBOARD.COLS_SHADOW[3] +.sym 22269 KEYBOARD.COLS_SHADOW[1] +.sym 22270 I2C.SDAF +.sym 22271 KEYBOARD.COLS_SHADOW[0] +.sym 22272 KEYBOARD.COLS_SHADOW[2] +.sym 22273 I2C.SCLF +.sym 22341 I2C_INPUT_DATA[4][3] +.sym 22342 I2C_INPUT_DATA[4][4] +.sym 22343 I2C_INPUT_DATA[4][7] +.sym 22344 I2C_INPUT_DATA[4][1] +.sym 22346 I2C.received_byte[7] +.sym 22347 $false +.sym 22348 $false +.sym 22349 $false +.sym 22351 I2C.received_byte[5] +.sym 22352 $false +.sym 22353 $false +.sym 22354 $false +.sym 22356 I2C.received_byte[1] +.sym 22357 $false +.sym 22358 $false +.sym 22359 $false +.sym 22361 I2C.received_byte[3] +.sym 22362 $false +.sym 22363 $false +.sym 22364 $false +.sym 22366 I2C.received_byte[4] +.sym 22367 $false +.sym 22368 $false +.sym 22369 $false +.sym 22371 I2C.received_byte[0] +.sym 22372 $false +.sym 22373 $false +.sym 22374 $false +.sym 22376 I2C.received_byte[6] +.sym 22377 $false +.sym 22378 $false +.sym 22379 $false +.sym 22380 $memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322$2 +.sym 22381 CLK$2$2 +.sym 22382 $false +.sym 22383 $abc$60421$n647 +.sym 22384 $abc$60421$n650 +.sym 22385 I2C_INPUT_DATA[3][7] +.sym 22386 I2C_INPUT_DATA[3][5] +.sym 22387 I2C_INPUT_DATA[3][4] +.sym 22388 I2C_INPUT_DATA[3][3] +.sym 22389 I2C_INPUT_DATA[3][2] +.sym 22390 I2C_INPUT_DATA[3][6] +.sym 22487 I2C.byte_counter[1] +.sym 22488 I2C.byte_counter[3] +.sym 22489 I2C.byte_counter[2] +.sym 22490 I2C.byte_counter[0] +.sym 22492 $0\uart_double_ff[0:0] +.sym 22493 $auto$rtlil.cc:1692:NotGate$60252 +.sym 22494 $abc$60421$n627 +.sym 22495 $false +.sym 22496 $auto$simplemap.cc:127:simplemap_reduce$45588[1] +.sym 22497 CLK$2$2 +.sym 22498 $0\KBD_FREEZE[0:0]$2 +.sym 22506 I2C.received_byte[7] +.sym 22573 $abc$60421$n921 +.sym 22574 $abc$60421$n1136 +.sym 22575 $false +.sym 22576 $false +.sym 22578 UART.tx_activity +.sym 22579 last_uart_active +.sym 22580 uart_double_ff +.sym 22581 $false +.sym 22588 RESET +.sym 22589 $auto$rtlil.cc:1692:NotGate$60252 +.sym 22590 $auto$dff2dffe.cc:175:make_patterns_logic$45702 +.sym 22591 $false +.sym 22593 $abc$60421$n1152 +.sym 22594 $abc$60421$n1134 +.sym 22595 $abc$60421$n1185 +.sym 22596 $false +.sym 22598 I2C.byte_counter[1] +.sym 22599 I2C.byte_counter[3] +.sym 22600 I2C.byte_counter[2] +.sym 22601 I2C.byte_counter[0] +.sym 22608 I2C.FLT_SDA.out +.sym 22609 $false +.sym 22610 $false +.sym 22611 $false +.sym 22612 $auto$dff2dffe.cc:175:make_patterns_logic$49170 +.sym 22613 CLK$2$2 +.sym 22614 $false +.sym 22616 $auto$dff2dffe.cc:175:make_patterns_logic$49304 +.sym 22620 $auto$dff2dffe.cc:175:make_patterns_logic$49371 +.sym 22621 $auto$dff2dffe.cc:175:make_patterns_logic$49438 +.sym 22622 I2C.received_byte[5] +.sym 22689 $false +.sym 22690 I2C.byte_counter[0] +.sym 22691 $true$2 +.sym 22692 $true$2 +.sym 22694 I2C.received_byte[1] +.sym 22695 I2C.received_byte[2] +.sym 22696 I2C.received_byte[4] +.sym 22697 I2C.received_byte[7] +.sym 22699 $abc$60421$n1008_1 +.sym 22700 $abc$60421$n1000 +.sym 22701 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] +.sym 22702 $false +.sym 22704 $abc$60421$n1057 +.sym 22705 I2C.received_byte[3] +.sym 22706 I2C.received_byte[5] +.sym 22707 I2C.received_byte[6] +.sym 22709 $abc$60421$n1090 +.sym 22710 $abc$60421$n1089_1 +.sym 22711 $abc$60421$n691 +.sym 22712 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 22714 $techmap\KEYBOARD.$procmux$4894_Y[5] +.sym 22715 $false +.sym 22716 $false +.sym 22717 $false +.sym 22719 $techmap\KEYBOARD.$procmux$4894_Y[0] +.sym 22720 $false +.sym 22721 $false +.sym 22722 $false +.sym 22724 $techmap\KEYBOARD.$procmux$4894_Y[2] +.sym 22725 $false +.sym 22726 $false +.sym 22727 $false +.sym 22728 $auto$dff2dffe.cc:175:make_patterns_logic$53393 +.sym 22729 CLK$2$2 +.sym 22730 $0\KBD_FREEZE[0:0]$2 +.sym 22736 I2C_INPUT_DATA[8][1] +.sym 22805 $abc$60421$n1216 +.sym 22806 $abc$60421$n1217 +.sym 22807 I2C.byte_counter[2] +.sym 22808 $0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] +.sym 22810 $abc$60421$n683 +.sym 22811 $abc$60421$n691 +.sym 22812 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 22813 $false +.sym 22815 KEYBOARD.report[13] +.sym 22816 KEYBOARD.report[45] +.sym 22817 I2C.byte_counter[1] +.sym 22818 I2C.byte_counter[2] +.sym 22820 $abc$60421$n683 +.sym 22821 $abc$60421$n691 +.sym 22822 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 22823 $false +.sym 22825 UART_TX_DATA[7] +.sym 22826 UART_TX_DATA[3] +.sym 22827 $techmap\UART.$sub$uart.v:38$347_Y[2] +.sym 22828 $false +.sym 22830 I2C.received_byte[3] +.sym 22831 $abc$60421$n1004 +.sym 22832 I2C.is_read +.sym 22833 $false +.sym 22835 I2C.received_byte[5] +.sym 22836 $abc$60421$n1008_1 +.sym 22837 I2C.is_read +.sym 22838 $false +.sym 22840 I2C.received_byte[7] +.sym 22841 $abc$60421$n1012 +.sym 22842 I2C.is_read +.sym 22843 $false +.sym 22844 $auto$dff2dffe.cc:175:make_patterns_logic$45702 +.sym 22845 CLK$2$2 +.sym 22846 $auto$rtlil.cc:1692:NotGate$60252 +.sym 22847 $abc$60421$n698 +.sym 22848 $auto$simplemap.cc:127:simplemap_reduce$33860[0] +.sym 22849 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 22850 $techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] +.sym 22852 $auto$dff2dffe.cc:175:make_patterns_logic$49237 +.sym 22853 I2C_INPUT_DATA[8][2] +.sym 22854 I2C_INPUT_DATA[8][0] +.sym 22921 $abc$60421$n1056 +.sym 22922 $abc$60421$n1052 +.sym 22923 $abc$60421$n1055 +.sym 22924 $false +.sym 22926 $abc$60421$n684 +.sym 22927 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] +.sym 22928 $false +.sym 22929 $false +.sym 22931 $abc$60421$n1093 +.sym 22932 $abc$60421$n1092 +.sym 22933 $abc$60421$n691 +.sym 22934 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 22936 $abc$60421$n1012 +.sym 22937 $abc$60421$n1004 +.sym 22938 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] +.sym 22939 $false +.sym 22941 $abc$60421$n683 +.sym 22942 $abc$60421$n691 +.sym 22943 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 22944 $false +.sym 22946 I2C_INPUT_DATA[8][0] +.sym 22947 I2C_INPUT_DATA[4][0] +.sym 22948 $abc$60421$n667_1 +.sym 22949 $false +.sym 22951 I2C_INPUT_DATA[8][1] +.sym 22952 I2C_INPUT_DATA[4][1] +.sym 22953 $abc$60421$n667_1 +.sym 22954 $false +.sym 22956 I2C_INPUT_DATA[8][2] +.sym 22957 I2C_INPUT_DATA[4][2] +.sym 22958 $abc$60421$n667_1 +.sym 22959 $false +.sym 22960 $auto$dff2dffe.cc:175:make_patterns_logic$48550 +.sym 22961 CLK$2$2 +.sym 22962 $0\KBD_FREEZE[0:0]$2 +.sym 22963 $auto$alumacc.cc:470:replace_alu$22832.BB[3] +.sym 22964 $auto$alumacc.cc:470:replace_alu$22832.B_buf[1] +.sym 22965 $abc$60421$n691 +.sym 22966 $auto$alumacc.cc:470:replace_alu$22832.B_buf[2] +.sym 22967 I2C.i2c_bit_counter[1] +.sym 22968 I2C.i2c_bit_counter[3] +.sym 22969 I2C.i2c_bit_counter[2] +.sym 22970 I2C.i2c_bit_counter[0] +.sym 23037 $abc$60421$n1040 +.sym 23038 $abc$60421$n1038 +.sym 23039 $abc$60421$n686 +.sym 23040 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 23042 $abc$60421$n685 +.sym 23043 $abc$60421$n688 +.sym 23044 $false +.sym 23045 $false +.sym 23047 $abc$60421$n1042 +.sym 23048 $abc$60421$n1041 +.sym 23049 $abc$60421$n690 +.sym 23050 $abc$60421$n1037 +.sym 23052 I2C.FLT_SCL.out +.sym 23053 I2C.FLT_SDA.out +.sym 23054 I2C.SDA_LAST +.sym 23055 I2C.SDA_DIR +.sym 23057 $abc$60421$n688 +.sym 23058 $abc$60421$n1041 +.sym 23059 $abc$60421$n690 +.sym 23060 $abc$60421$n1037 +.sym 23062 $abc$60421$n574 +.sym 23063 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 23064 $false +.sym 23065 $false +.sym 23067 I2C.FLT_SCL.out +.sym 23068 I2C.FLT_SDA.out +.sym 23069 I2C.SDA_LAST +.sym 23070 $false +.sym 23072 $abc$60421$n1046 +.sym 23073 $abc$60421$n1085 +.sym 23074 $abc$60421$n690 +.sym 23075 $false +.sym 23076 $true +.sym 23077 CLK$2$2 +.sym 23078 $false +.sym 23081 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] +.sym 23082 $auto$simplemap.cc:250:simplemap_eqne$28997[3] +.sym 23083 $auto$alumacc.cc:484:replace_alu$22893[30] +.sym 23084 $auto$alumacc.cc:470:replace_alu$22832.B_buf[3] +.sym 23085 $auto$alumacc.cc:470:replace_alu$22832.BB[2] +.sym 23086 I2C.received_byte[4] +.sym 23153 $abc$60421$n1039 +.sym 23154 $abc$60421$n1040 +.sym 23155 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 23156 $abc$60421$n686 +.sym 23158 $abc$60421$n1039 +.sym 23159 $abc$60421$n1040 +.sym 23160 $false +.sym 23161 $false +.sym 23163 $abc$60421$n686 +.sym 23164 $abc$60421$n690 +.sym 23165 $false +.sym 23166 $false +.sym 23168 $abc$60421$n686 +.sym 23169 $auto$simplemap.cc:250:simplemap_eqne$28997[3] +.sym 23170 $auto$simplemap.cc:250:simplemap_eqne$28997[4] +.sym 23171 $auto$alumacc.cc:484:replace_alu$22893[30] +.sym 23173 $abc$60421$n1051 +.sym 23174 $abc$60421$n1055 +.sym 23175 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 23176 $false +.sym 23178 $false +.sym 23179 $false +.sym 23180 $true$2 +.sym 23181 $auto$alumacc.cc:484:replace_alu$22893[30] +.sym 23183 $false +.sym 23184 $false +.sym 23185 $false +.sym 23186 $false +.sym 23188 $false +.sym 23189 $false +.sym 23190 $false +.sym 23191 $false +.sym 23192 $auto$dff2dffe.cc:175:make_patterns_logic$50579 +.sym 23193 CLK$2$2 +.sym 23194 $false +.sym 23199 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 23200 $auto$alumacc.cc:470:replace_alu$22832.BB[1] +.sym 23202 $auto$alumacc.cc:470:replace_alu$22832.C[1] +.sym 23269 $techmap\I2C.$procmux$12628_Y +.sym 23270 I2C.is_ack +.sym 23271 $false +.sym 23272 $false +.sym 23274 $abc$60421$n1058 +.sym 23275 $abc$60421$n1050 +.sym 23276 $abc$60421$n686 +.sym 23277 $false +.sym 23279 $abc$60421$n686 +.sym 23280 I2C.SCL_LAST +.sym 23281 I2C.FLT_SCL.out +.sym 23282 $false +.sym 23284 $abc$60421$n1040 +.sym 23285 $abc$60421$n1039 +.sym 23286 $abc$60421$n1051 +.sym 23287 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 23289 I2C.SCL_LAST +.sym 23290 I2C.FLT_SCL.out +.sym 23291 $false +.sym 23292 $false +.sym 23294 $abc$60421$n1039 +.sym 23295 $abc$60421$n689 +.sym 23296 $false +.sym 23297 $false +.sym 23299 $abc$60421$n689 +.sym 23300 $abc$60421$n690 +.sym 23301 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 23302 $false +.sym 23304 $abc$60421$n1075_1 +.sym 23305 $abc$60421$n690 +.sym 23306 $auto$simplemap.cc:250:simplemap_eqne$49134[4] +.sym 23307 $false +.sym 23311 $techmap\I2C.FLT_SDA.$procmux$979_Y[0] +.sym 23312 $auto$dff2dffe.cc:158:make_patterns_logic$59791 +.sym 23313 $abc$60421$n894_1 +.sym 23314 $auto$wreduce.cc:310:run$22796[2] +.sym 23315 $abc$60421$n895 +.sym 23316 I2C.FLT_SDA.counter[2] +.sym 23317 I2C.FLT_SDA.counter[0] +.sym 23318 I2C.FLT_SDA.counter[1] +.sym 23390 $techmap\I2C.FLT_SDA.$procmux$979_Y[0] +.sym 23391 $abc$60421$n895 +.sym 23392 $false +.sym 23393 $false +.sym 23395 UART.tx_activity +.sym 23396 $false +.sym 23397 $false +.sym 23398 $false +.sym 23405 UART.tx_activity +.sym 23406 $false +.sym 23407 $false +.sym 23408 $false +.sym 23424 RESET +.sym 23425 CLK$2$2 +.sym 23426 $false +.sym 23429 $auto$alumacc.cc:484:replace_alu$22899[1] +.sym 23432 $auto$wreduce.cc:310:run$22796[0] +.sym 23433 $techmap\I2C.FLT_SDA.$procmux$979_Y[1] +.sym 23506 KEYBOARD.row_counter[0] +.sym 23507 KEYBOARD.row_counter[1] +.sym 23508 $false +.sym 23509 $false +.sym 23516 $auto$rtlil.cc:1692:NotGate$60414$2 +.sym 23517 $auto$dff2dffe.cc:175:make_patterns_logic$50051$2 +.sym 23518 $false +.sym 23519 $false +.sym 23521 $techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1] +.sym 23522 $false +.sym 23523 $false +.sym 23524 $false +.sym 23531 KEYBOARD.row_counter[0] +.sym 23532 $false +.sym 23533 $false +.sym 23534 $false +.sym 23536 $techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:262$28020_Y[0] +.sym 23537 $false +.sym 23538 $false +.sym 23539 $false +.sym 23540 $auto$dff2dffe.cc:175:make_patterns_logic$50030 +.sym 23541 CLK$2$2 +.sym 23542 $techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1] +.sym 23710 $false +.sym 23711 $false +.sym 23712 rststate[3] +.sym 23713 $auto$alumacc.cc:484:replace_alu$22873[2] +.sym 23715 $abc$60421$n977_1 +.sym 23716 $add$top.v:47$12_Y[3] +.sym 23717 $false +.sym 23718 $false +.sym 23749 $true +.sym 23750 CLK$2$2 +.sym 23751 $false +.sym 23875 I2C_INPUT_LEN[2] +.sym 23876 $false +.sym 23877 $false +.sym 23878 $false +.sym 23890 I2C_INPUT_LEN[4] +.sym 23891 $false +.sym 23892 $false +.sym 23893 $false +.sym 23895 I2C.received_byte[1] +.sym 23896 $false +.sym 23897 $false +.sym 23898 $false +.sym 23900 I2C.received_byte[0] +.sym 23901 $false +.sym 23902 $false +.sym 23903 $false +.sym 23909 $memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284$2 +.sym 23910 CLK$2$2 +.sym 23911 $false +.sym 23914 KBD_COLUMNS[0]$2 +.sym 23980 $true +.sym 24017 $auto$alumacc.cc:483:replace_alu$22867[1]$2 +.sym 24018 $false +.sym 24019 $auto$alumacc.cc:483:replace_alu$22867[1] +.sym 24020 $false +.sym 24021 $false +.sym 24022 $auto$alumacc.cc:484:replace_alu$22868[2] +.sym 24024 $false +.sym 24025 $auto$alumacc.cc:483:replace_alu$22867[2] +.sym 24027 $auto$alumacc.cc:484:replace_alu$22868[3] +.sym 24029 $false +.sym 24030 $auto$alumacc.cc:483:replace_alu$22867[3] +.sym 24032 $auto$alumacc.cc:484:replace_alu$22868[4] +.sym 24034 $false +.sym 24035 $auto$alumacc.cc:483:replace_alu$22867[4] +.sym 24037 $auto$alumacc.cc:484:replace_alu$22868[5] +.sym 24039 $false +.sym 24040 $auto$alumacc.cc:483:replace_alu$22867[5] +.sym 24042 $auto$alumacc.cc:484:replace_alu$22868[6] +.sym 24044 $false +.sym 24045 $auto$alumacc.cc:483:replace_alu$22867[6] +.sym 24047 $auto$alumacc.cc:484:replace_alu$22868[7]$2 +.sym 24049 $false +.sym 24050 $auto$alumacc.cc:483:replace_alu$22867[7] +.sym 24056 $auto$alumacc.cc:484:replace_alu$22868[7]$2 +.sym 24060 KBD_COLUMNS[1]$2 +.sym 24062 KBD_COLUMNS[2]$2 +.sym 24166 I2C_INPUT_DATA[5][1] +.sym 24167 I2C_INPUT_DATA[5][2] +.sym 24168 I2C_INPUT_DATA[5][4] +.sym 24169 I2C_INPUT_DATA[5][7] +.sym 24186 I2C.received_byte[7] +.sym 24187 $false +.sym 24188 $false +.sym 24189 $false +.sym 24191 I2C.received_byte[1] +.sym 24192 $false +.sym 24193 $false +.sym 24194 $false +.sym 24196 I2C.received_byte[4] +.sym 24197 $false +.sym 24198 $false +.sym 24199 $false +.sym 24201 I2C.received_byte[2] +.sym 24202 $false +.sym 24203 $false +.sym 24204 $false +.sym 24205 $auto$dff2dffe.cc:158:make_patterns_logic$44949 +.sym 24206 CLK$2$2 +.sym 24207 $false +.sym 24276 $true +.sym 24313 UART.tx_clk_counter[0]$2 +.sym 24314 $false +.sym 24315 UART.tx_clk_counter[0] +.sym 24316 $false +.sym 24317 $false +.sym 24318 $auto$alumacc.cc:484:replace_alu$22917[1] +.sym 24320 UART.tx_clk_counter[1] +.sym 24321 $true$2 +.sym 24323 $auto$alumacc.cc:484:replace_alu$22917[2]$2 +.sym 24324 $false +.sym 24325 UART.tx_clk_counter[2] +.sym 24326 $true$2 +.sym 24327 $auto$alumacc.cc:484:replace_alu$22917[1] +.sym 24332 $auto$alumacc.cc:484:replace_alu$22917[2]$2 +.sym 24334 I2C.FLT_SDA.out +.sym 24335 $false +.sym 24336 $false +.sym 24337 $false +.sym 24353 $auto$dff2dffe.cc:175:make_patterns_logic$49371 +.sym 24354 CLK$2$2 +.sym 24355 $false +.sym 24356 KBD_COLUMNS[3]$2 +.sym 24467 KBD_COLUMNS[3]$2 +.sym 24468 $false +.sym 24469 $false +.sym 24470 $false +.sym 24472 KBD_COLUMNS[1]$2 +.sym 24473 $false +.sym 24474 $false +.sym 24475 $false +.sym 24477 I2C.SDA_IN +.sym 24478 $false +.sym 24479 $false +.sym 24480 $false +.sym 24482 KBD_COLUMNS[0]$2 +.sym 24483 $false +.sym 24484 $false +.sym 24485 $false +.sym 24487 KBD_COLUMNS[2]$2 +.sym 24488 $false +.sym 24489 $false +.sym 24490 $false +.sym 24492 SCL$2 +.sym 24493 $false +.sym 24494 $false +.sym 24495 $false +.sym 24501 $true +.sym 24502 CLK$2$2 +.sym 24503 $false +.sym 24506 SCL$2 +.sym 24610 I2C_INPUT_DATA[3][4] +.sym 24611 I2C_INPUT_DATA[3][5] +.sym 24612 I2C_INPUT_DATA[3][6] +.sym 24613 I2C_INPUT_DATA[3][7] +.sym 24615 I2C_INPUT_DATA[3][2] +.sym 24616 I2C_INPUT_DATA[3][3] +.sym 24617 $false +.sym 24618 $false +.sym 24620 I2C.received_byte[7] +.sym 24621 $false +.sym 24622 $false +.sym 24623 $false +.sym 24625 I2C.received_byte[5] +.sym 24626 $false +.sym 24627 $false +.sym 24628 $false +.sym 24630 I2C.received_byte[4] +.sym 24631 $false +.sym 24632 $false +.sym 24633 $false +.sym 24635 I2C.received_byte[3] +.sym 24636 $false +.sym 24637 $false +.sym 24638 $false +.sym 24640 I2C.received_byte[2] +.sym 24641 $false +.sym 24642 $false +.sym 24643 $false +.sym 24645 I2C.received_byte[6] +.sym 24646 $false +.sym 24647 $false +.sym 24648 $false +.sym 24649 $auto$simplemap.cc:250:simplemap_eqne$49500 +.sym 24650 CLK$2$2 +.sym 24651 $false +.sym 24652 I2C.SDA_IN +.sym 24793 I2C.FLT_SDA.out +.sym 24794 $false +.sym 24795 $false +.sym 24796 $false +.sym 24797 $auto$dff2dffe.cc:175:make_patterns_logic$49438 +.sym 24798 CLK$2$2 +.sym 24799 $false +.sym 24911 $abc$60421$n698 +.sym 24912 $abc$60421$n691 +.sym 24913 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 24914 $false +.sym 24931 $abc$60421$n698 +.sym 24932 $abc$60421$n691 +.sym 24933 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 24934 $false +.sym 24936 $abc$60421$n698 +.sym 24937 $abc$60421$n691 +.sym 24938 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 24939 $false +.sym 24941 I2C.FLT_SDA.out +.sym 24942 $false +.sym 24943 $false +.sym 24944 $false +.sym 24945 $auto$dff2dffe.cc:175:make_patterns_logic$49304 +.sym 24946 CLK$2$2 +.sym 24947 $false +.sym 25079 I2C.received_byte[1] +.sym 25080 $false +.sym 25081 $false +.sym 25082 $false +.sym 25093 $memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 +.sym 25094 CLK$2$2 +.sym 25095 $false +.sym 25202 $abc$60421$n684 +.sym 25203 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] +.sym 25204 $false +.sym 25205 $false +.sym 25207 $false +.sym 25208 $true$2 +.sym 25209 $false +.sym 25210 $false +.sym 25212 $false +.sym 25213 $techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] +.sym 25214 $false +.sym 25215 $true$2 +.sym 25217 $techmap\I2C.$procmux$12628_Y +.sym 25218 I2C.i2c_bit_counter[0] +.sym 25219 $false +.sym 25220 $false +.sym 25227 $abc$60421$n698 +.sym 25228 $abc$60421$n691 +.sym 25229 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 25230 $false +.sym 25232 I2C.received_byte[2] +.sym 25233 $false +.sym 25234 $false +.sym 25235 $false +.sym 25237 I2C.received_byte[0] +.sym 25238 $false +.sym 25239 $false +.sym 25240 $false +.sym 25241 $memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 +.sym 25242 CLK$2$2 +.sym 25243 $false +.sym 25350 $techmap\I2C.$procmux$12628_Y +.sym 25351 I2C.i2c_bit_counter[3] +.sym 25352 $false +.sym 25353 $false +.sym 25355 $techmap\I2C.$procmux$12628_Y +.sym 25356 I2C.i2c_bit_counter[1] +.sym 25357 $false +.sym 25358 $false +.sym 25360 $auto$alumacc.cc:470:replace_alu$22832.B_buf[1] +.sym 25361 $techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] +.sym 25362 $false +.sym 25363 $false +.sym 25365 $techmap\I2C.$procmux$12628_Y +.sym 25366 I2C.i2c_bit_counter[2] +.sym 25367 $false +.sym 25368 $false +.sym 25370 $abc$60421$n1074 +.sym 25371 $abc$60421$n691 +.sym 25372 $abc$60421$n1073 +.sym 25373 $auto$alumacc.cc:470:replace_alu$22832.B_buf[1] +.sym 25375 $abc$60421$n1074 +.sym 25376 $auto$simplemap.cc:250:simplemap_eqne$28997[3] +.sym 25377 $auto$alumacc.cc:470:replace_alu$22832.BB[3] +.sym 25378 $abc$60421$n1073 +.sym 25380 $abc$60421$n1074 +.sym 25381 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] +.sym 25382 $auto$alumacc.cc:470:replace_alu$22832.B_buf[2] +.sym 25383 $abc$60421$n1073 +.sym 25385 $abc$60421$n1074 +.sym 25386 $techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] +.sym 25387 $techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] +.sym 25388 $abc$60421$n1073 +.sym 25389 $true +.sym 25390 CLK$2$2 +.sym 25391 $false +.sym 25460 $true +.sym 25497 $techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0]$2 +.sym 25498 $false +.sym 25499 $techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] +.sym 25500 $false +.sym 25501 $false +.sym 25502 $auto$alumacc.cc:484:replace_alu$22893[1] +.sym 25504 $auto$alumacc.cc:470:replace_alu$22832.B_buf[1] +.sym 25505 $true$2 +.sym 25507 $auto$alumacc.cc:484:replace_alu$22893[2] +.sym 25508 $false +.sym 25509 $auto$alumacc.cc:470:replace_alu$22832.B_buf[2] +.sym 25510 $true$2 +.sym 25511 $auto$alumacc.cc:484:replace_alu$22893[1] +.sym 25512 $auto$alumacc.cc:484:replace_alu$22893[30]$2 +.sym 25513 $false +.sym 25514 $auto$alumacc.cc:470:replace_alu$22832.B_buf[3] +.sym 25515 $true$2 +.sym 25516 $auto$alumacc.cc:484:replace_alu$22893[2] +.sym 25521 $auto$alumacc.cc:484:replace_alu$22893[30]$2 +.sym 25523 $auto$alumacc.cc:470:replace_alu$22832.BB[3] +.sym 25524 $false +.sym 25525 $false +.sym 25526 $false +.sym 25528 $auto$alumacc.cc:470:replace_alu$22832.B_buf[2] +.sym 25529 $false +.sym 25530 $false +.sym 25531 $false +.sym 25533 I2C.FLT_SDA.out +.sym 25534 $false +.sym 25535 $false +.sym 25536 $false +.sym 25537 $auto$dff2dffe.cc:175:make_patterns_logic$49237 +.sym 25538 CLK$2$2 +.sym 25539 $false +.sym 25608 $true +.sym 25645 $auto$alumacc.cc:470:replace_alu$22832.C[1]$2 +.sym 25646 $false +.sym 25647 $auto$alumacc.cc:470:replace_alu$22832.C[1] +.sym 25648 $false +.sym 25649 $false +.sym 25650 $auto$alumacc.cc:470:replace_alu$22832.C[2] +.sym 25652 $false +.sym 25653 $auto$alumacc.cc:470:replace_alu$22832.BB[1] +.sym 25655 $auto$alumacc.cc:470:replace_alu$22832.C[3] +.sym 25657 $false +.sym 25658 $auto$alumacc.cc:470:replace_alu$22832.BB[2] +.sym 25660 $auto$simplemap.cc:250:simplemap_eqne$49134[4]$2 +.sym 25662 $false +.sym 25663 $auto$alumacc.cc:470:replace_alu$22832.BB[3] +.sym 25669 $auto$simplemap.cc:250:simplemap_eqne$49134[4]$2 +.sym 25671 $auto$alumacc.cc:470:replace_alu$22832.B_buf[1] +.sym 25672 $false +.sym 25673 $false +.sym 25674 $false +.sym 25681 $techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] +.sym 25682 $false +.sym 25683 $false +.sym 25684 $false +.sym 25794 $abc$60421$n894_1 +.sym 25795 $auto$wreduce.cc:310:run$22796[0] +.sym 25796 $false +.sym 25797 $false +.sym 25799 $abc$60421$n894_1 +.sym 25800 I2C.FLT_SDA.out +.sym 25801 I2C.SDAF +.sym 25802 $false +.sym 25804 I2C.FLT_SDA.counter[0] +.sym 25805 I2C.FLT_SDA.counter[1] +.sym 25806 I2C.FLT_SDA.counter[2] +.sym 25807 $false +.sym 25809 $false +.sym 25810 I2C.FLT_SDA.counter[2] +.sym 25811 $true$2 +.sym 25812 $auto$alumacc.cc:484:replace_alu$22899[1] +.sym 25814 $techmap\I2C.FLT_SDA.$procmux$979_Y[1] +.sym 25815 $auto$wreduce.cc:310:run$22796[2] +.sym 25816 I2C.FLT_SDA.out +.sym 25817 I2C.SDAF +.sym 25819 $abc$60421$n894_1 +.sym 25820 $auto$wreduce.cc:310:run$22796[2] +.sym 25821 $false +.sym 25822 $false +.sym 25824 $techmap\I2C.FLT_SDA.$procmux$979_Y[0] +.sym 25825 $false +.sym 25826 $false +.sym 25827 $false +.sym 25829 $techmap\I2C.FLT_SDA.$procmux$979_Y[1] +.sym 25830 $false +.sym 25831 $false +.sym 25832 $false +.sym 25833 $auto$dff2dffe.cc:158:make_patterns_logic$59791 +.sym 25834 CLK$2$2 +.sym 25835 $0\KBD_FREEZE[0:0]$2 +.sym 25904 $true +.sym 25941 I2C.FLT_SDA.counter[0]$2 +.sym 25942 $false +.sym 25943 I2C.FLT_SDA.counter[0] +.sym 25944 $false +.sym 25945 $false +.sym 25946 $auto$alumacc.cc:484:replace_alu$22899[1]$2 +.sym 25948 I2C.FLT_SDA.counter[1] +.sym 25949 $true$2 +.sym 25955 $auto$alumacc.cc:484:replace_alu$22899[1]$2 +.sym 25967 $false +.sym 25968 I2C.FLT_SDA.counter[0] +.sym 25969 $false +.sym 25970 $true$2 +.sym 25972 I2C.FLT_SDA.counter[0] +.sym 25973 I2C.FLT_SDA.counter[1] +.sym 25974 $false +.sym 25975 $false +.sym 26280 INT +.sym 26309 $memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 +.sym 26310 $false +.sym 26312 I2C.SDA_DIR +.sym 26339 $auto$rtlil.cc:1692:NotGate$60414 +.sym 26343 I2C_TRANS +.sym 26399 LED4$2 +.sym 26402 LED3$2 +.sym 26429 LED2$2 +.sym 26432 LED1$2 diff --git a/i2c_keyboard/i2c_slave.v b/i2c_keyboard/i2c_slave.v new file mode 100644 index 0000000..7cd0944 --- /dev/null +++ b/i2c_keyboard/i2c_slave.v @@ -0,0 +1,187 @@ +module i2c_slave (input CLK, input RESET, + input SCL, inout SDA, + output IS_TRANSMISSION, output IS_READ, output IS_ACK, output WR, //output ACK_MASTER_CTRL, + output [7:0] RECEIVED_BYTE, input [7:0] BYTE_TO_TRANSMIT, + output [(MAX_I2C_TRANSACTION_EXP2-1):0] COUNTER); +// ALL OPERATIONS WITH MEMORY ARE IN POSEDGE CLK, IN NEGEDGE - ONLY SCL AND SDA LATCH +// COUNTER = 0 - ADRESS RECEIVED, COUNTER >=1 - DATA TRANSMISSION +// RECEIVED BYTES MUST READ WHEN WR POSEDGE, ADRESS NOT READING ###AND BYTE COUNTER >=1 (BYTE COUNTER = 0 - ADRESS) +// BYTES TO TRANSMIT MUST WRITE WHEN WR POSEDGE, BYTE COUNTER CAN BE ZERO +// (FIRST BYTE TRANSMITTED AFTER ADRESS). +// LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (DECAUSE MASTER STOPS TRANSMIT) + + parameter I2C_ADRESS = 7'h34; + parameter MAX_I2C_TRANSACTION_EXP2 = 8; // !!! - FOR LIMIT BYTES TO TX/RX (WITH ADRESS) + + reg SDA_IN, SDA_DIR, SDA_OUT; + initial begin + SDA_OUT = 0; + end + + /*reg*/wire SCLD, SDAD; + + reg SCL_LAST, SDA_LAST; + reg i2c_state_machine; + reg i2c_start_latency; // GETS LATENCY (ONE CLK) TO IS_TRANSMISSION WIRE + // NEEDS WHEN START REPEAT OCCURS + // WITHOUT THIS THERE ARE NO SIGNALS TO CALL ABOUT END OF PACKET BEFORE START REPEAT + initial begin + SCL_LAST = 1; SDA_LAST = 1; i2c_state_machine = 0; + end + reg is_read; + reg [3:0] i2c_bit_counter; + reg [7:0] received_byte; + reg [7:0] byte_to_transmit; + reg [(MAX_I2C_TRANSACTION_EXP2-1):0] byte_counter; + //reg is_for_me; + reg is_ack; + reg wr;//reg ack_master_ctrl; + + // FILTER + reg SCLF, SDAF; + reg [3:0] scl_cnt, sda_cnt; + + simple_filter FLT_SCL (CLK, RESET, SCLF, SCLD); + simple_filter FLT_SDA (CLK, RESET, SDAF, SDAD); + + always@(negedge CLK) begin + SCLF <= SCL; + SDAF <= SDA_IN; + end + + always@(posedge CLK or negedge RESET) begin + if (RESET == 0) + i2c_state_machine <= 0; + else begin + /* if (scl_cnt != 0) begin + scl_cnt = scl_cnt - 1; + if (scl_cnt == 0) begin + if (SCLD != SCLF) + SCLD = SCLF; + end + end + else begin + if (SCLD != SCLF) + scl_cnt = 3'd7; + end + if (sda_cnt != 0) begin + sda_cnt = sda_cnt - 1; + if (sda_cnt == 0) begin + if (SDAD != SDAF) + SDAD = SDAF; + end + end + else begin + if (SDAD != SDAF) + sda_cnt = 3'd7; + end*/ + // END OF FILTER + + //SDA_IN = SDA; // FOR IVERILOG + if ((SDAD == 0) && (SDA_LAST == 1) && (SCLD == 1)) begin + i2c_state_machine = 1; + i2c_start_latency = 0; + i2c_bit_counter = 4'd8; + byte_counter = 9'd0; + //is_for_me = 1; // RESETS TO ZERO WHEN ADRESS CHECKING + SDA_DIR = 0; + is_ack = 0; + //ack_master_ctrl = 1; + wr = 0; + end + else if ((i2c_state_machine == 1) && (i2c_start_latency == 0)) begin + i2c_start_latency = 1; + is_read = 0; + end + if ((SDAD == 1) && (SDA_LAST == 0) && (SCLD == 1)) begin + i2c_state_machine = 0; + SDA_DIR = 0; + wr = 0; + end + if (i2c_state_machine/* && is_for_me*/) begin + if (!is_read) begin + if (i2c_bit_counter > 0) begin + if ((SCL_LAST == 0) && (SCLD == 1)) begin + received_byte[i2c_bit_counter-1] = SDAD; + i2c_bit_counter = i2c_bit_counter - 1; + end + end + else begin + if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin + if (byte_counter == 0) begin + if (received_byte[7:1] != I2C_ADRESS) + i2c_state_machine = 0; //is_for_me = 0; + is_read = received_byte[0]; + end + else begin + // EMIT SIGNAL OF BYTE RECEIVING + end + if (byte_counter != ((1< 1)) + wr = 1; + else if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 1)) begin + is_ack = 0; + SDA_DIR = 0; + i2c_bit_counter = 4'd8; + wr = 0; + end + end + end + else begin // IS_READ + if (i2c_bit_counter > 0) begin + if ((SCL_LAST == 1) && (SCLD == 0)) begin + wr = 0; + SDA_DIR = (BYTE_TO_TRANSMIT[i2c_bit_counter-1] ^ 1) /*& is_for_me & ack_master_ctrl*/; + i2c_bit_counter = i2c_bit_counter - 1; + is_ack = 0; + end + end + else begin + if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin + SDA_DIR = 0; + is_ack = 1; + end + else if ((SCL_LAST == 0) && (SCLD == 1) && (is_ack == 1)) begin + i2c_bit_counter = 8; + i2c_state_machine = (SDAD ^ 1) | SDA_DIR; //ack_master_ctrl = SDAD+1; // MAYBE TRANSMIT BYTE REPEAT + wr = (SDAD ^ 1) | SDA_DIR; + if (byte_counter != ((1< 8'hDF) && (kbd_code < 8'hE8)) begin + kbd_code = kbd_code & 8'h07; + if (is_pressed) + report [7:0] <= report [7:0] | (1< (2 + 10 - 1))) + I2C_TX_REPORT <= 0; + else if (I2C_COUNTER == 2) + I2C_TX_REPORT <= 10; + else if (I2C_COUNTER == 3) + I2C_TX_REPORT <= 0; + else + I2C_TX_REPORT <= kbd_report[ (8 * (I2C_COUNTER - 4) + 7) : (8 * (I2C_COUNTER - 4) + 0) ]; + end + else + I2C_TX_REPORT <= 0; + end + end + else if ((last_wr == 1) && (I2C_WR == 0)) begin + UART_WR <= 1; + if (I2C_READ == 0) + UART_TX_DATA <= I2C_RX; + else + UART_TX_DATA <= I2C_TX; + end + else if ((last_trans == 0) && (I2C_TRANS == 1)) begin + UART_TX_DATA = 8'hFF; + UART_WR = 1; + uart_double_ff = 1; + KBD_FREEZE = 0; + end + else if ((last_trans == 1) && (I2C_TRANS == 0)) begin + if (I2C_READ == 0) begin // DECODING PACKET RECEIVED FROM HOST + if (I2C_INPUT_LEN == 0) + KBD_FREEZE <= 0; + else if (I2C_INPUT_LEN == 2) begin + if ((I2C_INPUT_DATA[0] == 1) && (I2C_INPUT_DATA[1] == 0)) // I2C_HID_DESC_REQUEST + I2C_OUTPUT_TYPE = 1; + else if ((I2C_INPUT_DATA[0] == 2) && (I2C_INPUT_DATA[1] == 0)) // HID REPORT DESC REQUEST + I2C_OUTPUT_TYPE = 2; + else if ((I2C_INPUT_DATA[0] == 3) && (I2C_INPUT_DATA[1] == 0)) // INPUT REPORT REQUEST (ADR) + I2C_OUTPUT_TYPE = 3; + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 5) begin // OUTPUT REPORT SET (LEDS) - WRITE TO OUT ADR + if ((I2C_INPUT_DATA[0] == 4) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 1) && (I2C_INPUT_DATA[3] == 0)) begin + KBD_LED_STATUS <= I2C_INPUT_DATA[4]; + KBD_FREEZE <= 0; + end + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 6) begin // INPUT REPORT REQUEST (KBD PRESS INFO) + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 16) && (I2C_INPUT_DATA[3] == 2) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0)) + I2C_OUTPUT_TYPE = 3; + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 9) begin // OUTPUT REPORT SET (LEDS) - WRITE BY CMD + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 32) && (I2C_INPUT_DATA[3] == 3) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0) /*&& (I2C_INPUT_DATA[6] == 1) && (I2C_INPUT_DATA[7] == 0)*/) begin + KBD_LED_STATUS <= I2C_INPUT_DATA[8]; + KBD_FREEZE <= 0; + end + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 4) begin + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 0) && (I2C_INPUT_DATA[3] == 1)) + rststate <= 4'h0; // RESET COMMAND + end + //else + // I2C_OUTPUT_TYPE = 0; // + if ((I2C_OUTPUT_TYPE == 1) || (I2C_OUTPUT_TYPE == 2)) + I2C_OUT_DESC_MASK = 8'hFF; + else + I2C_OUT_DESC_MASK = 8'h00; + end // END OF I2C_READ == 0 + else begin + KBD_FREEZE <= 0; // UNFREEZING KBD AFTER ANYONE I2C RECEIVING + //if (((I2C_OUTPUT_TYPE == 3) && (I2C_INPUT_LEN == 10)) || ((I2C_OUTPUT_TYPE == 0) && (I2C_INPUT_LEN > 1))) begin // HARD + if (((I2C_OUTPUT_TYPE == 3) || (I2C_OUTPUT_TYPE == 0)) && (I2C_INPUT_LEN > 1)) begin // SOFT + // DEACTIVATING INTERRRUPT IF HOST READ INPUT REPORT (LEN 10) AFTER INTERRUPT OR EMPTY DATA (>=2 BYTES) AFTER RESET + // AND UNFREEZING KEYBOARD + INT <= 1; + //KBD_FREEZE <= 0; + IS_EMPTY_REPORT = 1; + end + end + end + else if ((last_uart_active == 1) && (UART_ACTIVE == 0) && (uart_double_ff == 1)) begin + UART_WR = 1; + UART_TX_DATA = 8'hFF; + uart_double_ff = 0; + I2C_INPUT_LEN = 0; + end + else if (UART_WR == 1) + UART_WR <= 0; + else if ((last_isr == 0) && (ISR == 1) && (INT == 1)) begin + INT = 0; + I2C_OUTPUT_TYPE = 3; + I2C_OUT_DESC_MASK = 8'h00; + end + last_wr <= I2C_WR; + last_trans <= I2C_TRANS; + last_uart_active <= UART_ACTIVE; + last_isr <= ISR; + end + end + + assign LED5 = I2C_TRANS; + //assign LED5 = COM_RX; + assign LED1 = INT ^ 1;//KBD_COLUMNS[0];//I2C_OUTPUT_TYPE[0];//I2C_RX[0]; + assign LED2 = KBD_LED_STATUS[0];//I2C_OUTPUT_TYPE[0]; + assign LED3 = KBD_LED_STATUS[1];//I2C_OUTPUT_TYPE[1]; + assign LED4 = KBD_LED_STATUS[2];//KBD_FREEZE;//UART_ACTIVE; + //assign ACK = I2C_READ;//I2C_WR; //I2C_ACK; + + assign COM_TX = UART_TX_LINE;//COM_RX; + assign INTERRUPT = INT; + assign COM_RTS = I2C_READ;//UART_RTS; + assign COM_DSR = KBD_FREEZE;//UART_DTR; + assign COM_DCD = INT; + +endmodule //top diff --git a/i2c_keyboard/uart.v b/i2c_keyboard/uart.v new file mode 100644 index 0000000..8e54b1e --- /dev/null +++ b/i2c_keyboard/uart.v @@ -0,0 +1,60 @@ + +module uart ( input CLK, input RESET, input TX_SIGNAL, input [7:0] TX_BYTE, + output TX_ACTIVITY, output TX_LINE); +// CLK - INPUT CLOCK (12 MHZ FOR ICESTICK), RESET: IF RESET == 0, MODULE RESETS +// TX_SIGNAL - SIGNAL TO START TRANSMISSION (RISING EDGE), TX_BYTE - BYTE TO TRANSMIT +// TX_ACTIVITY = 1, IF SOME BYTE IS TRANSMITTING NOW, ELSE - 0 +// TX_LINE - LINE OF UART_TX, +// IF BYTE IS TRANSMITTING, ATTEMPT TO TRANSMIT OTHER BYTE HAS NO EFFECT +// MODULE WORKS AT POSEDGE + +parameter CLK_DIV = 13; +reg TX_sig_last; +reg [3:0] tx_bit_counter; +reg [3:0] tx_clk_counter; // MUST CONTAIN CLK DIV +//reg [7:0] tx_data; +reg tx_activity; +reg tx_line; +initial begin + TX_sig_last = 0; + tx_line = 1; +end + +always @ (posedge CLK) begin + if (RESET == 0) begin + /*tx_data = 0;*/ tx_clk_counter = 0; + end + + else begin + if (tx_activity) begin + tx_clk_counter = tx_clk_counter - 1; + if (tx_clk_counter == 0) begin + tx_clk_counter = CLK_DIV; + if (tx_bit_counter == 0) + tx_activity = 0; + else begin + tx_bit_counter = tx_bit_counter - 1; + if (tx_bit_counter > 0) + tx_line = TX_BYTE[8-tx_bit_counter]; + else + tx_line = 1; // STOP_BIT + end + end + end + else begin + if ((TX_SIGNAL == 1) && (TX_sig_last == 0)) begin + //tx_data = TX_BYTE; + tx_activity = 1; + tx_bit_counter = 9; // NO PARITY, STOP 1 BIT + tx_clk_counter = CLK_DIV; + tx_line = 0; // START BIT + end + end + TX_sig_last = TX_SIGNAL; + end +end + +assign TX_LINE = tx_line; +assign TX_ACTIVITY = tx_activity; + +endmodule diff --git a/kbd_4x4_test/.sconsign.dblite b/kbd_4x4_test/.sconsign.dblite deleted file mode 100644 index 2f17597..0000000 Binary files a/kbd_4x4_test/.sconsign.dblite and /dev/null differ diff --git a/kbd_4x4_test/apio.ini b/kbd_4x4_test/apio.ini deleted file mode 100644 index 1faba9f..0000000 --- a/kbd_4x4_test/apio.ini +++ /dev/null @@ -1,3 +0,0 @@ -[env] -board = icestick - diff --git a/kbd_4x4_test/ext_desc.pcf b/kbd_4x4_test/ext_desc.pcf deleted file mode 100644 index c4f30f8..0000000 --- a/kbd_4x4_test/ext_desc.pcf +++ /dev/null @@ -1,20 +0,0 @@ -set_io LEDS[0] 99 #RED -set_io LEDS[1] 98 #RED -set_io LEDS[2] 97 #RED -set_io LEDS[3] 96 #RED -set_io TACT_LED 95 #GREEN - -set_io COLS[0] 78 #J2-1 or PIO1-02 -set_io COLS[1] 79 #J2-2 or PIO1-03 -set_io COLS[2] 80 #J2-3 or PIO1-04 -set_io COLS[3] 81 #J2-4 or PIO1-05 - -set_io ROWS[0] 119 #J1-10 or PIO0-09 -set_io ROWS[1] 118 #J1-9 or PIO0-08 -set_io ROWS[2] 117 #J1-8 or PIO0-07 -set_io ROWS[3] 116 #J1-7 or PIO0-06 - -set_io CLK 21 -#set_io SCL 90 # J2, 9 -#set_io SDA 91 # J2, 10 - # GND - J2, 11 diff --git a/kbd_4x4_test/hardware.asc b/kbd_4x4_test/hardware.asc deleted file mode 100644 index 38ecb88..0000000 --- a/kbd_4x4_test/hardware.asc +++ /dev/null @@ -1,4516 +0,0 @@ -.comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) -.device 1k -.io_tile 1 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 2 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 3 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 4 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 5 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 6 0 -000000111000000000 -000100001000000000 -000000000000000000 -000000000000000000 -000000000000000100 -000000000000000000 -001000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 7 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000100 -000000000000001100 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000010110000000000 -000000000000000000 -000000000000000000 -.io_tile 8 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 9 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 10 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 11 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 12 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 1 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 1 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 3 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 3 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 3 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 3 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -100000000000000000 -000000000000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -.io_tile 0 4 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 4 -000000000001000000 -000100000000000000 -000000000000000000 -010000000000000001 -000000000000000000 -000000000000000000 -001000000001000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -001000000000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -.io_tile 0 5 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 5 -010000000000000000000111100011101001111100010000000000 -000000000000000000000110010101111010111100000000000001 -111000000000000001100110000101101010000010000000000000 -000000000000000000000000001001111011000000000000000000 -010000000000000001100010101001100000000000010010000000 -010000000000000000000110111111001010000000000000000000 -000000000000000001100110000000000000000000000000000000 -000000000000001101000010110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111001011101111000010000000000000 -000000000000000000000110010101101011000000000000000000 -000000000000000011000000001001100000000000010000000010 -001000000000000000100000001111001010000000000000000000 -010000000000000000000111001000000000000010000100000000 -000000000000000000000100001001000000000000000000000001 -.logic_tile 8 5 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -010000000000000000000000000101100001001100110110000000 -000000000000000000000000000000001001110011000000000000 -.logic_tile 9 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 5 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 6 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 6 -000000000000000001000110010001100000000000001000000000 -000000000000000000100010000000100000000000000000001000 -111000000000001001100110010000000000000000001000000000 -000000000000000001000110000000001000000000000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000110000000001001001100111100000001 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100111100000010 -000000000000000000000000000000001101110011000000000000 -.logic_tile 8 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 6 -000000000000000000 -000000000000000000 -100000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -001100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 7 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 7 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 7 -000000000000000000000010000111101100100000000000000000 -000000000000000000000100001111001100000000000000000000 -000000000000001101000000000000000000000000000000000000 -000000000000000001100000000000000000000000000000000000 -000000000000001000000110000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000111101110100000000000000000 -000000000000000000000000000111101001000000000000000000 -000000000000000101000000010011111101000010000000000000 -000000000000000101000010101011011101000000000000000000 -000000000000000101100110110000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000101100110100000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000001000000010101001101010100000000000000000 -000000000000000101000000000001011010000000000000000010 -.logic_tile 7 7 -000000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000010100 -111000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -.logic_tile 8 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 7 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 7 -000000000001000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000001000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 8 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000001100 -000000000000001000 -001100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -010011010000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -.logic_tile 1 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000010011111100010000000000000000 -000000000000000101000010101011101111000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 8 -000000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000010000 -111000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000001 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100110100000000 -000000000000000000000000000000001001110011000000000000 -.logic_tile 8 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 8 -000000000001000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000001000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 9 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 9 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 9 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 9 -000001111000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000010 -000000000000010000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 10 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 10 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 11 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 11 -000000000000000010 -000111010000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000010000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000100010 -000011010000110000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 12 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 12 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 12 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 12 -000000000000000010 -000011010000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000010000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000100010 -000011010000110000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 13 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 13 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 13 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 13 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 14 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000001100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000110000000 -000000000000000000000000000001000000000000000000000101 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 14 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 15 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 15 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000101000000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000001011100000000000000000000010000000000100 -000000000000000001000000001101000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000000001000000000000000000100000001 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000001000000000000000000100000001 -110000000000000000000000000101000000000010000000000000 -.ramb_tile 10 15 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 15 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011000000000000000101000000 -000000000000000000000000001001100000000011000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 15 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 16 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 16 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -110000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -110000000000000000000000000000000000000000000100000000 -010000000000000000000000001101000000000010000000000000 -.ramt_tile 10 16 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 16 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 1 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 2 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 3 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 4 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 5 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 6 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 7 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 8 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 9 17 -000000000000000010 -000100000000000000 -000000000000000000 -000000000000000001 -000000000011000001 -000000000011000000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000011000010 -000000000011000000 -000000000000000000 -000000000000000001 -000010011000000001 -000010011000000000 -.io_tile 10 17 -000000000000000010 -000100000000000000 -000000000000000000 -000000000000000001 -000000000010000001 -000000000011000000 -001100111000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000111001000010 -000000000011000000 -000000000000000000 -000000000000000001 -000000000000000001 -000000000000000000 -.io_tile 11 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 12 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.sym 1 $abc$541$n1$2 -.sym 6 $abc$541$n50$2 -.sym 7 CLK$2$2 -.sym 830 CLK$2 -.sym 836 CLK$2 -.sym 11005 $abc$541$n40 -.sym 11008 $abc$541$n41 -.sym 11009 $abc$541$n39 -.sym 11012 $abc$541$n37_1 -.sym 11133 $abc$541$n38 -.sym 12309 $abc$541$n50 -.sym 12868 $abc$541$n51 -.sym 12869 $abc$541$n43 -.sym 12870 $abc$541$n50 -.sym 12873 $abc$541$n42 -.sym 12874 $abc$541$n1 -.sym 12875 period_counter[1] -.sym 12993 period_counter[2] -.sym 12994 period_counter[3] -.sym 12995 period_counter[4] -.sym 12996 period_counter[5] -.sym 12997 period_counter[6] -.sym 12998 period_counter[7] -.sym 13114 period_counter[8] -.sym 13115 period_counter[9] -.sym 13116 period_counter[10] -.sym 13117 period_counter[11] -.sym 13118 period_counter[12] -.sym 13119 period_counter[13] -.sym 13120 period_counter[14] -.sym 13121 period_counter[15] -.sym 13188 period_counter[11] -.sym 13189 period_counter[12] -.sym 13190 period_counter[16] -.sym 13191 period_counter[17] -.sym 13206 period_counter[21] -.sym 13207 period_counter[23] -.sym 13208 period_counter[8] -.sym 13209 period_counter[9] -.sym 13212 period_counter[10] -.sym 13213 period_counter[13] -.sym 13214 period_counter[14] -.sym 13215 period_counter[15] -.sym 13230 $abc$541$n38 -.sym 13231 $abc$541$n39 -.sym 13232 $abc$541$n40 -.sym 13233 $abc$541$n41 -.sym 13237 period_counter[16] -.sym 13238 period_counter[17] -.sym 13239 period_counter[18] -.sym 13240 period_counter[19] -.sym 13241 period_counter[20] -.sym 13242 period_counter[21] -.sym 13243 period_counter[22] -.sym 13244 period_counter[23] -.sym 13341 period_counter[19] -.sym 13342 period_counter[22] -.sym 13343 period_counter[18] -.sym 13344 period_counter[20] -.sym 14418 $abc$541$n1 -.sym 14984 period_counter[0] -.sym 15051 $abc$541$n43 -.sym 15052 $abc$541$n37_1 -.sym 15053 $abc$541$n42 -.sym 15054 period_counter[0] -.sym 15057 period_counter[0] -.sym 15058 period_counter[1] -.sym 15059 period_counter[2] -.sym 15060 period_counter[3] -.sym 15063 $abc$541$n37_1 -.sym 15064 $abc$541$n42 -.sym 15065 $abc$541$n43 -.sym 15066 $false -.sym 15081 period_counter[4] -.sym 15082 period_counter[5] -.sym 15083 period_counter[6] -.sym 15084 period_counter[7] -.sym 15087 $abc$541$n37_1 -.sym 15088 $abc$541$n42 -.sym 15089 $abc$541$n43 -.sym 15090 $false -.sym 15093 period_counter[1] -.sym 15094 $false -.sym 15095 $false -.sym 15096 $false -.sym 15097 $abc$541$n51 -.sym 15098 CLK$2$2 -.sym 15099 $abc$541$n1$2 -.sym 15136 $true -.sym 15173 period_counter[0]$2 -.sym 15174 $false -.sym 15175 period_counter[0] -.sym 15176 $false -.sym 15177 $false -.sym 15179 $auto$alumacc.cc:470:replace_alu$27.C[2] -.sym 15181 $false -.sym 15182 period_counter[1] -.sym 15185 $auto$alumacc.cc:470:replace_alu$27.C[3] -.sym 15186 $false -.sym 15187 $false -.sym 15188 period_counter[2] -.sym 15189 $auto$alumacc.cc:470:replace_alu$27.C[2] -.sym 15191 $auto$alumacc.cc:470:replace_alu$27.C[4] -.sym 15192 $false -.sym 15193 $false -.sym 15194 period_counter[3] -.sym 15195 $auto$alumacc.cc:470:replace_alu$27.C[3] -.sym 15197 $auto$alumacc.cc:470:replace_alu$27.C[5] -.sym 15198 $false -.sym 15199 $false -.sym 15200 period_counter[4] -.sym 15201 $auto$alumacc.cc:470:replace_alu$27.C[4] -.sym 15203 $auto$alumacc.cc:470:replace_alu$27.C[6] -.sym 15204 $false -.sym 15205 $false -.sym 15206 period_counter[5] -.sym 15207 $auto$alumacc.cc:470:replace_alu$27.C[5] -.sym 15209 $auto$alumacc.cc:470:replace_alu$27.C[7] -.sym 15210 $false -.sym 15211 $false -.sym 15212 period_counter[6] -.sym 15213 $auto$alumacc.cc:470:replace_alu$27.C[6] -.sym 15215 $auto$alumacc.cc:470:replace_alu$27.C[8] -.sym 15216 $false -.sym 15217 $false -.sym 15218 period_counter[7] -.sym 15219 $auto$alumacc.cc:470:replace_alu$27.C[7] -.sym 15220 $true -.sym 15221 CLK$2$2 -.sym 15222 $abc$541$n1$2 -.sym 15259 $auto$alumacc.cc:470:replace_alu$27.C[8] -.sym 15296 $auto$alumacc.cc:470:replace_alu$27.C[9] -.sym 15297 $false -.sym 15298 $false -.sym 15299 period_counter[8] -.sym 15300 $auto$alumacc.cc:470:replace_alu$27.C[8] -.sym 15302 $auto$alumacc.cc:470:replace_alu$27.C[10] -.sym 15303 $false -.sym 15304 $false -.sym 15305 period_counter[9] -.sym 15306 $auto$alumacc.cc:470:replace_alu$27.C[9] -.sym 15308 $auto$alumacc.cc:470:replace_alu$27.C[11] -.sym 15309 $false -.sym 15310 $false -.sym 15311 period_counter[10] -.sym 15312 $auto$alumacc.cc:470:replace_alu$27.C[10] -.sym 15314 $auto$alumacc.cc:470:replace_alu$27.C[12] -.sym 15315 $false -.sym 15316 $false -.sym 15317 period_counter[11] -.sym 15318 $auto$alumacc.cc:470:replace_alu$27.C[11] -.sym 15320 $auto$alumacc.cc:470:replace_alu$27.C[13] -.sym 15321 $false -.sym 15322 $false -.sym 15323 period_counter[12] -.sym 15324 $auto$alumacc.cc:470:replace_alu$27.C[12] -.sym 15326 $auto$alumacc.cc:470:replace_alu$27.C[14] -.sym 15327 $false -.sym 15328 $false -.sym 15329 period_counter[13] -.sym 15330 $auto$alumacc.cc:470:replace_alu$27.C[13] -.sym 15332 $auto$alumacc.cc:470:replace_alu$27.C[15] -.sym 15333 $false -.sym 15334 $false -.sym 15335 period_counter[14] -.sym 15336 $auto$alumacc.cc:470:replace_alu$27.C[14] -.sym 15338 $auto$alumacc.cc:470:replace_alu$27.C[16] -.sym 15339 $false -.sym 15340 $false -.sym 15341 period_counter[15] -.sym 15342 $auto$alumacc.cc:470:replace_alu$27.C[15] -.sym 15343 $true -.sym 15344 CLK$2$2 -.sym 15345 $abc$541$n1$2 -.sym 15382 $auto$alumacc.cc:470:replace_alu$27.C[16] -.sym 15419 $auto$alumacc.cc:470:replace_alu$27.C[17] -.sym 15420 $false -.sym 15421 $false -.sym 15422 period_counter[16] -.sym 15423 $auto$alumacc.cc:470:replace_alu$27.C[16] -.sym 15425 $auto$alumacc.cc:470:replace_alu$27.C[18] -.sym 15426 $false -.sym 15427 $false -.sym 15428 period_counter[17] -.sym 15429 $auto$alumacc.cc:470:replace_alu$27.C[17] -.sym 15431 $auto$alumacc.cc:470:replace_alu$27.C[19] -.sym 15432 $false -.sym 15433 $false -.sym 15434 period_counter[18] -.sym 15435 $auto$alumacc.cc:470:replace_alu$27.C[18] -.sym 15437 $auto$alumacc.cc:470:replace_alu$27.C[20] -.sym 15438 $false -.sym 15439 $false -.sym 15440 period_counter[19] -.sym 15441 $auto$alumacc.cc:470:replace_alu$27.C[19] -.sym 15443 $auto$alumacc.cc:470:replace_alu$27.C[21] -.sym 15444 $false -.sym 15445 $false -.sym 15446 period_counter[20] -.sym 15447 $auto$alumacc.cc:470:replace_alu$27.C[20] -.sym 15449 $auto$alumacc.cc:470:replace_alu$27.C[22] -.sym 15450 $false -.sym 15451 $false -.sym 15452 period_counter[21] -.sym 15453 $auto$alumacc.cc:470:replace_alu$27.C[21] -.sym 15455 $auto$alumacc.cc:470:replace_alu$27.C[23] -.sym 15456 $false -.sym 15457 $false -.sym 15458 period_counter[22] -.sym 15459 $auto$alumacc.cc:470:replace_alu$27.C[22] -.sym 15462 $false -.sym 15463 $false -.sym 15464 period_counter[23] -.sym 15465 $auto$alumacc.cc:470:replace_alu$27.C[23] -.sym 15466 $true -.sym 15467 CLK$2$2 -.sym 15468 $abc$541$n1$2 -.sym 17202 $false -.sym 17203 $true$2 -.sym 17204 period_counter[0] -.sym 17205 $false -.sym 17206 $true -.sym 17207 CLK$2$2 -.sym 17208 $abc$541$n1$2 -.sym 18198 TACT_LED$2 -.sym 18319 $abc$541$n3 -.sym 18320 $abc$541$n54 -.sym 18321 rows_en[3] -.sym 18323 rows_en[2] -.sym 18445 rows_en[0] -.sym 18446 rows_en[1] -.sym 20405 TACT_LED$2 -.sym 20406 $false -.sym 20407 $false -.sym 20408 $false -.sym 20421 $abc$541$n50$2 -.sym 20422 CLK$2$2 -.sym 20423 $false -.sym 20516 row_counter[1] -.sym 20517 $false -.sym 20518 $false -.sym 20519 $false -.sym 20522 TACT_LED$2 -.sym 20523 $false -.sym 20524 $false -.sym 20525 $false -.sym 20528 TACT_LED$2 -.sym 20529 $false -.sym 20530 $false -.sym 20531 $false -.sym 20540 $abc$541$n54 -.sym 20541 $false -.sym 20542 $false -.sym 20543 $false -.sym 20544 $abc$541$n50$2 -.sym 20545 CLK$2$2 -.sym 20546 $abc$541$n3 -.sym 20657 $abc$541$n54 -.sym 20658 $false -.sym 20659 $false -.sym 20660 $false -.sym 20663 TACT_LED$2 -.sym 20664 $false -.sym 20665 $false -.sym 20666 $false -.sym 20667 $abc$541$n50$2 -.sym 20668 CLK$2$2 -.sym 20669 row_counter[1] -.sym 20715 $false -.sym 20717 rows_en[0] -.sym 20718 $false -.sym 20720 rows_en[1] -.sym 21189 $true$2 -.sym 22211 row_counter[1] -.sym 22487 $false -.sym 22489 rows_en[2] -.sym 22490 $false -.sym 22492 rows_en[3] -.sym 23155 $false -.sym 23156 $false -.sym 23157 $false -.sym 23158 $false -.sym 24397 row_counter[1] -.sym 24398 TACT_LED$2 -.sym 24399 $false -.sym 24400 $false -.sym 24425 $abc$541$n50$2 -.sym 24426 CLK$2$2 -.sym 24427 $false -.sym 24936 COLS[0]$2 -.sym 25089 COLS[1]$2 -.sym 25091 COLS[2]$2 -.sym 25399 COLS[3]$2 -.sym 27463 TACT_LED$2 -.sym 27519 COLS[3]$2 -.sym 27522 COLS[2]$2 -.sym 27549 COLS[1]$2 -.sym 27552 COLS[0]$2 diff --git a/kbd_4x4_test/hardware.bin b/kbd_4x4_test/hardware.bin deleted file mode 100644 index cf6b24d..0000000 Binary files a/kbd_4x4_test/hardware.bin and /dev/null differ diff --git a/kbd_4x4_test/hardware.blif b/kbd_4x4_test/hardware.blif deleted file mode 100644 index 44d17d9..0000000 --- a/kbd_4x4_test/hardware.blif +++ /dev/null @@ -1,254 +0,0 @@ -# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) - -.model top -.inputs CLK COLS[0] COLS[1] COLS[2] COLS[3] ROWS[0] ROWS[1] ROWS[2] ROWS[3] -.outputs ROWS[0] ROWS[1] ROWS[2] ROWS[3] LEDS[0] LEDS[1] LEDS[2] LEDS[3] TACT_LED -.names $false -.names $true -1 -.names $undef -.gate SB_LUT4 I0=$abc$541$n37_1 I1=$abc$541$n42 I2=$abc$541$n43 I3=$false O=$abc$541$n1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$541$n38 I1=$abc$541$n39 I2=$abc$541$n40 I3=$abc$541$n41 O=$abc$541$n37_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=period_counter[19] I1=period_counter[22] I2=period_counter[18] I3=period_counter[20] O=$abc$541$n38 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=period_counter[10] I1=period_counter[13] I2=period_counter[14] I3=period_counter[15] O=$abc$541$n39 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=period_counter[11] I1=period_counter[12] I2=period_counter[16] I3=period_counter[17] O=$abc$541$n40 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=period_counter[21] I1=period_counter[23] I2=period_counter[8] I3=period_counter[9] O=$abc$541$n41 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=period_counter[4] I1=period_counter[5] I2=period_counter[6] I3=period_counter[7] O=$abc$541$n42 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=period_counter[0] I1=period_counter[1] I2=period_counter[2] I3=period_counter[3] O=$abc$541$n43 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$541$n43 I1=$abc$541$n37_1 I2=$abc$541$n42 I3=period_counter[0] O=$abc$541$n51 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=row_counter[1] I1=TACT_LED I2=$false I3=$false O=$0\row_counter[1:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=row_counter[1] I1=$false I2=$false I3=$false O=$abc$541$n3 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=period_counter[1] I1=$false I2=$false I3=$false O=$abc$541$n52 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=TACT_LED I1=$false I2=$false I3=$false O=$abc$541$n54 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$541$n37_1 I1=$abc$541$n42 I2=$abc$541$n43 I3=$false O=$abc$541$n50 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=TACT_LED I1=$false I2=$false I3=$false O=$0\row_counter[1:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$false I1=$true I2=period_counter[0] I3=$false O=$add$top.v:27$5_Y[0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[10] I3=$auto$alumacc.cc:470:replace_alu$27.C[10] O=$add$top.v:27$5_Y[10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[10] CO=$auto$alumacc.cc:470:replace_alu$27.C[11] I0=$false I1=period_counter[10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[11] I3=$auto$alumacc.cc:470:replace_alu$27.C[11] O=$add$top.v:27$5_Y[11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[11] CO=$auto$alumacc.cc:470:replace_alu$27.C[12] I0=$false I1=period_counter[11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[12] I3=$auto$alumacc.cc:470:replace_alu$27.C[12] O=$add$top.v:27$5_Y[12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[12] CO=$auto$alumacc.cc:470:replace_alu$27.C[13] I0=$false I1=period_counter[12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[13] I3=$auto$alumacc.cc:470:replace_alu$27.C[13] O=$add$top.v:27$5_Y[13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[13] CO=$auto$alumacc.cc:470:replace_alu$27.C[14] I0=$false I1=period_counter[13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[14] I3=$auto$alumacc.cc:470:replace_alu$27.C[14] O=$add$top.v:27$5_Y[14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[14] CO=$auto$alumacc.cc:470:replace_alu$27.C[15] I0=$false I1=period_counter[14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[15] I3=$auto$alumacc.cc:470:replace_alu$27.C[15] O=$add$top.v:27$5_Y[15] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[15] CO=$auto$alumacc.cc:470:replace_alu$27.C[16] I0=$false I1=period_counter[15] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[16] I3=$auto$alumacc.cc:470:replace_alu$27.C[16] O=$add$top.v:27$5_Y[16] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[16] CO=$auto$alumacc.cc:470:replace_alu$27.C[17] I0=$false I1=period_counter[16] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[17] I3=$auto$alumacc.cc:470:replace_alu$27.C[17] O=$add$top.v:27$5_Y[17] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[17] CO=$auto$alumacc.cc:470:replace_alu$27.C[18] I0=$false I1=period_counter[17] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[18] I3=$auto$alumacc.cc:470:replace_alu$27.C[18] O=$add$top.v:27$5_Y[18] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[18] CO=$auto$alumacc.cc:470:replace_alu$27.C[19] I0=$false I1=period_counter[18] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[19] I3=$auto$alumacc.cc:470:replace_alu$27.C[19] O=$add$top.v:27$5_Y[19] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[19] CO=$auto$alumacc.cc:470:replace_alu$27.C[20] I0=$false I1=period_counter[19] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=period_counter[0] CO=$auto$alumacc.cc:470:replace_alu$27.C[2] I0=$false I1=period_counter[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[20] I3=$auto$alumacc.cc:470:replace_alu$27.C[20] O=$add$top.v:27$5_Y[20] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[20] CO=$auto$alumacc.cc:470:replace_alu$27.C[21] I0=$false I1=period_counter[20] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[21] I3=$auto$alumacc.cc:470:replace_alu$27.C[21] O=$add$top.v:27$5_Y[21] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[21] CO=$auto$alumacc.cc:470:replace_alu$27.C[22] I0=$false I1=period_counter[21] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[22] I3=$auto$alumacc.cc:470:replace_alu$27.C[22] O=$add$top.v:27$5_Y[22] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[22] CO=$auto$alumacc.cc:470:replace_alu$27.C[23] I0=$false I1=period_counter[22] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[23] I3=$auto$alumacc.cc:470:replace_alu$27.C[23] O=$add$top.v:27$5_Y[23] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[2] I3=$auto$alumacc.cc:470:replace_alu$27.C[2] O=$add$top.v:27$5_Y[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[2] CO=$auto$alumacc.cc:470:replace_alu$27.C[3] I0=$false I1=period_counter[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[3] I3=$auto$alumacc.cc:470:replace_alu$27.C[3] O=$add$top.v:27$5_Y[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[3] CO=$auto$alumacc.cc:470:replace_alu$27.C[4] I0=$false I1=period_counter[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[4] I3=$auto$alumacc.cc:470:replace_alu$27.C[4] O=$add$top.v:27$5_Y[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[4] CO=$auto$alumacc.cc:470:replace_alu$27.C[5] I0=$false I1=period_counter[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[5] I3=$auto$alumacc.cc:470:replace_alu$27.C[5] O=$add$top.v:27$5_Y[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[5] CO=$auto$alumacc.cc:470:replace_alu$27.C[6] I0=$false I1=period_counter[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[6] I3=$auto$alumacc.cc:470:replace_alu$27.C[6] O=$add$top.v:27$5_Y[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[6] CO=$auto$alumacc.cc:470:replace_alu$27.C[7] I0=$false I1=period_counter[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[7] I3=$auto$alumacc.cc:470:replace_alu$27.C[7] O=$add$top.v:27$5_Y[7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[7] CO=$auto$alumacc.cc:470:replace_alu$27.C[8] I0=$false I1=period_counter[7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[8] I3=$auto$alumacc.cc:470:replace_alu$27.C[8] O=$add$top.v:27$5_Y[8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[8] CO=$auto$alumacc.cc:470:replace_alu$27.C[9] I0=$false I1=period_counter[8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[9] I3=$auto$alumacc.cc:470:replace_alu$27.C[9] O=$add$top.v:27$5_Y[9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[9] CO=$auto$alumacc.cc:470:replace_alu$27.C[10] I0=$false I1=period_counter[9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_DFFE C=CLK D=$0\row_counter[1:0][0] E=$abc$541$n50 Q=TACT_LED -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\row_counter[1:0][1] E=$abc$541$n50 Q=row_counter[1] -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[0] Q=period_counter[0] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$abc$541$n52 E=$abc$541$n51 Q=period_counter[1] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[2] Q=period_counter[2] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[3] Q=period_counter[3] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[4] Q=period_counter[4] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[5] Q=period_counter[5] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[6] Q=period_counter[6] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[7] Q=period_counter[7] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[8] Q=period_counter[8] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[9] Q=period_counter[9] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[10] Q=period_counter[10] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[11] Q=period_counter[11] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[12] Q=period_counter[12] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[13] Q=period_counter[13] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[14] Q=period_counter[14] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[15] Q=period_counter[15] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[16] Q=period_counter[16] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[17] Q=period_counter[17] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[18] Q=period_counter[18] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[19] Q=period_counter[19] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[20] Q=period_counter[20] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[21] Q=period_counter[21] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[22] Q=period_counter[22] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[23] Q=period_counter[23] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$abc$541$n54 E=$abc$541$n50 Q=rows_en[0] R=row_counter[1] -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=TACT_LED E=$abc$541$n50 Q=rows_en[1] R=row_counter[1] -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$541$n54 E=$abc$541$n50 Q=rows_en[2] R=$abc$541$n3 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=TACT_LED E=$abc$541$n50 Q=rows_en[3] R=$abc$541$n3 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_IO D_IN_0=rows_in[0] D_OUT_0=$false OUTPUT_ENABLE=rows_en[0] PACKAGE_PIN=ROWS[0] -.attr src "top.v:33" -.param PIN_TYPE 101001 -.param PULLUP 0 -.gate SB_IO D_IN_0=rows_in[1] D_OUT_0=$false OUTPUT_ENABLE=rows_en[1] PACKAGE_PIN=ROWS[1] -.attr src "top.v:33" -.param PIN_TYPE 101001 -.param PULLUP 0 -.gate SB_IO D_IN_0=rows_in[2] D_OUT_0=$false OUTPUT_ENABLE=rows_en[2] PACKAGE_PIN=ROWS[2] -.attr src "top.v:33" -.param PIN_TYPE 101001 -.param PULLUP 0 -.gate SB_IO D_IN_0=rows_in[3] D_OUT_0=$false OUTPUT_ENABLE=rows_en[3] PACKAGE_PIN=ROWS[3] -.attr src "top.v:33" -.param PIN_TYPE 101001 -.param PULLUP 0 -.names COLS[0] LEDS[0] -1 1 -.names COLS[1] LEDS[1] -1 1 -.names COLS[2] LEDS[2] -1 1 -.names COLS[3] LEDS[3] -1 1 -.names TACT_LED row_counter[0] -1 1 -.end diff --git a/kbd_4x4_test/top.v b/kbd_4x4_test/top.v deleted file mode 100644 index 25ca331..0000000 --- a/kbd_4x4_test/top.v +++ /dev/null @@ -1,43 +0,0 @@ -module top (input CLK, input [3:0] COLS, inout [3:0] ROWS, output [3:0] LEDS, output TACT_LED); - -// MODULE POOLS MATRIX KEYBOARD 4x4 WITH PERIOD ~1 SEC (FOR EACH ROW) -// AND REDIRECTED COLUMNS TO RED LEDS -// GREEN LED TOGGLES EVERY ONE SECOND (AS POOLING PERIOD) -// NEED FOR CHECKING CONNECTION TO MATRIX 4x4 KEYBOARD -// IF THERE IS NO KEY PRESSED, ALL RED LEDS ARE ON -// IF SOME KEY PRESSED, SOME LED IS NOT ON IN ONE OF FOUR PERIODS -// ETC - - reg [1:0] row_counter = 0; - reg [23:0] period_counter = 0; - reg [3:0] rows_out = 0; - reg [3:0] rows_en = 0; - wire [3:0] rows_in; - - - parameter period = 24'd12000000; - - always @ ( posedge CLK ) begin - if (period_counter == period) begin - period_counter <= 0; - rows_en <= (1<