From df40598fbc1caf569c59c06fd9b92a5f15a2d92c Mon Sep 17 00:00:00 2001 From: Ivan Olenichev Date: Mon, 19 Nov 2018 16:33:26 +0600 Subject: [PATCH] Updated i2c_keyboard --- i2c_flash/.sconsign.dblite | Bin 4362 -> 0 bytes i2c_flash/hardware.asc | 5969 ---------------- i2c_flash/hardware.bin | Bin 32220 -> 0 bytes i2c_flash/hardware.blif | 1161 --- i2c_flash/i2c_slave.v | 173 - i2c_flash/inouts.pcf | 26 - i2c_flash/top.v | 112 - i2c_flash/uart.v | 48 - i2c_keyboard/.sconsign.dblite | Bin 0 -> 4554 bytes i2c_keyboard/Makefile | 9 + {i2c_flash => i2c_keyboard}/apio.ini | 0 i2c_keyboard/descriptors.v | 150 + i2c_keyboard/hardware.asc | 9411 ++++++++++++++++++++++++ i2c_keyboard/hardware.bin | Bin 0 -> 32220 bytes i2c_keyboard/hardware.blif | 3378 +++++++++ i2c_keyboard/i2c_kbd_alt.bin | Bin 0 -> 32216 bytes i2c_keyboard/i2c_kbd_alt.blif | 3600 ++++++++++ i2c_keyboard/i2c_kbd_alt.ex | 9446 ++++++++++++++++++++++++ i2c_keyboard/i2c_kbd_alt.txt | 9840 ++++++++++++++++++++++++++ i2c_keyboard/i2c_slave.v | 187 + i2c_keyboard/inouts.pcf | 38 + i2c_keyboard/matrix_kbd.v | 189 + {i2c_flash => i2c_keyboard}/ram.v | 0 i2c_keyboard/simple_filter.v | 29 + i2c_keyboard/top.v | 186 + i2c_keyboard/uart.v | 60 + kbd_4x4_test/.sconsign.dblite | Bin 2852 -> 0 bytes kbd_4x4_test/apio.ini | 3 - kbd_4x4_test/ext_desc.pcf | 20 - kbd_4x4_test/hardware.asc | 4516 ------------ kbd_4x4_test/hardware.bin | Bin 32220 -> 0 bytes kbd_4x4_test/hardware.blif | 254 - kbd_4x4_test/top.v | 43 - 33 files changed, 36523 insertions(+), 12325 deletions(-) delete mode 100644 i2c_flash/.sconsign.dblite delete mode 100644 i2c_flash/hardware.asc delete mode 100644 i2c_flash/hardware.bin delete mode 100644 i2c_flash/hardware.blif delete mode 100644 i2c_flash/i2c_slave.v delete mode 100644 i2c_flash/inouts.pcf delete mode 100644 i2c_flash/top.v delete mode 100644 i2c_flash/uart.v create mode 100644 i2c_keyboard/.sconsign.dblite create mode 100644 i2c_keyboard/Makefile rename {i2c_flash => i2c_keyboard}/apio.ini (100%) create mode 100644 i2c_keyboard/descriptors.v create mode 100644 i2c_keyboard/hardware.asc create mode 100644 i2c_keyboard/hardware.bin create mode 100644 i2c_keyboard/hardware.blif create mode 100644 i2c_keyboard/i2c_kbd_alt.bin create mode 100644 i2c_keyboard/i2c_kbd_alt.blif create mode 100644 i2c_keyboard/i2c_kbd_alt.ex create mode 100644 i2c_keyboard/i2c_kbd_alt.txt create mode 100644 i2c_keyboard/i2c_slave.v create mode 100644 i2c_keyboard/inouts.pcf create mode 100644 i2c_keyboard/matrix_kbd.v rename {i2c_flash => i2c_keyboard}/ram.v (100%) create mode 100644 i2c_keyboard/simple_filter.v create mode 100644 i2c_keyboard/top.v create mode 100644 i2c_keyboard/uart.v delete mode 100644 kbd_4x4_test/.sconsign.dblite delete mode 100644 kbd_4x4_test/apio.ini delete mode 100644 kbd_4x4_test/ext_desc.pcf delete mode 100644 kbd_4x4_test/hardware.asc delete mode 100644 kbd_4x4_test/hardware.bin delete mode 100644 kbd_4x4_test/hardware.blif delete mode 100644 kbd_4x4_test/top.v diff --git a/i2c_flash/.sconsign.dblite b/i2c_flash/.sconsign.dblite deleted file mode 100644 index 2ed364d62df6645928b4d33edafc921ff98ace06..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4362 zcmdUyS$7;q6~`@EvSlq!96NDrC-EShWb9-{y;N^DQDVzdh=La^bx2|cQC(f7ZakXN zokflU1r9qT>|l}*0tu_x_h14%zz5(9a1I;}?|cPr)lAQ{j1P`-4iCJ{8BJ@de)r!0 z{ogy61|Lu)Fjukfi>DM}mhRGF@(^{G|LF3@G(oNCqURhH1SR!SLfw(52 zmbY%%a;fw6?f5|bJ6o8k*P3Phnl9Hyvp2J~R%3k>1`j_2TO|w?!?C3`-e{)v+ENTjb@ zB&^9M zqH=jqLZPS*Pqrm0H*b+JSxiRf=l;=2NP?*_Ttn zlZpAiT)BuzNOmSFz(W%5E+!b(JqgBgZ-NnYE}!9al^z6w^$`bh67dtC;2#Q@i|z+YFl{t=5-yo2?CW z+bkSOyPw104H|7LmT^eJ@HLILt>0+duOsw+qmAJAcSsoB&}d`xBgN;=C+d(+qm73J z61c8uIXnmqHxhyC#F1fHrtO)rD?H9%qT6UY=bwFfXRgt1y3YN%M!OjSc1gG;-)Orl zuqWSWw^rb`T%+x+!0j4tL!<4h!2W)t9r%w%yQ2bkZfvx>DsWJht62^Q%8UOT>zUke zgRYd2!t0C%DFHi5Br>P;RFtGJ+p6dr~WkXe`Rpv z32np|X@i&1lmDyFy?D5jm5$F544tunQYvDLh$v>3z&{L1iOCG*bEp0)uj6uI|3cbi za4%KOTnvwHek4wH#~>W0$_tKUUT|NsKP*JT_G3mOFSfZbx#5VIc~qFpb|^#JgZq`S zmb8&)mYKJw8_qOknVo4y!yz?Vzzp|xi3*1n&V=V?W($WFkDq&Zb`dOPOSZm@NN}`2 z=d$T=Vg?@beJh|=6u6dyT^+bIaD3ZgriGR}G5=C~D|R&1emmT%ua?(zwLA%a-fII1 zN7eZk5A>_$5X+2EwlRv;vxMUtp6_FFEIT$`lTbK@)p~rqSFMg;<4l@X#d%O=_n|Di z$Gc8@LWMM=X|}a#qt(SZDPcB`GbiC;g){!=tGcC>cpN*%8Zb(2Gsg7*8;bfa@o^Fv zzE1)MZ%3SXsE6~2gn4cJk1CvZD4bIn&gm}B8HICJ<7^O^&e1z1oXbNkNLbuhgQTC~ z?pzKfJf<&wS23LE_eeDr@OU_y*6Qt6v%Ja#Ji!&!li~E6P;hRT!+EXZcV~fBl!}$R z3*Rt=D@+ktkVRfM>xakvDbaGuZUJaPkKIG*RaM(jH-b(m%2s)(hh zLEuv#mJ#Rj{D7MIYQst-r0R@+9qEgQa?FSoSVm+xu7{ff7qMW%;hy1B!#Cl5D4y5R zgSi&NSZcAQW|gk-u0StHsOGh>BB7@4xSZqkDei5)z`c#4Ux>ixjvWOgCY(hMjUuSy z9Z&A=33OEgE^XK^4JC!95@;){W4kNRHIBqA8KCX0XdqH56 zI0&$)fp1dBW}X!Z-|+=8iOb;=N~fRHMg5e7Ppi;2wKhMC!sx8cN~eYKwLNDJ`C;juR;z}#{^ z)!WE_qBZ!_Eaaal4LSkk!pEskY|A7jC%Bk6(wT7aY`FNqrjJ}x zXEI)`HkX7PY*eui~OSEL2)Ja_!wib0EB}>JRX%f03jxmU+ z3#64xDl}^wYUc;m4pE>e$x=WpEu@Ru3Z$a;LgN$@8*ii_*$Ijsv?4!MtEFj#+tQ@p znfvqRzIT7#HpV~NckDNF{^rb?Gw05oJNLc)1<^0={)>n1zM4d7AIY1Hc(1w?NZRi9lGO6h}59o;`i&h2nr^XP<26&&n z3xsMgh{8ph=UT@6y6eYyfVf(G0oW3Jk|xVdiVf0vakfE_65qOh=TO2MGF~D;7jGNZ zu_|au2V*iojF&!n&O1I)oR?+VN}z2pULt=!wQbmD?>bM}WCK5I#CgqckihzAV}b_p zHF_CkaE;4`aIC5ugu%ML!9gm9lK#O1Mfkb)pe-cJVT;2)dS7=QVbjBRTULW z9a>`AjUM3pOpHTB}@@}@!^EE!7Nt&&7rgiN6fdm?2#|ha zh!m++Q*-ux+|mhAp;vIPA8eJha$u5#YUMX`#Hb0p^WVRRTfS_T+Vm4o8EWv}DVv*y z|9k}8W%#Hxsl{8mg$bv5YhY;vC~pSCL{crnBx7+fh`y%XMpB6cl_p@)GhQ~yk|e_T zG_65w9Gw*8QE3~`6n$p^j=T#1pWB0Z^p1o+UBOFn+<7U5Nji<$Ca=voFR-Qxlhtvj-{Ta4vOZ3Rn$5J6 zmo3Mz%|g|yuU#xv*X_C|GohMFShG13C}oN-6;)*6o$seOTY8|0Iu zE71+ALRp=Mho+-!l3GqTnHRz~vzuQZo!Qqiw>#lJS>L5h%bpA`A%vHJ-aWyNo!DeX zUS2wBR4eA;Z0%x0q!#Xf8Nb}QgTd2JwwLt0PgJ6PJ5g0>5nCJLq6N<1#J%dJUMQ|` z;9J3`HO}*Xyi4wrSp%=q5^>A0uF-PMR)pfPYn(v`keJdDX9nC-|8p!`M=)uDj&U47 zZ>f`BPY)f{>?NikGnNiQEQxyV`ZKt|sFUb1wn@Y$;omc_Z(9v+>(RHRYNM{QsXSa} zWu**S>8P=zvV4p*f?A9XBpGScs7d5BNehq19YA_sasb~|kj8pY=69qZ&P;Z08eKHF zf~mb|Drjna3gK5&z6y5e=KtErEC z@KTj9Ox7sfetv=*ts0A@yPtSGJ;KrYb%QsGq zTbho~glBPR@lrF`@^6fR%b!g6&|Wh=_0QyGZ_xU~5BQ9XC3*@(iE{JfcOF74FaP@l zH-#uw{^%dUcAU*iQ=~RaFMmo>MX0{Xy3KCE#U7d?jKpz}>bga2GbSF@HA(d5W6aFU z%Tnb*mM;@^*35$kd$q)j<1M+)Q$uWa-?i6=$^cfu{PlA0mp(lRm2%fJteFG7OCI(| zuo=9l?p0Kd9?`_kT}FOEt`>x=={-Iw@8(TcS+z=lgHTbVcjhV2$#9U-z;UqxbwnMnQaA$V^S% zR#os18lv7uP0L_&vy$0W(?)emcHi4fqhrRTYZa>V zd=$>TC^DfAiA(M<$?7G8JqJm#?KI$T{!oAEu~#7cA^mj_sx(EK1hCfMIvj+R=;PBNA;B`_BI#(JZweXC|ad($Pb=vCTOXkfG1r1S>flSlA zpS%@lSqF4;S?7V{*$^$3jr_Z5)$1(u({;=(gXF@-$pkBV&RIVLY%@0gOPI{)Y5r_I z|0c(=MU=C}Cf66M|l|b*Jat2VmuSNnVC)xwi}}M^K8KMRIKk6t3*C~(TQTj z$f`G}Q9PLkJBKVC!*_ks_*VpQQ9%a*Edm9FN%PoSq#2kj)-oC=BQFP5)FqF3#pVJh zojqeC{AH$LHmngqGvk+Lp)5lgjxY13*^77`1p94 z@FFm&3@xp^9lfQV8F6cxzYd0LO3IhVYsm6!9m5__7gt z(`>}##rg#%_*kR`G?jv2mDA!v)G(tKRRS-I@>|mx=muKXSiMOLk5OgbxrpdkE#|i% zx`mU)P>X}G@HrwwcTkzq(-xtrONVsIfCA3~b+r@`3}7^@x{$fH%N)A6&5#hK5*qT0TdWo(^5xi&!QvkO;*Tf6RW#=Ms3Qo! z2sBN2ae-XyLVE0?QnhlhOtj0G!8f5K(Qqx)WLsSW??}5u_Ae1C@j0Q@_@VbpO84> zXFS)|f;>(6o5zZ0M8}3XmdLHh?kkNHOqP?nvmP zzdHplUj#>~o~B%cLgXb(9>EJzwWX{PKx4xRuAulUVl+I;`eNeSSEFJ#0Ns<|^wK&B z+>5rX)fZR~4wVW8LToUWTd zNG^jJMyw2IQzDG>1>1~`o1B8N|A)!>x{#@_GbMQAlp{OQqHQO-!&9lhlbIk<_Mb}e zIE{Rfj%wH2+XBUsZnr`EdMnydtvdI;+>Rb}^ndQxsEYH_xqmy~af(6d{aO^Ov4&nW z#FgYC6UrJQ@nELBNw7rB;$XBGJQ80m7?3On&uEJa^w8OsItq`@6w$Lo(gEE>9`-A< zNmd3mJkV7X%SXRttiPhLugC@YfjZ0Y&Tx40muvkN3+~na%m>*$SkX*fiwZ>{k53;VEWL)03ZT6}EB|(N&|y*H&2* z$2sd*PZKLanr#s>9c#hIiWeA@i&7rYu#uaWfwc6$@vc14sZ9h=Azr?hR)cdYbx_jc zw4!5RdE)JfR!Ndm_6@zLRe49$|e>Mr98?=4x{x733wfyGVQm*LYt4l^PGB z;?fbE^yW;DAN96=T^$WHJ_X?VH;m2{=&-np2D_g59P;u{T9uW>lE_QFVr~lOhT38W zXmTEqY4n}@Q4C^|Hn4_g&aoMbq2_3Z6?q;p@O?($t&Qa|BICFq?AoyXSZEN{cm5hWtKUDM-pH8L0 zL`^)~k5w)ikEG`Ab9cZ4>0Y@ve|kivd%BLMwbj#0k`|(4QRbQuN=KP6SJuygHN^ZF z{0P%Vc?&5-M?Ai?k(Vwsx=A+DR9CC`%P1SccKsi8>oERsLV^WKbH#w3bojSKR-XCl z=M7~9rf3-Ca~gzX-*=6dSK1Da8&%;qnhC#Lx#^R*kJC41Rv<4w+z>@~YYmq9>cG=y z`#5?N&H+fghF%hbHdgaGGl#^h@f}h!!vc? ze+*i46xV<7+qMbj*f0)48ip=^Z5m#tL_LtlamM6-|Ct%I88Yo!ivC7_l4eaaY+;cp zfwO7LC;kj$wO_NP_YkSbBhJMpK;DUmAF`Orv-hQ9mgg@7U1>N%w0$#QT47$+G)7wT zjUw`re#Cjn?uGKk4mNmU2MHQr1XqmCaSf2BjC;ei!RNCf=-TSP+Y=F}cX?Fj^^-xE z_XEPKIc(Co^vAPQiGp6LAQ$mEF@WG4R*nUM+xdRbvGqi0I>Z#=|B-SsY**!ij>#hs*XfFawTI2X57I2w%SeXbriSUwvo&y= z*j*#<^FLwnaNooPs&J~K20<AfA^nwaR)JuKJbD2Dtn z@96wbd}l`Z`l26i)fhUt{b61r3T%2NG&!Y-_AG&mi=KV{4oy;@QvoLcUX*k<`G&&m zV{Ody~od_)42<*VC)6O!maOb}>@=gR0fnL$s z4|Ect6M+REfzEzl!KXkc0G$Z*I@W&R+G{%j=|rFtfrS`>&VFDa&U$Ceod_f%(Cb?J jfrO+(bRy7+z=DZDXFsrDrn)oGP6V=1 - DATA TRANSMISSION -// RECEIVED BYTES MUST READ WHEN WR POSEDGE, ADRESS NOT READING ###AND BYTE COUNTER >=1 (BYTE COUNTER = 0 - ADRESS) -// BYTES TO TRANSMIT MUST WRITE WHEN WR POSEDGE, BYTE COUNTER CAN BE ZERO -// (FIRST BYTE TRANSMITTED AFTER ADRESS). -// LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (DECAUSE MASTER STOPS TRANSMIT) - - parameter I2C_ADRESS = 7'h34; - parameter MAX_I2C_TRANSACTION_EXP2 = 9; // !!! - FOR LIMIT BYTES TO TX/RX (WITH ADRESS) - - reg SDA_IN, SDA_DIR, SDA_OUT; - initial begin - SDA_OUT = 0; - end - - reg SCLD, SDAD; - - reg SCL_LAST, SDA_LAST; - reg i2c_state_machine; - initial begin - SCL_LAST = 1; SDA_LAST = 1; i2c_state_machine = 0; - end - reg is_read; - reg [3:0] i2c_bit_counter; - //reg [7:0] received_byte; - reg [7:0] byte_to_transmit; - reg [(MAX_I2C_TRANSACTION_EXP2-1):0] byte_counter; - //reg is_for_me; - reg is_ack; - reg wr;//reg ack_master_ctrl; - - // FILTER - reg SCLF, SDAF; - reg [3:0] scl_cnt, sda_cnt; - - always@(negedge CLK) begin - SCLF = SCL; - SDAF = SDA_IN; - end - - always@(posedge CLK) begin - if (scl_cnt != 0) begin - scl_cnt = scl_cnt - 1; - if (scl_cnt == 0) begin - if (SCLD != SCLF) - SCLD = SCLF; - end - end - else begin - if (SCLD != SCLF) - scl_cnt = 3'd7; - end - if (sda_cnt != 0) begin - sda_cnt = sda_cnt - 1; - if (sda_cnt == 0) begin - if (SDAD != SDAF) - SDAD = SDAF; - end - end - else begin - if (SDAD != SDAF) - sda_cnt = 3'd7; - end - // END OF FILTER - - //SDA_IN = SDA; // FOR IVERILOG - if ((SDAD == 0) && (SDA_LAST == 1) && (SCLD == 1)) begin - i2c_state_machine = 1; - i2c_bit_counter = 4'd8; - byte_counter = 9'd0; - is_read = 0; - //is_for_me = 1; // RESETS TO ZERO WHEN ADRESS CHECKING - SDA_DIR = 0; - is_ack = 0; - //ack_master_ctrl = 1; - wr = 0; - end - if ((SDAD == 1) && (SDA_LAST == 0) && (SCLD == 1)) begin - i2c_state_machine = 0; - SDA_DIR = 0; - wr = 0; - end - if (i2c_state_machine/* && is_for_me*/) begin - if (!is_read) begin - if (i2c_bit_counter > 0) begin - if ((SCL_LAST == 0) && (SCLD == 1)) begin - RECEIVED_BYTE[i2c_bit_counter-1] = SDAD; - i2c_bit_counter = i2c_bit_counter - 1; - end - end - else begin - if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin - if (byte_counter == 0) begin - if (RECEIVED_BYTE[7:1] != I2C_ADRESS) - i2c_state_machine = 0; //is_for_me = 0; - is_read = RECEIVED_BYTE[0]; - end - else begin - // EMIT SIGNAL OF BYTE RECEIVING - end - if (byte_counter != (2^MAX_I2C_TRANSACTION_EXP2 - 1)) - byte_counter = byte_counter + 1; - SDA_DIR = i2c_state_machine; //is_for_me; - is_ack = i2c_state_machine; //1; - //if (is_read) begin - // i2c_bit_counter = 8; - //end - end - else if ((SCL_LAST == 0) && (SCLD == 1) && (is_ack == 1) && (byte_counter > 1)) - wr = 1; - else if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 1)) begin - is_ack = 0; - SDA_DIR = 0; - i2c_bit_counter = 4'd8; - wr = 0; - end - end - end - else begin // IS_READ - if (i2c_bit_counter > 0) begin - if ((SCL_LAST == 1) && (SCLD == 0)) begin - wr = 0; - SDA_DIR = (BYTE_TO_TRANSMIT[i2c_bit_counter-1] ^ 1) /*& is_for_me & ack_master_ctrl*/; - i2c_bit_counter = i2c_bit_counter - 1; - is_ack = 0; - end - end - else begin - if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin - SDA_DIR = 0; - is_ack = 1; - end - else if ((SCL_LAST == 0) && (SCLD == 1) && (is_ack == 1)) begin - i2c_bit_counter = 8; - i2c_state_machine = (SDAD ^ 1) | SDA_DIR; //ack_master_ctrl = SDAD+1; // MAYBE TRANSMIT BYTE REPEAT - wr = (SDAD ^ 1) | SDA_DIR; - if (byte_counter != (2^MAX_I2C_TRANSACTION_EXP2 - 1)) - byte_counter = byte_counter + 1; - // EMIT SIGNAL OF BYTE TO TRANSMIT - end - end - end - end - SCL_LAST <= SCLD; - SDA_LAST <= SDAD; - //SDA_OUT = (SDA_DIR ^ 1) & SDA; // FOR IVERILOG - end - - assign IS_TRANSMISSION = i2c_state_machine; - //assign SDA = SDA_DIR ? 1'b0 : 1'bz; - assign IS_ACK = is_ack; - assign IS_READ = is_read; - assign WR = wr;//assign ACK_MASTER_CTRL = ack_master_ctrl; - //assign RECEIVED_BYTE = received_byte; - //assign BYTE_TO_TRANSMIT = byte_to_transmit; - assign COUNTER = byte_counter; - - SB_IO #( - .PIN_TYPE(6'b 1010_01), - .PULLUP(1'b 0) - ) led_io ( - .PACKAGE_PIN(SDA), - .OUTPUT_ENABLE(SDA_DIR), - .D_OUT_0(SDA_OUT), - .D_IN_0(SDA_IN) - ); - -endmodule diff --git a/i2c_flash/inouts.pcf b/i2c_flash/inouts.pcf deleted file mode 100644 index b1d92eb..0000000 --- a/i2c_flash/inouts.pcf +++ /dev/null @@ -1,26 +0,0 @@ -set_io LED1 99 -set_io LED2 98 -set_io LED3 97 -set_io LED4 96 -set_io LED5 95 - -#set_io SCLM 78 # J2, 1 -#set_io SDAM 87 # J2, 7 -set_io ACK 81 # J2, 4 - -#set_io SCLTGL01 80 # J2, 3 -#set_io SDAOUTM 79 # J2, 2 -#set_io SCLTGL 79 # J2, 8 - -set_io CLK 21 -set_io SCL 90 # J2, 9 -set_io SDA 91 # J2, 10 - # GND - J2, 11 - -set_io COM_TX 8 -set_io COM_RX 9 -set_io COM_DCD 1 -set_io COM_DSR 2 -set_io COM_RTS 4 # IS CTS IN FT2232 SIDE - -#set_io SDA_CTRL 88 # J2, 8 diff --git a/i2c_flash/top.v b/i2c_flash/top.v deleted file mode 100644 index 0b92f5c..0000000 --- a/i2c_flash/top.v +++ /dev/null @@ -1,112 +0,0 @@ - -module top (input CLK, output LED1, LED2, LED3, LED4, LED5, - input SCL, inout SDA, output ACK, - input COM_RX, output COM_TX, COM_DCD, COM_DSR, COM_RTS); - - reg [7:0] I2C_TX; // TRANSMITTED TO MASTER - initial begin - I2C_TX = 22; - end - wire [7:0] I2C_RX; // RECEIVED FROM MASTER - wire [7:0] RAM_RD; - wire I2C_TRANS, I2C_READ, I2C_ACK, I2C_ACK_MSTR_CTRL, I2C_WR; - wire [9:0] I2C_COUNTER; - i2c_slave I2C (CLK, SCL, SDA, I2C_TRANS, I2C_READ, I2C_ACK, I2C_WR, //I2C_ACK_MSTR_CTRL, - I2C_RX, I2C_TX, I2C_COUNTER); - - reg UART_WR, UART_DTR, UART_RTS, UART_DCD; - reg [7:0] UART_TX_DATA; - wire UART_ACTIVE, UART_TX_LINE; - reg [23:0] uart_counter; - initial begin - UART_WR = 0; - UART_TX = 1; - UART_RTS = 1; - UART_DTR = 0; - UART_DCD = 0; - end - uart UART (CLK, UART_WR, UART_TX_DATA, UART_ACTIVE, UART_TX_LINE); - - reg wr_old; - reg [7:0] ram_adress; - reg RAM_W; - initial begin - ram_adress = 0; - RAM_W = 0; - end - ram RAM (CLK, RAM_W, ram_adress, I2C_RX, RAM_RD); - - - - always @ (posedge CLK) begin - if ((wr_old == 0) && (I2C_WR == 1) && I2C_READ) begin - //I2C_TX = I2C_TX + 5; - // READ - I2C_TX = RAM_RD; // READ FROM CURRENT ADRESS - //ram_adress <= ram_adress + 1; // AND INCREMENT ADRESS (ASSIGNED IN THE NEXT TACT) - UART_WR = 1; - UART_TX_DATA = I2C_TX; - end - else if ((wr_old == 0) && (I2C_WR == 1) && (I2C_READ == 0)) begin - // RAM MODULE WRITES TO RAM IN THE CURRENT ADRESS - if (I2C_COUNTER == 2) - ram_adress = I2C_RX; - else begin - RAM_W = 1; - //ram_adress <= ram_adress + 1; // ONLY INCREMENT ADRESS IN THE NEXT TACT - end - UART_WR = 1; - UART_TX_DATA = I2C_RX; - end - else if ((wr_old == 1) && (I2C_WR == 0)) begin - RAM_W = 0; - UART_WR = 0; - if ((I2C_COUNTER != 2) || (I2C_READ == 1)) - ram_adress <= ram_adress + 1; // ONLY INCREMENT ADRESS IN THE NEXT TACT - end - wr_old = I2C_WR; -/* - uart_counter = uart_counter + 1; - if (uart_counter == 12000000) begin - uart_counter = 0; - UART_TX_DATA = UART_TX_DATA + 1; - UART_WR = 1; - UART_RTS = UART_RTS ^ 1; - if (UART_RTS) - UART_DTR = UART_DTR ^ 1; - if (UART_DTR == 1) - UART_DCD = UART_DCD ^ 1; - end - else if (uart_counter == 5) - UART_WR = 0;*/ - end - - assign I2C_W = I2C_WR & (I2C_READ ^ 1); - - assign LED5 = I2C_TRANS; - //assign LED5 = COM_RX; - assign LED1 = ram_adress[0];//I2C_RX[0]; - assign LED2 = ram_adress[1]; - assign LED3 = ram_adress[2]; - assign LED4 = ram_adress[3]; - assign ACK = I2C_READ;//I2C_WR; //I2C_ACK; - - assign COM_TX = UART_TX_LINE;//COM_RX; - //assign COM_RTS = I2C_READ; - assign COM_RTS = I2C_READ;//UART_RTS; - assign COM_DSR = I2C_TRANS | UART_ACTIVE;//UART_DTR; - //assign COM_DCD = UART_DCD; - -/* reg [24:0] counter; - - always @ (posedge CLK) begin - counter = counter + 1; - end - - assign LED1 = ~counter[24] & ~counter[23]; - assign LED2 = ~counter[24] & counter[23]; - assign LED3 = counter[24] & ~counter[23]; - assign LED4 = counter[24] & counter[23]; - assign LED5 = counter[23];*/ - -endmodule //top diff --git a/i2c_flash/uart.v b/i2c_flash/uart.v deleted file mode 100644 index 33abf9e..0000000 --- a/i2c_flash/uart.v +++ /dev/null @@ -1,48 +0,0 @@ - -module uart ( input CLK, input TX_SIGNAL, input [7:0] TX_BYTE, - output TX_ACTIVITY, output TX_LINE); - -parameter CLK_DIV = 13; -reg TX_sig_last; -reg [3:0] tx_bit_counter; -reg [3:0] tx_clk_counter; // MUST CONTAIN CLK DIV -reg [7:0] tx_data; -reg tx_activity; -reg tx_line; -initial begin - TX_sig_last = 0; - tx_line = 1; -end - -always @ (posedge CLK) begin - if (tx_activity) begin - tx_clk_counter = tx_clk_counter - 1; - if (tx_clk_counter == 0) begin - tx_clk_counter = CLK_DIV; - if (tx_bit_counter == 0) - tx_activity = 0; - else begin - tx_bit_counter = tx_bit_counter - 1; - if (tx_bit_counter > 0) - tx_line = tx_data[8-tx_bit_counter]; - else - tx_line = 1; // STOP_BIT - end - end - end - else begin - if ((TX_SIGNAL == 1) && (TX_sig_last == 0)) begin - tx_data = TX_BYTE; - tx_activity = 1; - tx_bit_counter = 9; // NO PARITY, STOP 1 BIT - tx_clk_counter = CLK_DIV; - tx_line = 0; // START BIT - end - end - TX_sig_last = TX_SIGNAL; -end - -assign TX_LINE = tx_line; -assign TX_ACTIVITY = tx_activity; - -endmodule diff --git a/i2c_keyboard/.sconsign.dblite b/i2c_keyboard/.sconsign.dblite new file mode 100644 index 0000000000000000000000000000000000000000..5707d2ca552e4149dfd44b75156c674593c1f4e0 GIT binary patch literal 4554 zcmdUyS(H>)6^0oa2;DR|B^XdB5o1TK>N^h@I|0&=Kp2~=#@M!J?0coVs&-X1G)ayj z8fW7?#d(ODXq@Mn#4(Z>BaWC!oL_x%Eq(CpQ+01$SgyFh2bV9^z3Oz|^PRK*|KIx_ zU8G>)NaBp9jaf0;snV>)M{A^+HAb6+9VFADJ=$qBW>`wHdNpIB-D$LDM`>0+aBT5{ z1xLI8zDzIRpRL7)gev4vAJfYt*MQ4oh|} zN|w~Kx@^GG-V=5=xTtNL7~Gc42>ks@y*LQV^#7lyVEIV0ypzpp6f)a{!5#At4_=+( zLk3ton}(sJA|ls`h2U=BQr{PTz(Q&3kAOKObfOSeBm?d2S^>j5Rz9+J!5mGN=o9Jv zSN@y*x6EZTybG{O13X5-V@HznXuC1j($@ixn}o+F%V{>-oXJ?$fhSDD`Ffd)rl|8a zTrdf%4ct)vRW{v*Cr-kJ$ude<2Z`70PKJvI=r7u^b`qYH4CQ;^H&32~b#oL+k2Kmx z+9}@UdIgmce0aJv#k+Zmf(;|-;Ervlx)+k_tFQv*MKW+iKr9~Hl7+72L@^EgShx-g z;i>8Hj{9yutS=#5nc@LADtOvRs*iP1s?YNDRG*-`iH5#7U9&sYaL-6J&od3r5NQ#X z)Xu%akc#_KQe6tcrO$~cHI(h@N?BfD2R;qJ(p0O*HukA( z1&*O|k;+3V-yB_21wE<|sUky#ibRbVqFBMEGSRaYT!KWoaFB>ut`v3g9WHyT@DyYSfLKh|oBeA@_(7(xRdEHWSj1eJ==Y z^zyE|7M`nQhq>gVbPYP&qayNU7*HZzTlh{SBON*V zYbO%Kh8PoVlS$D3G8srRfSC?s$@M*=N|jgAdHZ0cy0_8++w&^bt(6UDdBV0XjKDL} z#{4KtvnHoi`(Ujz-`P;9&URXrjR!XG-#&hzvTfHHLIYGP?wbMNCp<;9`_@cP|jS!1aTra(A~!hBOxqkXl)Fi9O(C) zrUE@6=$f@glHnpk=u9S|oeXC6#$2aeYcdHP!#$Ubou&NsXf|3JGT@Lg`QiNN^JsF* zacmh`mJFmVsY6}H0%}PahcXa)uz(|I@@tJHu2XP59{a2Y5~Yvb9Y{zzmW-8n(Bh{V zyjkfVx>9Wv?yN##(3fJFZWu8*M-|+NI6ArUc(9Ncky1on=!$^qnU^suq*A&L*Ui%>VHm?Jw7m8$o};2G zms!$jW%E-9Der0cl?q-}mdmRZyaqQpHPh|T*ph60-!2Wblx@a|!)2glD1+h~;L~@EcIWZ_Eq%rk;l1jF??$HgAk%wuruX%j z-j7TlIKx+3n8sHhRPdoP)Q1&(1fj~lqM`5tuG2M+JRx05EZw@Dm`PuXm_`h4)ld(p z0_vj*K4zdkeny+T&9uqe&$UhBYZ`pQIOvo4A@4v3QRzmUc{Jp%khZXV$yrFG&0L=_ zJ(k0#&_SOza{G*e&*A~g1N47<=lYzMZ1-&o9kg1vGi1pvk-G#wk2n1Vv&|P3eChOi zO#A86?M$Z!j;Y3%6?~;!jjt;B8a}1(ue9Wz?mN%d6@0_o_BVBgoi+r+x0135;oHfI zvQgkW$r3Zf!Lj7bE(v!g-3A4BCB5DN-!&Qdy(Bt^3^Xr(@O@LeALOp5DflS@z3QppBe{x8Rxd7LH ztKb1#@3-x0W;~3m`Q)i VOaA9xCjA93^{<#obG5nJzW_h}y*U5? literal 0 HcmV?d00001 diff --git a/i2c_keyboard/Makefile b/i2c_keyboard/Makefile new file mode 100644 index 0000000..b32dbaf --- /dev/null +++ b/i2c_keyboard/Makefile @@ -0,0 +1,9 @@ + +rot.bin: top.v inouts.pcf + yosys -q -p "synth_ice40 -blif i2c_kbd_alt.blif" top.v i2c_slave.v matrix_kbd.v ram.v simple_filter.v uart.v descriptors.v + arachne-pnr -p inouts.pcf i2c_kbd_alt.blif -o i2c_kbd_alt.txt + icebox_explain i2c_kbd_alt.txt > i2c_kbd_alt.ex + icepack i2c_kbd_alt.txt i2c_kbd_alt.bin + +clean: + rm -f i2c_kbd_alt.blif i2c_kbd_alt.txt i2c_kbd_alt.ex i2c_kbd_alt.bin diff --git a/i2c_flash/apio.ini b/i2c_keyboard/apio.ini similarity index 100% rename from i2c_flash/apio.ini rename to i2c_keyboard/apio.ini diff --git a/i2c_keyboard/descriptors.v b/i2c_keyboard/descriptors.v new file mode 100644 index 0000000..3b010c5 --- /dev/null +++ b/i2c_keyboard/descriptors.v @@ -0,0 +1,150 @@ +module descriptors (input CLK, input RESET, input RD_REQUEST, input [1:0] DESC_TYPE, input [7:0] ADR, output reg [7:0] VAL/*, input [63:0] kbd_report*/); + +parameter HID_REPORT_DESC_LEN = 63; +//reg [(8*30-1):0] i2c_hid_desc;// = 'h_1E_00__00_01__46_00__02_00__03_00__0A_00__04_00__03_00__05_00__06_00__9F_04__01_01__00_01__00_00_00_00; +//reg [(8*HID_REPORT_DESC_LEN-1):0] hid_report_desc; + +parameter READ_ADRESS_OFFSET = 2; + +reg last_rd_request = 0; + +always @ (posedge CLK) begin + if (RESET == 0) begin + //i2c_hid_desc <= 'h_1E_00__00_01__50_00__02_00__03_00__0A_00__04_00__03_00__05_00__06_00__9F_04__01_01__00_01__00_00_00_00; + //hid_report_desc <= 'h__05_01__09_06__A1_01__05_07__85_01___19_E0__29_E7__15_00__25_01__75_01__95_08__81_02___95_01__75_08__81_01___95_05__75_01__05_05__85_01__19_01__29_05__91_02___95_01__75_03__91_03___95_06__75_08__15_00__25_65__05_07__19_00__29_65__81_00__C0; + //i2c_hid_desc [207:200] <= HID_REPORT_DESC_LEN[7:0]; + //i2c_hid_desc [199:192] <= HID_REPORT_DESC_LEN[15:8]; + last_rd_request <= 0; + end + else begin + if ((last_rd_request == 0) && (RD_REQUEST == 1)) begin + if (DESC_TYPE == 1) begin + case (ADR) 2: VAL <= 8'h1E; 3: VAL <= 0; // 2-3 - DESCR LEN (30), + 4: VAL <= 0; 5: VAL <= 1; // 4-5 - bcdVersion + 6: VAL <= HID_REPORT_DESC_LEN[7:0]; 7: VAL <= HID_REPORT_DESC_LEN[15:8]; + 8: VAL <= 2; 9: VAL <= 0; // 8-9 - REPORT DESC ADR + 10: VAL <= 3; 11: VAL <= 0; // 10-11 - INPUT REG ADR + 12: VAL <= 10; 13: VAL <= 0; // 12-13 - INPUT REG LEN + 14: VAL <= 4; 15: VAL <= 0; // 14-15 - OUT REG ADR + 16: VAL <= 3; 17: VAL <= 0; // 16-17 - OUT REG LEN + 18: VAL <= 5; 19: VAL <= 0; // 18-19 - CMD REG ADR + 20: VAL <= 6; 21: VAL <= 0; // 20-21 - DATA REG ADR + 22: VAL <= 8'h9F; 23: VAL <= 4; // 22-23 - VENDOR ID + 24: VAL <= 1; 25: VAL <= 1; // 24-25 - DEVICE ID + 26: VAL <= 0; 27: VAL <= 1; // 26-27 - VERSION + 28: VAL <= 0; 29: VAL <= 0; 30: VAL <= 0; 31: VAL <= 0; // 28-31 - RSVD + default: VAL <= 0; + endcase + end + else if (DESC_TYPE == 2) begin + case (ADR) 2: VAL <= 8'h05; 3: VAL <= 8'h01; + 4: VAL <= 8'h09; 5: VAL <= 8'h06; + 6: VAL <= 8'hA1; 7: VAL <= 8'h01; + 8: VAL <= 8'h05; 9: VAL <= 8'h07; + //10: VAL <= 8'h85; 11: VAL <= 8'h01; + // MODIFIERS DESC + 10: VAL <= 8'h19; 11: VAL <= 8'hE0; + 12: VAL <= 8'h29; 13: VAL <= 8'hE7; + 14: VAL <= 8'h15; 15: VAL <= 8'h00; + 16: VAL <= 8'h25; 17: VAL <= 8'h01; + 18: VAL <= 8'h75; 19: VAL <= 8'h01; + 20: VAL <= 8'h95; 21: VAL <= 8'h08; + 22: VAL <= 8'h81; 23: VAL <= 8'h02; + // RESERVED BYTE + 24: VAL <= 8'h95; 25: VAL <= 8'h01; + 26: VAL <= 8'h75; 27: VAL <= 8'h08; + 28: VAL <= 8'h81; 29: VAL <= 8'h01; + // LEDS + 30: VAL <= 8'h95; 31: VAL <= 8'h05; + 32: VAL <= 8'h75; 33: VAL <= 8'h01; + 34: VAL <= 8'h05; 35: VAL <= 8'h08; + 36: VAL <= 8'h19; 37: VAL <= 8'h01; + 38: VAL <= 8'h29; 39: VAL <= 8'h05; + 40: VAL <= 8'h91; 41: VAL <= 8'h02; + // RESERVED 3 BITS + 42: VAL <= 8'h95; 43: VAL <= 8'h01; + 44: VAL <= 8'h75; 45: VAL <= 8'h03; + 46: VAL <= 8'h91; 47: VAL <= 8'h03; + // SIX KEYS (NON-MODIFIERS) + 48: VAL <= 8'h95; 49: VAL <= 8'h06; + 50: VAL <= 8'h75; 51: VAL <= 8'h08; + 52: VAL <= 8'h15; 53: VAL <= 8'h00; + 54: VAL <= 8'h25; 55: VAL <= 8'h65; + 56: VAL <= 8'h05; 57: VAL <= 8'h07; + 58: VAL <= 8'h19; 59: VAL <= 8'h00; + 60: VAL <= 8'h29; 61: VAL <= 8'h65; + 62: VAL <= 8'h81; 63: VAL <= 8'h00; + // END COLLECTION + 64: VAL <= 8'hC0; + default: VAL <= 0; + endcase + end + /*if (READ_TYPE == 1) begin + if ((READ_ADRESS < READ_ADRESS_OFFSET) || (READ_ADRESS > (READ_ADRESS_OFFSET + 30 - 1))) + VAL <= 0; + else + VAL <= i2c_hid_desc[ (8 * (30 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 7) : (8 * (30 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 0) ]; + end*/ + /*else if (READ_TYPE == 2) begin + if ((READ_ADRESS < READ_ADRESS_OFFSET) || (READ_ADRESS > (READ_ADRESS_OFFSET + HID_REPORT_DESC_LEN - 1))) + VAL <= 0; + else + VAL <= hid_report_desc[ (8 * (HID_REPORT_DESC_LEN - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 7) : (8 * (HID_REPORT_DESC_LEN - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 0) ]; + end*/ + /*else if (READ_TYPE == 3) begin + if ((READ_ADRESS < READ_ADRESS_OFFSET) || (READ_ADRESS > (READ_ADRESS_OFFSET + 10 - 1))) + VAL <= 0; + else if (READ_ADRESS == READ_ADRESS_OFFSET) + VAL <= 10; + else if (READ_ADRESS == (READ_ADRESS_OFFSET + 1)) + VAL <= 0; + else + VAL <= kbd_report[ (8 * (10 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 7) : (8 * (10 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 0) ]; + end*/ + end + last_rd_request <= RD_REQUEST; + end +end + +endmodule + +//static const uint8 hid_descriptor_keyboard[] = { +//****/ 0x05, 0x01, /* USAGE_PAGE (Generic Desktop) */ 2 +//****/ 0x09, 0x06, /* USAGE (Keyboard) */ 4 +//****/ 0xa1, 0x01, /* COLLECTION (Application) */ 6 +//******/ 0x05, 0x07, /* USAGE_PAGE (Keyboard) */ 8 +//******/ 0x85, 0x01, /* REPORT_ID (1) */ 10 + /* Ctrl, Shift and other modifier keys, 8 in total */ +//******/ 0x19, 0xe0, /* USAGE_MINIMUM (kbd LeftControl) */ 12 +//******/ 0x29, 0xe7, /* USAGE_MAXIMUM (kbd Right GUI) */ 14 +//******/ 0x15, 0x00, /* LOGICAL_MINIMUM (0) */ 16 +//******/ 0x25, 0x01, /* LOGICAL_MAXIMUM (1) */ 18 +//******/ 0x75, 0x01, /* REPORT_SIZE (1) */ 20 +//******/ 0x95, 0x08, /* REPORT_COUNT (8) */ 22 +//******/ 0x81, 0x02, /* INPUT (Data,Var,Abs) */ 24 +/* Reserved byte */ +//******/ 0x95, 0x01, /* REPORT_COUNT (1) */ 26 + //******/ 0x75, 0x08, /* REPORT_SIZE (8) */ 28 +//******/ 0x81, 0x01, /* INPUT (Cnst,Ary,Abs) */ 30 +/* LEDs for num lock etc */ +//******/ 0x95, 0x05, /* REPORT_COUNT (5) */ 32 +//******/ 0x75, 0x01, /* REPORT_SIZE (1) */ 34 +//******/ 0x05, 0x08, /* USAGE_PAGE (LEDs) */ 36 +//******/ 0x85, 0x01, /* REPORT_ID (1) */ 38 +//******/ 0x19, 0x01, /* USAGE_MINIMUM (Num Lock) */ 40 +//******/ 0x29, 0x05, /* USAGE_MAXIMUM (Kana) */ 42 +//******/ 0x91, 0x02, /* OUTPUT (Data,Var,Abs) */ 44 + /* Reserved 3 bits */ +//******/ 0x95, 0x01, /* REPORT_COUNT (1) */ 46 +//******/ 0x75, 0x03, /* REPORT_SIZE (3) */ 48 +//******/ 0x91, 0x03, /* OUTPUT (Cnst,Var,Abs) */ 50 +/* Slots for 6 keys that can be pressed down at the same time */ +//******/ 0x95, 0x06, /* REPORT_COUNT (6) */ 52 +//******/ 0x75, 0x08, /* REPORT_SIZE (8) */ 54 +//******/ 0x15, 0x00, /* LOGICAL_MINIMUM (0) */ 56 +//******/ 0x25, 0x65, /* LOGICAL_MAXIMUM (101) */Bluegiga Technologies Oy 58 + //******/ 0x05, 0x07, /* USAGE_PAGE (Keyboard) */ 60 +//******/ 0x19, 0x00, /* USAGE_MINIMUM (Reserved (no event indicated)) */ 62 +//******/ 0x29, 0x65, /* USAGE_MAXIMUM (Keyboard Application) */ 64 +//******/ 0x81, 0x00, /* INPUT (Data,Ary,Abs) */ 66 +//****/ 0xc0 } 67 diff --git a/i2c_keyboard/hardware.asc b/i2c_keyboard/hardware.asc new file mode 100644 index 0000000..234ee76 --- /dev/null +++ b/i2c_keyboard/hardware.asc @@ -0,0 +1,9411 @@ +.comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) +.device 1k +.io_tile 1 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 0 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000110000000100 +000000001000000100 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 0 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000010000 +000000000000000100 +000000000000001000 +000100000000000000 +000000000000000000 +000010000000000000 +000100010000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000001000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000011000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000000000000110010001100001000000001000000000 +000000000000000000000010000000001001000000000000000000 +111000000000000001000011000000001001001100111000000000 +000000000000000000000011100000001100110011000000000000 +000000000000000001100000000000001001001100111000000000 +000000000000000000000000000000001111110011000000000000 +000000000000000001100011000000001001001100110000000000 +000000000000000000000011100000001111110011000000000000 +110000000000000000000000001011111011100000000000000010 +000000000000000000000000001011111010000000000000000001 +000000000000000001100110011101000000000000000100000000 +000000000000000000000010001001000000000001000000000000 +000000000000000000000000001001000000000000000100000000 +000000000000000000000000001101100000000001000000000000 +000000000000000000000110011001000000000000000100000000 +000000000000000000000010001001100000000001000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000010000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000100000000001100010000000000001000000001000000000 +000000000000000000000110110000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000001 +000000000000000111000000000000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000000000111110011100000000000001000000000 +000000000000000000000110000000100000000000000000001000 +111000000000001001100110010000000000000000001000000000 +000000000000000001000010000000001001000000000000000000 +110000000000000000000000000000001000001100111100000001 +000000000000000000000000000000001001110011000010000000 +000000000000000000000000000000001000001100111101000000 +000000000000000000000000000000001101110011000000100000 +000000000000000000000110000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000010100000001001001100111110000001 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111110000000 +000000000000000000000000000000001001110011000000000000 +011000000000000000000000000000001001001100111100100000 +000000000000000000000000000000001001110011000000000000 +.logic_tile 6 1 +000000000000000011000110010001011011100000000000000000 +000000000000010000100010001001111010000000000000000000 +111000000000001001000010010001100001000000000000000000 +000000000000000001000010101101001101000000010000000000 +010000000000000111100010001011101110010000000000000000 +110000000000000000100110011101111111000000000000000000 +000000000000001101000010100101011000010000000000000000 +000000000000000101100110110001011101000000000000000000 +010000000000000111100111010101101101000000000000000000 +000000000000000000100011101011001111100000000000000000 +000000000000000000000110001101100001000000010000000001 +000000000000000000000000000001101001000000110000000000 +010000000001000000000010111011100001000000100000000000 +110000000000100000000111101101101000000000000000000000 +010000000000010000000111101000000000000010000110000000 +000000000000000000000000001011000000000000000000000000 +.logic_tile 7 1 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000011001000000000000000000100000001 +000000000000000000000011101001000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 1 +000000000000000000000000010101101101000100000000000001 +000000000000001011000010001101101100000000000000000000 +111000000000000000000110001001001011000100000000000000 +000000000000000111000000001111101001000000000000000000 +110000000000000011100011100000000000000000000100000000 +010000000000001111100000000001000000000010000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000010100101000000000010000000100000 +000000000000000001100000011000000000000000000100000000 +000000000000000000000011100111000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000100000000000000000001000000000000000000100000000 +000000000000000000000010010001000000000010000000000000 +000000000000000001000000010000000000000000000100000000 +000000000000000000000010001111000000000010000000000000 +.ramb_tile 10 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000010000000000000000000000000000 +000000000000000111000011110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000110000001 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000100000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000001000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000101000100 +000000000000000000000000001111000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000011010000000000000000000000000000 +.logic_tile 2 2 +000000000000000000000000000000000000000000000000000000 +000010000000000000000010000000000000000000000000000000 +111000000000000111100000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000011010000000000000000000000000000 +110000000000000000000000000001100000001100110001000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011011001000000000010000000000100 +000000000000000000000000001000000000000000000100000001 +000000000000000000000000000001000000000010000000000000 +000100000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +000000000000000000000000000101000000000000001000000000 +000000000000000000000011010000100000000000000000001000 +111000000000000000000000000101000001000000001000000000 +000000000000001101000000000000001110000000000000000000 +000000000000000101000000000101101001001100111000000000 +000000000000000000100010110000001110110011000000000100 +000000000000000001100000000101101001001100111000000000 +000000000000001101000000000000001110110011000000000100 +000000000000000000000000000000001000111100000000000100 +000000000000000000000000000000000000111100000000000000 +000000000000000011100000000000000000000010000000000000 +000000000000000000100000000111000000000000000000000000 +000000000000001000010000001000000000000010000000000000 +000000000000001011000000000001000000000000000000000000 +000000000000000001000000001001000000000000000110100000 +000000000000000001000000000011100000000001000000000000 +.logic_tile 5 2 +000000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000000010000 +111000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000001000000 +110001000000100000000000000000001000001100111100000000 +000010000001010000000000000000001001110011000000000000 +001000000000000000000000000000001000001100111110000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100100000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000010000000 +000001000000000000000000000000001001001100111110000000 +000010000000000000000000000000001001110011000000000000 +010000000000000000000000000000001001001100110110000000 +000000000000000000000000000000001001110011000000000000 +.logic_tile 6 2 +000000000000101001000110010111011011010000000000000001 +000000000001011111000010100001111000000000000000000100 +111000000000001101100110000101000000000001000000000010 +000000000000000001000000000001000000000000000000000000 +110000000000001011000011000000000000000000000000000000 +000000000000000101100100000000000000000000000000000000 +000000000000010001100111100101101010010000000000000000 +000000000000100000000110101011111010000000000000000000 +000000000000000111100111101001000000000001000000000000 +000000000001010111100000000101100000000000000000000000 +000010100000001000000111100111001001010000000000000000 +000001000000001001000100000011111101000000000000000000 +000000000000000000000111111101011000000100000000000000 +000000000000000000000110010011001011000000000000000000 +010000000001010000000000000011000001001100110100000000 +000100000000100000000000000000001001110011000000000010 +.logic_tile 7 2 +000000000000000000000000000111100000001100110000000000 +000000000000000000000011100000001011110011000000100000 +111000000000001000000111111011111011000000000000000000 +000001000000000101000011000011111010100000000000000110 +010000000000000000000010100101000000000001010000000000 +010000000000001101000100001111001101000001100000000000 +000000000000001000000111101101111000010000000000000110 +000000000000000101000000000011101100000000000000000001 +000010100000000001100011101101111000000000000010000000 +000000000000000000100110110111011110100000000000000001 +000000000000000111000000011001111010000000000000000101 +000000000000000000100010010011011100100000000000000000 +000000000000000001100110101000000000000000000100000000 +000000000000010000100000001001000000000010000010000000 +000000000000000111000000000000000000000000000101000000 +000000000000001001100000001011000000000010000000000000 +.logic_tile 8 2 +000000000000000001000000000011000000000000001000000000 +000000000000000000000010110000100000000000000000001000 +000000000000001000000000010000000000000000001000000000 +000000000000001011000011110000001000000000000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001001110011000000000001 +000010000000000000000000000000001000001100111000000000 +000000000000001101000000000000001110110011000000000000 +000000000000000000000010100000001001001100111010000000 +000000000100000000000100000000001001110011000000000000 +000000100000000011100000000000001001001100111000000000 +000001000000000000000000000000001010110011000000000000 +000000000001000000000000000000001001001100111000000000 +000000000000001101000000000000001000110011000000000010 +000000000001000000000000000000001001001100110000000000 +000000001010000000000000000000001100110011000000000000 +.logic_tile 9 2 +000001000000000000000010001000000000000000000101000000 +000010000000001001000000001101000000000010000000000000 +111000000000000000000000000000000000000000000000000000 +000001000000000000000011110000000000000000000000000000 +110000000000000000000000001000000000000000000101000000 +010000000000000000000000001001000000000010000000000000 +001000000000000000000010001000000000000000000110000001 +000000000000000000000100000011000000000010000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000010010000000000000000000000000000 +000000000000000111100000000000000000000000000100100000 +000000000000000000100000001001000000000010000000000000 +000010000000100000000000000000000000000000000101000000 +000001000001000000000000000001000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000001000000010000000000000000000000000000 +000000100000100000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +.logic_tile 11 2 +000000000000000111100111101001001011000100000000000000 +000000000000001011100111100001001001000000000000000000 +111000000000000000000111100000000000000000000000000000 +000000000000000011000111000000000000000000000000000000 +010000000001000000000000001011011001010000000000000000 +010000000000000011000000001011111010000000000000000100 +000000000000010001100000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000001000000111100000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000110011001001101000100000000000000 +000000000000000000000011111101001101000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +.logic_tile 12 2 +100010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +111000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000110100000 +000000000000000000000000001111000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000001000000000000000000100100000 +000000000000000000000000000001000000000010000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000010 +000000000000000000000000000011000000000010000000000010 +.logic_tile 2 3 +000000000000000111000010100001000000000000001000000000 +000000000000000000100100000000100000000000000000001000 +000000000000001011000010000001100000000000001000000000 +000000000000001111100110110000001101000000000000000000 +000000000000000101000110100000000000000000001000000000 +000000000000000000100100000000001010000000000000000000 +000000000000010000000000000000000001000000001000000000 +000000000000100000000000000000001001000000000000000000 +000000000000000000000111000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +.ramb_tile 3 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 3 +000000000000001001100000001111100000000000000000000010 +000000000000001111000010101101101000000000110000100000 +111000000000000001100110001011100000000001000000000000 +000000000000001001000010111101100000000000000000000010 +000000000000000000000000010001100000000001000000000000 +000000000000001101000010000001100000000000000000000000 +000000000000000000000110010000000000000010000000000000 +000000000000001001000011111101000000000000000000000010 +000000000000001000000000010001100000000001000000000000 +000000000000000001000010000001000000000000000000000000 +000100000000001000000000011011101000111001010100000000 +000000000000000001000010000101011010110000000000000000 +000000000000000000000000001001001010101101010100000000 +000000000000000000000000000001001100001100000000000000 +000000000000000000000000011011011000111001010100000000 +000000000000000000000010000101111010110000000000000000 +.logic_tile 5 3 +000000000000000000000000001000000000000010000001000000 +000000000000000000000000001101000000000000000001000000 +111000100000000000000111001101100000000001000010000001 +000001000000000000000100000101100000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000110001000000000000010000100100000 +000000000110001001000000001001000000000000000000000001 +000000000001011101100000000111100000000001010110000010 +000000000000000101000000000011001111000010010001000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000111100000000000000000000000000000 +000001000000000000000100000000000000000000000000000000 +000000000000001000000000000101000000000001000100000001 +000000000000000001000000001101001100000011000000000011 +.logic_tile 6 3 +000001000000000000000000010111101111101000110000000000 +000010100000000000000010001111111010111100110000000000 +111000001110001111100110111001011001001100000000000000 +000000000000000101000011000001111001001101010000000000 +010000000000000000000010001111000000000000000000000000 +110000000000000000000100001011100000000001000000000000 +000000000000000001100000011011000000000011000000000000 +000000000000000000000011001101100000000010000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000111000010110000000000000000000000000000 +000001000000000000000000001001100000000001000000100000 +000000100000000000000010100111000000000000000000000001 +000000000000000000000011100000000000000000000100000100 +000000000000000000000010110011000000000010001000000000 +010100000000000000000000000000000000000000000000000000 +100100000000000011000010100000000000000000000000000000 +.logic_tile 7 3 +000000000000001111000110110011100000000001000001000000 +000000000000001011000010100001000000000000000000000000 +111010100000001111100110001001000000000000000000000000 +000000000000000001000010110001100000000001000000000000 +000000000000101001100110001001100001000010000000000000 +000000000000001011000010101001101000000000010000000000 +000110000000100011100110000001100000000001000000000000 +000101000001000000000110110101000000000000000000000000 +000010100001000000000000011101101000110001010101000101 +000001001010100000000010000101111011110011110000000000 +000010000000000001100000000111100001000001010101000100 +000000000000000000000000001101001000000010010000000100 +000000000000000000000000001001000000000001010100000011 +000000000000000101000000001101001010000001100000000100 +000000000000100001100000000011000000000010010110000001 +000000000001010000000000000111101001000010100000100000 +.logic_tile 8 3 +000000000000001101100000010000000000000010000000000000 +000000001110001111000010001011000000000000000000000000 +111000000000000000000110001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000101100000010001100000000001000000000000 +000000000000001001000010000001100000000000000000000000 +000000000000001101000000000000000000000010000000000000 +000000000000000001000011011101000000000000000000000000 +000000000000000000000000000111100000000001000000000000 +000000000000000000000010110001100000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000100000000000000000000001101100000000001010100000010 +000000000000000000000000000101001010000001100000000000 +000000000000000000000000010001000000000001010100000001 +000000000000000000000010000101101000000001100010000010 +.logic_tile 9 3 +000000000000001101100110110011100000000000001000000000 +000000000000000101000011110000000000000000000000001000 +000001000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000101100000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000001100000101000000000000000001000000001000000000 +000001000000000000100000000000001111000000000000000000 +000000000110000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000001000111100000000000100 +000000000000000000000010110000000000111100000000000000 +.ramb_tile 10 3 +000001000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +.logic_tile 11 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000001011010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000111000011100000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000101000000000000000001000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 3 +000000100000000111100000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +111000000000000000000000000011000000000001010100000010 +000000000000000000000000001011001000000001100000000000 +110000000000000111100000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000011100000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000001111000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001101100000000001010110000000 +000010000000000000000000000101101010000001100000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.io_tile 13 3 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000000000000011000011100011000000000000001000000000 +000000000000000000000011100000000000000000000000001000 +111000000000000111000000000111000000000000001000000000 +000000000000000000100000000000001001000000000000000000 +010000000000000000000000000000000001000000001000000000 +010000000000000000000000000000001101000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000101100000000000000001000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000000000000000010000000001000000001000000000 +000000000000000000000010100000001000000000000000000000 +000000000000000111000000000000001000111100000000000100 +001000000000000000000011010000000000111100000000000001 +000000000000000000000011000000000000000000000100000011 +000000000000000000000100001111000000000010000000000001 +.logic_tile 2 4 +000000000000000111100010010000001000111100000000000001 +000000000000000000100011100000000000111100000000010000 +111000000000010000000111111000000000000010000000000000 +000000000000000000000111111001000000000000000000000000 +000000000000001000000010010000000000000000000000000000 +001000000000000011000011110000000000000000000000000000 +000010101110000000000000000000000000000010000000000000 +000001001100000000000000001001000000000000000000000000 +000000000110100000000000000111101011000010000000000000 +000000000001010111000000001101101001000000000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +001000001010000000000000000011000000000000000000000000 +000000000000000000000000000001000000000001010100000000 +000000000000000000000011011101101000000010010010000000 +.ramt_tile 3 4 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +.logic_tile 4 4 +000000000001000111100000010011000000000010000000000000 +000000000000100000100011001111000000000000000000000000 +111000000000001111100111010111011000001011010000000000 +000000000110000001100111011011001000001011100001000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +000000000000001000010000001000000000000010000001000011 +000000000000000001000000001001000000000000000000000000 +000000000000000111100110101001100000000000000000000000 +000000000000000000100000001011000000000001000000100000 +000000000000000001000011111001100000000001100000000000 +000000000000000000100111100101101101000001010001000000 +000000000000000101100110100101011100001100110010000010 +001000000000000000000000000000100000110011000000000010 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001011000000000010000001000000 +.logic_tile 5 4 +010000000010011000000000001101100000000000000000000000 +000000000000000001000000000001100000000001000000000000 +111000000000001111000011101111111011110001010000000000 +000000000000000001000110111101111111110000000000000000 +000000000000000000000010110111111001101000000000000000 +000000000000000000000011000001111011100100000000000000 +000000000101101001100010001101101010101111110000000000 +000000000001110001000110110111111011111110110000000000 +000000000110000000000000000011100000000001000000000100 +000000000000000000000000000111100000000000000000000100 +000000000000000000000110001101111001010111000000000000 +001000001010000000000000001001111010111111000000000000 +000000000000000001000010001101000000000000010000000000 +001000000000000000000100000001101011000000000000100100 +000000101010000000000110011101101111110011110100000000 +000000001000001111000010000001011101110010100000000000 +.logic_tile 6 4 +010000000000101000000000000000000000000000000000000000 +000000000001011011000011000000000000000000000000000000 +111000000000001000000110000011101100100011110001000000 +000000000000000111000100000101011011000011110000000000 +010001000000001000010000001001101101000000000000000000 +011000000000001111000011110001101011100000000010100000 +000000000000001000000111100000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000001001000000111000000010001111011100000000000000000 +000000100000000111000011110111101011000000000000000001 +000000000000010011100000000000000000000000000000000000 +001000000000000111000000000000000000000000000000000000 +000000000000100111000000010111101110100011110000000000 +001000000000010000000011110011011000000011110000000010 +010000000001000000000000001000000000000000000100000000 +100000100110100111000000000011000000000010001000000000 +.logic_tile 7 4 +000001000010000001000111110001011000100011110000000000 +000000001110001001000111011001001000000011110000000010 +111000100000000111000011111101100000000001010000000000 +000000001010000101100110011011101011000001100000000000 +110000001110000111000000010101100000000001000000100000 +111000000000010000000011100011100000000000000000000010 +000010001110001001100110000000000000000000000000000000 +000000001110000001000010100000000000000000000000000000 +000000000000000000000011101011000000000000010000000000 +000000000000000111000000001001101010000000000000000000 +000010100000100000000110100111111001100000000000000000 +000000001001000000000000000111001010000000000000000000 +000000000000001000000111101001000000000001000000000000 +001010000000000101000100000101100000000000000000000000 +010010000000010101000000001000000000000000000100000000 +100001000000100000100000001001000000000010001000000000 +.logic_tile 8 4 +000000000000000111100000001011100000000001000000000000 +000000000000001111100011000001100000000000000000000010 +111010000000001111100000000111000001000011010001000000 +000001001110000111000000001101101000000011000000000000 +011001000000001000000000010001000000000001000000000000 +011000100000000001000010000001000000000000000000000001 +000000000001010000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000010000000000000 +000010000000000000000111110101000000000000000000000000 +000010100000000000000111001000000000000010000000000000 +001000000000000000000100001011000000000000000000000000 +010000000000000000000000001000000000000000000100000000 +100000000000000000000000000101000000000010001000000000 +.logic_tile 9 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +111010100000100000000000001011111111100011110001000000 +000000001100000000000000001101101010000011110000000000 +010000000000000000000110100000000000000000000000000000 +011000001010000000000100000000000000000000000000000000 +000001000110100000000000011011111111100011110001000000 +000010000001001101000011101101101110000011110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000001101000000000010001000100000 +010000100000000111000000000000000000000000000000000000 +100000000010000111100000000000000000000000000000000000 +.ramt_tile 10 4 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000100000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000001110100000000000000000000000000000 +000011100000000000000000000000000000000000 +000011000000000000000000000000000000000000 +.logic_tile 11 4 +000001000000000111100000000001000000000000001000000000 +000010000000000001100011010000000000000000000000001000 +111000000000000111100000000111000001000000001000000000 +000000000000000000000000000000101110000000000000000000 +010000000000000000000000000011000000000000001000000000 +011000000000000000000000000000100000000000000000000000 +000000000000000000000000000001000000000000001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100001100000000000001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000100 +000000000000001000000010000000000000000000000000000000 +001000000000000101000100000000000000000000000000000000 +010000000010100000000000000000000000000000000100000000 +100000000001000001000000000111000000000010001010000000 +.logic_tile 12 4 +100000000000000111000000000000000000000000000101000000 +000000000000000000100000000111000000000010000000100100 +111001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000011000000000010000011000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000010000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000100100000 +001000000000000000000000001011000000000010000000100100 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +.io_tile 13 4 +000000000000001000 +000100000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +001000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000011000111100101000000000001000000000000 +000000000000001111000100000101100000000000000000100000 +111010000000000111000010100011100000000001000000000000 +000001000000000000100100000001000000000000000010000000 +010000000000000011000110000000000000000000000000000000 +111000000000000000000010000000000000000000000000000000 +000000000000000000000000001111000000000001000000000000 +000000000000000000000000000001100000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000010000001000000000000010000000000 +001000000000000000000100000101101000000000000001000000 +000100000001010000000000001000000000000000000100000010 +000000000000100000000000001001000000000010000000000001 +.logic_tile 2 5 +000000000001000011000010100001000000000000001000000000 +000000000000100000100010000000100000000000000000001000 +000000000000000111000111100000000000000000001000000000 +000000000000000000100110100000001011000000000000000000 +000100100000000101000000010001100000000000001000000000 +001100000000010011000011100000001010000000000000000000 +000000000000000111000000000101100000000000001000000000 +000000000000000000100000000000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000010100000000000000000000000000001000000001000000000 +000001000000000000000000000000001001000000000000000000 +000010000000000000000000000000000000000000001000000000 +001001000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +.ramb_tile 3 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 5 +000000000001010011100111000000000000000000000000000000 +000000000000100001000000000000000000000000000000000000 +000000000000000000000011101000000000000010000000000000 +000000001110000000000100001001000000000000000001000000 +001000000000000111100000000000000000000010000001000000 +001000000000001001100000000101000000000000000001000000 +000000000000000011100110000111100000000000000011000000 +000000001010000000000011110001000000000001000000000000 +000000000000000000000000001001000000000001000010000000 +000000000000000000000000000111000000000000000001000000 +000001000000000000000000000101111010010000000000000000 +000000000001010000000000001001101110000000000000000000 +000000000000001000000000000101101010001100110000000000 +001000000000000101000000000000101000110011000000000101 +000000100000000001100000000000011010001100110000000000 +000001000000000000000000000000001010110011000000000000 +.logic_tile 5 5 +000000000000000011100000001111011111000000000000000000 +000000000000001001000000000011011101100000000000000000 +000001000000000000000111111101101101000011100000000000 +000000000000000000000110000101001111000011110000000000 +000000000000000000000110000011000000000000000000100000 +001000000000000000000000001101000000000001000000000000 +000000000000010001100000010001101101101000000000000000 +000000000000100101000010000001111110011000000000000000 +000000000000001001100000001101101110000000110000000000 +000000000000000001000011000011011000000001110000100000 +000000000000000000000010011011100000000001000000000001 +000000000000000111000011001101000000000000000000000100 +000000000000000000000000001011100000000010000000000000 +001000000000000111000010001111100000000000000000000000 +000000000100000000000111011000000000000010000000100101 +000000000000000111000110111001000000000000000000000000 +.logic_tile 6 5 +001000001010000001000000011101100000000001010000000000 +000000000000000111000010001001001110000001100000000000 +111000000000000000000010110000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +010000000000011011000111001001100000000010100000000000 +111000000000100001000110001101101110000010010000000000 +000000000000000111100010100001000000000001100000000000 +000000000000000111000000000101101000000001010000000000 +000000000000000011100000000011011101100011110000000000 +000000000000000000100000000101001101000011110000100000 +000000000000100001000000000101100000000001000000000110 +001000000101110000100011101101000000000000000000000000 +000000000000000001000000000000000000000000000100000000 +001000000000000000100000000001000000000010001000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 7 5 +000000001110001101000000000101101101010100000000000000 +000000000000000111000011010101111111110000000000000000 +111010000001011011100110000001100000000001100000000100 +010001000000100001100000000001001100000001010000000000 +010000000000001001100110100101101010110000000000000000 +011000000000000111000011000101111111000000000000000000 +000000001111000111100010100101001101000100000000000000 +000000000000100000100110000101101000100000000000000000 +000000000000001011100011101001100001000010100000000000 +000000000000000011000000001101101100000010010000000000 +000000000000000000000000000001011111100011110000100000 +000000001010000111000000001011001111000011110000000000 +000000000000001101100011100011001111000001110000000000 +001000000000000001100000000111101010000011110000000000 +010000000000000011100010001000000000000000000100000000 +100000000110000000100010001101000000000010001000000000 +.logic_tile 8 5 +010000000000000111000110110101111001100011110000000000 +000000000000000001100010101111011011000010110001000000 +111001000000001111000011110101111111110000000000000000 +000000100100000111100011011011101010000000000000000000 +010000000010000101100010010001000001000000110000000000 +111000000000000000000011000101001001000000000000100000 +000000000001001011000011101011111010110000000000000000 +000010100000100011000111101011001011000000000001000000 +000000100000001000000110110111101000111101000001000000 +000000000000000101000010001011111000111111000000000101 +000000000101000000000111000111001000111101110001000000 +000011001010001001000000001111011001111111110010000001 +000000000000001001100110101101101110100011110000000000 +001001000000001111000011000001101100000011110001000000 +010000100010000101100000011000000000000000000101000000 +100001000000000000000011100001000000000010001000000000 +.logic_tile 9 5 +010000000000000011100000010011000000000010000000000000 +000000000000000000000010001011100000000000000011000000 +111010000000000000000011000001100001000010100000000000 +000011000000001111000011000001001100000010010000000000 +010010100000101001100000000011011001100011110000000000 +011001000000001111000000000111011001000011110000000000 +000010100000000000000110011101000001000001100000000000 +000000000000000000000010001101001101000001010000000000 +000000000000000001000011000011000000000000000010000100 +000000000000000000100111101011100000000001000000000000 +000000000000000111000011100111000000000000000000000000 +000000000100000000000100001111100000000011000000000100 +000000000000000111000011001111100001000001010000000000 +001000000000000000100111101101001110000001100000000000 +010000000000000111000000000000000000000000000100000000 +100000000010001001000000000101000000000010001000000000 +.ramb_tile 10 5 +000000001000000000000000000000000000000000 +000000010000010000000000000000000000000000 +000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 5 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000001100000000000000000111100000000001000000100000 +000000000000000000000000000011000000000000000000000001 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000101100000000000000000000010000100000100 +001000000000000000100000000001000000000000000000000000 +.logic_tile 12 5 +000000000000000000010000000111100000000000001000000000 +000000000000000000000011000000100000000000000000001000 +000000000100000000000000000001000000000000001000000000 +000000000110000000000000000000100000000000000000000000 +000000000000000000000000000011100000000000001000000000 +001000000000000011000000000000000000000000000000000000 +000000100000100000000000000011000000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000001111000110100101000000000000001000000000 +000000000000001111100111110000000000000000000000000000 +000000001110000011100000000011100000000000001000000000 +000000000000000000100011110000101100000000000000000000 +000010000000000000000000000011000000000000001000000000 +001001000000000000000000000000100000000000000000000000 +000000000000000000000000010011000000000000001000000000 +001010100000000000000011010000000000000000000000000000 +.io_tile 13 5 +000000000000010000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000100000000000000010010011100000000000001000000000 +000001000000000000000010000000100000000000000000001000 +111000000000000000000000000011100000000000001000000000 +000000100000000000000000000000101101000000000000000000 +110000000000000000010000000011101000001100110000000000 +010000000000000000000000000000001111110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000011101100001100110000000000 +000000000000000000000011110000110000110011000000000000 +000000000000000101100110111111101001111110000000000000 +000000000000000000000010100011111111111100000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010010000000000000000110110000000000000000000101000010 +100001000000000000000010101001000000000010001100000000 +.logic_tile 2 6 +000000000000001111000000011001001000010000000000000001 +000000000000001111000010001001001000000000000000010000 +111010000000001101100110011011101001111011110000000000 +000000000000000101000011111001111100111111110001000000 +110000000000000011000110010011000000000011000000000000 +010000000000000000000010000101100000000000000000000000 +000000000000001101100110011011100000000011000000000000 +000000000000000101000010001001100000000000000000000000 +000000000000000000000111011101011010000010000000000000 +000000000000000000000011100101101011000000000000000010 +000000000000000001100000011000000000000000000100000000 +000000000000000000000010000001000000000010000100000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000100000000 +010000000000000000000000001101111001111110000100000000 +100000001010000000000000000011101011111100000100000000 +.ramt_tile 3 6 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000010000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000000000111100000000000001000000000 +000000000000000000000010010000000000000000000000001000 +111000000000001111100000000011000001000000001000000000 +000000000000000111000000000000101100000000000000000000 +010000000010000000000110000001101000001100110000000001 +110000000000001101000000000000001110110011000000000000 +000000000000000011000000001001100000000001000000000000 +000000000000000101000000000101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000001000000000111001000110011110000000000 +000000000000000000000000000101111110110010100000000000 +000000000000001001000011101000000000000000000100000010 +000000000000000101000000000101000000000010000001000100 +000010100000001000000000000000000000000000000000000000 +000001000000001011000000000000000000000000000000000000 +.logic_tile 5 6 +000000000000001000000111100011000000000010000000000000 +000000000000000011000000000001000000000011000000000000 +111000000000001001100000010101100001000010010000000000 +000010100000000011000010000011001011000001010000100000 +001000000000001001000010111111011100110011110000000000 +000000000000000011100110001001111010010010100000000000 +000000000000101101100010001111001011011100000000000000 +000000000001000011000010100111011010111100000000000100 +000000000000000101000010111001011011101011110000000001 +000000000000000000000010100011101011011011110000000000 +000000000000001000000111000101101111101000010000000000 +000000000000000101000000000101101011111000010000000000 +000000000000000011100000011011111001111100000000000000 +000000000000000000100010100001101011011100000000000000 +000000000000101000000000000011000000000010010100000000 +000000000001000101000000001101101101000001010010000001 +.logic_tile 6 6 +000000000000000111100010100000000000000000000000000000 +000000000000001001100011010000000000000000000000000000 +111000000001010011100110100000000000000000000000000000 +000000000000100111000010000000000000000000000000000000 +010000000000101000000111001101011000011100000000000000 +010000000001000111000100000011011000111000000000000000 +000000000000000011100010000001100000000000000001000000 +000000000000000000100100000001100000000001000000000000 +000000000000000000000010001011001011101100000000000000 +000000001010000000000100000011101111001100000000000000 +010000000000000000000010001001111010100011110000100000 +110000000000001111000100001011011110000011110000000000 +000000000000001000000000001001001110000100000000000000 +000000000000001011000000000101101011100000000000100000 +010000000000000000000010101000000000000000000100000000 +100000000100000000000100000001000000000010001000000000 +.logic_tile 7 6 +000000000000001001000000011101100000000010000000000000 +000000000000001111000010001001001001000011000001000001 +111000000000001011100000000111101100100011110000000000 +000010000000000001100010100011001101000011110001000000 +110000000000001011000010110111011000110000000000000000 +010000000000001111100110001111101000010100000000000000 +000000000000000011100010001111011010000100000000000000 +000000000000001011100010100011101010100000000000000001 +000000000000001011100010010001011111010100000000000000 +000000000000000011000011101101011011110000000000000000 +001000000001000011100000011011101010111000000000000000 +000000000000100000100010001101101011110000000000000100 +000100000000000000000110011001100000000010000000100000 +000100000100000000000111110001000000000000000000000000 +010000000010000011100000001000000000000000000100000000 +100000000000001001100011000111000000000010001000000000 +.logic_tile 8 6 +000000000000001011100110000011111000110000000000000000 +000000000110000001100110111101011101000000000000000000 +000000000000000001100010000111111000110000000000000000 +000000000001011101000100001001001111000000000000000100 +000000000000000001100110011011011011000100000000000000 +000000000000001001000110001101011100100000000000000000 +000000000000000001000010010011111011110000000000000000 +000000000000000000100111000011001011000000000000000100 +000001000000000001100110001001001111100011110000100000 +000000101100000111100011101111001000110011110000000001 +000010100000000111000010001111001100000100000000000000 +000001000000000111000000001011101010100000000000000000 +000000001010001000000010100011101100111101110000000000 +000000000000000101000111101001111000111100110000000100 +000000000000010111000111001101011110111101110011000000 +000000000000000000000100000001011011111111110000000100 +.logic_tile 9 6 +000000000001011111100010100111001100111100110000000000 +000000000000101011100011100011101110010100100000000000 +111010100000001101100111100001100000000011000000000001 +000000000010000111100110011001001010000011110000000000 +010000000000001111100111100101100000000001000011000000 +010000001100000011100100000001100000000000000010000000 +000000001010000101100111010001101110110000000000000000 +000000000000000101100110101001001010000000000000000000 +000000000010010001100010001001111000000100000000000001 +000000000000101011000000001101011010100000000000000000 +000000000000000000000000001101101010000100000000000000 +000000000000000000000000000101011000100000000000000000 +000100000000000001000000001000000000000000000100000000 +000000000000000000100010111001000000000010000000000010 +000000000000100000000000000000000000000000000100000000 +000000000110000000000000001011000000000010000000000010 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +000001001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +.logic_tile 11 6 +000000000000000000000010010111000000000000000000000000 +000000000000001011000111001001000000000001000001000000 +111100000000000101100110010000000000000000000000000000 +000000000000000000100011010000000000000000000000000000 +110000000000000000000000011001100000000000000000000000 +100000000000000000000010001011100000000011000000000000 +000000000000000011000011010101100000000001000000000011 +000000000000000000000110001001000000000000000001000000 +000000000000000011000000001101111111010111110000000000 +000000000000000000100000001111101001100111110000000100 +000000000001010000000000000101101110000100000000000000 +000000000000100000000010011101101100100000000000000000 +000000000000001101000000000000000000000000000100000000 +000000000000000101000000000001000000000010000000000000 +010000000000000000000110101000000000000000000100000100 +100000000000001001000000000001000000000010000000000000 +.logic_tile 12 6 +000000000000000000000110100000001000111100000000000000 +000100000010000000000000000000000000111100000000010000 +111011000010000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000001001110000000000000000000000000000000000000000000 +000000000010000111100000010000000000000000000000000000 +000000000100000000100011110000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000010000001001000000000010000010000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000010000000000000000000000000100000010 +100001001010000000000000000011000000000010000000000000 +.io_tile 13 6 +000000000000000000 +010000000000000000 +000000000000000000 +000000000001100001 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000000000000000000111100000000011000000000000 +000000000000001101000010110111101010000011110000100000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010001000000000101000110010111011110000100100000000000 +110010100000000000100010000001111110000000000000000000 +000000000000000111100010100101100000000000010000000000 +000000000000000000000000001011001110000000000000000000 +000100000000000000000111000101000000000000000000100000 +000100000000000000000100001001100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000010001101100000001000000000000000000100000010 +000000000000000011100010101101000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +.logic_tile 2 7 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000001001000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +010000000000000111000110001101000000000000000001000000 +110000000110000101000000000001000000000001000010000000 +000000000000000000000000000011100000000000000000000000 +000000000000000000000000000111101000000000010000000000 +000000000000000000000000000001100000000000000000000000 +000000000000001111000000000011001010000000010010000000 +000000000000000000000110010000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000001100000000000000000111000000000000000000000000 +000000000000001111000000000101000000000001000001100001 +000010000001000000000000001000000000000000000100000010 +000001000000100000000000001011000000000010000000000011 +.ramb_tile 3 7 +000001000000000000000000000000000000000000 +000010010000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 7 +000000000000000111100000001011011001010100000000000000 +000000000000001011100000001101101100011000000000000000 +111001000000001111100000010001100001000000010000000010 +000000100000000011000010000001101010000000000000000000 +110000000000000111100111101011011010000000000000000010 +110000000000001011100110101111001000100000000000000100 +000000000000001000000111011101000000000000000000000000 +000000000000000011000110000101101010000000010000100000 +000000000000000011100011001101100000000000000010000000 +000000000000000000000100000001101010000000010000000000 +000000000000001000000111101101001010000000000000000000 +000000000000000011000100000101101011100000000001000000 +000000000000000011100111100101000001000000100000000000 +000000000000000000000000000111101111000000000000000000 +010000000000000000000010001000000000000000000101000100 +100000000000000000000000001011000000000010001100000010 +.logic_tile 5 7 +000000000000001111000010010011001111110000000000000000 +000000000000000001000110001101011110111000000000000000 +111000100010000000000111001001100000000010000000000100 +000001000000001101000011111111100000000000000000000000 +001000000000000000000010011101000000000000000000000000 +000000000000000000000011000101100000000001000000000000 +000100000000001011100000000011100000000000000000000100 +000001000000000001000000001011100000000001000000000000 +000000001000000101100110100001000000000011000000000000 +000000000000000000000000000111101000000001000000000000 +000000000000000000000111100001000000000000000000000000 +000000000000000000000110100001100000000001000000000010 +000010100000000101100110011011111110111100010100100000 +000001000000000000000010110001111001111100000001100100 +000000000000000001100000001111000001000011000100000000 +000000000000000000000010101001101010000011010000100000 +.logic_tile 6 7 +000000000001010000000000010000000000000000000000000000 +000001000000100000000011000000000000000000000000000000 +111000000000000000000000000111111011011100000000000000 +010000000000000000000000001101111111111000000000000000 +010000000001000111100000001111100000000011010000000101 +010000001100000000100011001111101010000011110000000010 +001000100001000000000110100111101101100011110001100001 +000000000000000000000000001101111111000001110000000000 +000000001110000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000010000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000111001000000000000000000100000000 +000000000000000000000110001011000000000010000000100001 +.logic_tile 7 7 +000000000000000111000000000000000000000000000000000000 +000000001000000000100011100000000000000000000000000000 +111000000000001101000000011011100000000011010000000000 +000000000000001111100010001101001011000011000000000010 +110000000000000000000000000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +000000000000000001100110011101100000000001100000000000 +000100000000000111000010000001001000000001010000000010 +000000000000000000000000011111000000000011010000100000 +000000000000000000000011111001101001000011000000000000 +000001100000001011000110101101100001000010100000000000 +000011100000010101000000001011101010000010010000000000 +000000000000000000000000011011100000000001000000000000 +000000000000000001000011000001000000000000000000000000 +010000100000000000000000000000000000000000000100000000 +100001000000110000000000000111000000000010001000000000 +.logic_tile 8 7 +000000000000000001000010100111101001110000000000000000 +000000000000000000100111001101011110000000000000000000 +111010000000000000000111001111100000000011010001000000 +000000000000000000000000001101101000000011000000000000 +010100000000000000000110100111100001000000110000000000 +010000000000001001000000001101001110000000000000000000 +000000000000000011100000011011111111110000000000000100 +000000000000000000100010101001011100000000000000000000 +000001000000000000000000000001000000000000000010000100 +000010100000000000000011101011100000000001000000000010 +000000100000000011100000001101100000000011010000000000 +000001001110000001000000001101001000000011000000000010 +000000000010000000000000000111101011110000000010000000 +000000000000000000000000001101001110000000000000000000 +010000000000000011100010001000000000000000000100000000 +100000000000000001000000000111000000000010001000000000 +.logic_tile 9 7 +000000000000001101000010111111001000111101110011000011 +000000000000000101000010100101111000111111110000000001 +111000000000010101000111100011100000000000100000000000 +000000000000101001000110111111001011000000000010000000 +110000000000001000000010111011011010101100000000000000 +110000000000000101000010001001111001111100000000000000 +000000000000000101000111001101100000000001000000000010 +000000000000000101000110000111100000000000000000100000 +000000000000000000000010110101111010111011110010000001 +000000001100000000000111111011011110111111110010000000 +000010000000000000000111101011001010000010000010000010 +000000000110000001000100000001111010000000000000000000 +000001000000000111100011111001000000000010110001000110 +000000000000000000100011110001001110000000110000000010 +010000000001011000000111101111100001000001010100000000 +100000000000101011000000001011101001000001100000000010 +.ramb_tile 10 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 7 +000000000000000111100110010101100000000001000000000000 +000000000000000000000010001001100000000000000000000110 +111000001110001101000010101101001100101000000000000010 +000000000000100001000010101011111000011000000000000000 +110000000000000000000111101111101011000010000000000000 +100000000000001111000000001101101111000000000000000000 +000000000000000111000010111001111101110011000000000000 +000000000110000011000110000011101010000000000000000000 +000110100001010011000110101011001100110011000000000000 +000001000000100000100010011011101111000000000000000000 +000000100000001001000010000001111011011100000000000000 +000001000000000101000010001001001000111100000000000001 +000001000000001000000111100001111111000010000000000000 +000010100000000101000100001101101001000000000000000000 +010010000000001000000110010000000000000000000100000010 +100001000000000101000010100111000000000010000000000000 +.logic_tile 12 7 +000000000000000000000011011001100000000001000000000010 +000000000000000000000010000111000000000000000000000000 +111000000101011111000011011001101010110011000000000000 +000000000000001011000010000011111001000000000000000000 +110000000000000000000010001001100000000001000000000000 +100000001110000011000100000101100000000000000000000100 +000000000000000111000000000000000000000000000110000000 +000000000000000000010000001011000000000010000000000000 +000010000000000000000110000000000000000000000100000000 +000001000000000000000100001001000000000010000001000000 +000010100000000111100000000000000000000000000101000001 +000000000000000000100000000101000000000010000000000000 +000000100000000000010000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000100 +010000000000010011100000000000000000000000000000000000 +100000000010000000100000000000000000000000000000000000 +.io_tile 13 7 +000000000000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000010000000010010 +000011110000010000 +001000000000000000 +000000000000000000 +000000000000000000 +100100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001000 +001100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +010011010000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000000000000000000000110001001100000000011000000000000 +000000000000000000000000000101100000000000000000000000 +111000000000000001100110001101100001000010000000000000 +000000000000000000000000000001101010000000000000000000 +010000000000101001100111110001000000000001000000000000 +110000000001010001000010000101100000000011000000000000 +000000000000001001100110000101111110001100110000000000 +000000000000000001000000000000010000110011000000000000 +000000000000000000000000000111000000000001000100000000 +000000000000000000000000000101100000000011000100000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000100000010 +000000000000100000000000010000000000000000000000000000 +000000000001010000000010100000000000000000000000000000 +010000000000000000000110100000000000000000000101000000 +100000000000000000000100000101000000000010000100000000 +.logic_tile 2 8 +000000000000000000000111010001000000000000001000000000 +000000000000000001000111000000100000000000000000001000 +111000000000011011100110100101000001000000001000000000 +000000001010000011100100000000001000000000000000000000 +110000001110001011000000000101101001001100110000000000 +110000000000001111000010000000001010110011000000000000 +000000101010001011100010000001100000000010000000100000 +000001000000000101100000000011000000000000000000100000 +000001000000000111000000000001100001000011000011000000 +000010100100000000100000000001101011000011010000000001 +000000000001010000000000000000000000000000000000000000 +000000000000101111000000000000000000000000000000000000 +000000000000000000000111100101001011000010000001000000 +000000000000000000000000001001011100000000000000000000 +000000100000000000000000011000000000000000000100000010 +000000000000000000000010011111000000000010000000000000 +.ramt_tile 3 8 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000001110000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +111000000101000000000000000101000000000001000000000000 +000000000000100000000000000111000000000000000000100001 +110001000001000011000000001101101011000010000000000000 +010010000000000011000011110101111111000000000001000000 +000000000100011001100111110011011101100000000000000000 +000000000000000011000010000101001101000000000000000000 +000000000000001000000000001000000000000000000100000000 +000000000000000111000011101101000000000010000000100000 +000000000000000000000010001000000000000000000100000000 +000000000000001111000111110101000000000010000000000000 +000000000000001000000000001000000000000000000100000000 +000000000000000101000000001011000000000010000000000000 +000000000000100000000110001000000000000000000100000000 +000000001110001111000000000111000000000010000000000000 +.logic_tile 5 8 +000000000000001101000010011011000001000000100000000001 +000000000000000111000010000111001011000000000000000000 +111000000001010000000000011101100001000000010000000010 +010010101000100000000011011011001100000000000000000000 +000001001110000000000110100111000001000000000000100000 +000000100000000000000010101101001111000000010000000000 +000000000001000011000010010101100001000000010000000000 +000000001010000000000010001001001100000000000000000000 +000000000000000111100000000111000001000000000000000000 +000000000000001001000000001111001111000000010000000000 +000000000000010111110111101011000001000010000000000010 +000000000000101001000000000111001011000011000000000000 +000000000000001000000010011111000000000000000000000000 +000000000000000101000110100011101000000000010000000000 +010000000000001111000111100001011000111100100110000000 +100000000100000101100000001101111000111100110100000010 +.logic_tile 6 8 +000000000000000000000010000111011000000010000000000000 +000000000000000000000111001101011100000000000000100000 +111000000000000000000111101111000000000011000001000000 +000010000000100000010000001011101011000011010000000000 +010000001110000111100010000011100000000000000000000001 +010000000000000000100010100101000000000001000000000001 +000000000001000000000110000101000000000000000001000010 +000000001100000000000000001001100000000001000000000001 +000000000000000000000111111001000000000000100010000001 +000000000000001001000110001111101101000000000000000100 +000000000000000111100110000101100000000001000000000010 +000000000000010000100000001011100000000000000000000000 +000000000000000000000111101101011110111100000000000000 +000000000000000001000100001101111111011100000000000100 +000000000000000000000010010000000000000000000100000000 +000000000000000000000010011101000000000010000000000000 +.logic_tile 7 8 +000000001010000111100000001001011110000100000000000010 +000000000000001001100011101001001001000000000001000000 +111000000000001000000111010011000000000000110001000100 +000000000000100001000011010101101011000000100011000010 +110000000000000000000010010000000000000000000000000000 +110000000000001001000111000000000000000000000000000000 +000000000000101000000110011011101000000010000001000000 +000000000001010011000011110011011000000000000000100100 +000000001100000111000000010111000000000000110000100000 +000000000000000000100010101101101000000000100000000000 +000000000000000001000000001101100001000001010000000000 +000000000000010111000000001101101010000001100000000000 +000001000000000000000010011001100000000011000001100000 +000000100000000000000110100001100000000010000000000001 +010000000001010000000000000000000000000000000100000000 +100000000000000111000000000011000000000010001000000000 +.logic_tile 8 8 +000001000000000011110000011001100000000001000001000000 +000000000000001001100011110011000000000000000000000000 +111000100000001001000010010001000000000000000000000011 +000001001110000011100011000101100000000001000000000010 +110000001100000011100010111011000001000010000000000000 +010000000000001001100011011001101000000000000000000001 +000000000000000001100110011011000000000000000000000000 +000001000000000011000011011001000000000011000010100010 +000000000000001000000111110111011011001100000000000000 +000000000000001011000110100001101101001000000000000000 +000000100000001000000000010101100000000001010100000010 +000001001010000001000011000001001011000001100000000000 +011001000000000000000000000111100000000001010100100000 +000000000000000000000011100101101011000001100000000000 +010000100000000000000000010101100001000001010100000000 +100001000000000000000010000001101011000001100000000001 +.logic_tile 9 8 +000101000011011011100010101101001100101000000000000010 +000100000000001111000111100101101001011000000000000000 +111000000100110111100111111011100000000001000011000001 +000000000001110001000111000001000000000000000000000000 +110000000000100111100111010101000000000000000000000001 +010000000001010011100010111101000000000001000010000001 +010000100000000011000011011001101011110011000010000000 +000100001000001001000011100011101001000000000000000000 +000001000000001111000000000001000001000000100000100010 +000010000000001101100011100001101011000000000000000000 +000011000000000111100000001011000000000001000000000010 +000000001010000001000000001101000000000000000000000000 +000000001110000111100000001101100000000001100000000010 +000000000000000000000000000001001110000000110000000000 +010000100001010000000000000000000000000000000100000000 +100001001110100000000000000001000000000010001001000000 +.ramt_tile 10 8 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +.logic_tile 11 8 +000000000000000111100111100011011100000100010000000000 +000000000000000001100110001001111000100010000000000000 +111001000000000001000000010101101101000000000000000000 +000010000000001001100011001111001111100000000000000000 +110000000000000001100111100011100000000000000000000000 +100000000000000000000111011011000000000011000000000000 +000000100001010111100010001101011000000010000000000000 +000001000010101001100000000011111101000000000001000000 +000010100001011111000110110101101011011100000000000000 +000001000000100001100011100101011100111000000001000000 +000000000000001101100111000111011110010111110010000000 +000000000000001111000100001001011100100111110000000000 +001000000000000001000000011001011111000010000001000000 +000000000000000000000010000001001011000000000000000000 +010000000000001000000010011000000000000000000101000010 +100000000000000101000011110001000000000010000000000000 +.logic_tile 12 8 +000000100000000011000011101001001110110011000000000000 +000001001110000000100010101101101101000000000001000000 +111000100001000000000000000101111001010111110000000000 +000000000000000111000000001101001110100111110000100000 +110010100000000000000000011000000000000000000110000000 +110001000000000101000010000111000000000010000000000000 +000010000001000101000110000000000000000000000110000000 +000000001000000000000000000101000000000010000000000000 +000000000001001000000011010000000000000000000100000010 +000000000000101111000110001001000000000010000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000001011000000000010000000000000 +000000000000000000000111100000000000000000000110000000 +000000000000001111000000000011000000000010000000000000 +010000101101001111100000000000000000000000000110000000 +100000001010000111000000000001000000000010000000000000 +.io_tile 13 8 +000000000000000010 +000000000000000000 +000001111000000000 +010000001000000001 +000000000000000101 +000000000011001000 +001100000000000000 +000000000000000000 +000000000000000000 +000010110000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000001000 +000100000000000000 +000000000000000000 +000010000000000000 +000111010000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000010000000000001100010110000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +111000000000000000000010011001101010010100000000000000 +000000000000001101000111101001011100011000000000000000 +111000000000000000000111101111001001010100000000000000 +010000000000000000000100000101011100011000000000000000 +000000000000000111100011100000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000111100000001001011110000101000010000000 +000000000000000000000011101001001001000110000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000100001000000000001000000000000000000100000010 +000000000000000011000000001101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000001001100010011001000000000000100000000000 +000000000000001111000011111101001011000000000000000010 +000000000000000101000000011001100000000001000000000000 +000000000000000000100011110011100000000000000000000001 +000000000000001011000111010111000000000000100000000000 +000000000000000001000110000001001010000000000000000000 +000010100100000011000111001011001111101011110000000000 +000000000000000000000000000001111110011011110001000000 +001010101110000011100000010011100001000000100000000010 +000001000000000111100011100011101010000000110000000000 +000000000000001101100010001111100000000001000000000010 +000000000000000101000100000011000000000000000000000001 +000000000001000000000110101101001101111100000000000000 +000000000000001001000100000011001010011100000000000010 +000001000000001001000000000001000000000000100000000000 +000000000000001101100000001101101001000000000000000010 +.logic_tile 5 9 +000000000000000111100010100001101001000011100000000000 +000000000000000101100000000001011111000011000000000100 +000010100000001001100000000001001110011100000000000000 +000000000100001101000000000101001100001100000000000001 +000000000000001000000110001001001011000110100000000000 +000000000000001001000100000101001111001111110000000001 +000000000000010001100000010111011110000110100001000000 +000000000000000001000011101111111010001111110000000000 +000000000000000111000000011101100001000000010000000010 +000000000000000000100010100101101111000000110000000000 +000000000000100111000011111101000001000000000000000000 +000000001001000000100010100011101101000000010000000000 +000000001100000011000111111101101101001111110000000000 +000000000000000000000010101011001010000110100000100100 +000010100000000000000111011011011000001111110000000001 +000001000000000000010110101111101100000110100000000100 +.logic_tile 6 9 +000000001110000000000110010111011001000110100000000000 +000000000000000000000110001001101111001111110000000010 +000010100000000011100110000101101010001100000000000010 +000001000000000000000000000111001010101100000000000000 +000000000000001001000110000101000000000001000000000000 +000000000000001001000010010111100000000000000000000000 +000000000000000001100000001111000000000000100000000000 +000000000000000101000000001111101100000000000000000000 +000000000000000101100110000011111001000100000000000000 +000010100000000111000000001101111101001100000000000100 +000010100000000000000010110011000000000010000000000000 +000000000000000000010010101101001101000011000000000001 +000001000000001101100111101001000000000010000000000000 +000010100000000101000010101111100000000000000000000000 +000000000000000000000010101101000000000011100010000000 +000000000000000000000010010101101001000011000000000000 +.logic_tile 7 9 +000000000000000001100010110001101100000100000001000000 +000000000000000101000010001011011010000000000000100000 +000010101100001011100110000101100000000000000000000100 +000001000000000111000000001011000000000001000000000000 +000010100000000001000000000101100000000001000000000000 +000001000000000000000000001011100000000000000000000000 +000000000000101011100011000001000000000001000001000000 +000000000000001111000110001001100000000000000000100100 +000000000000101000000111111111011000111011110000000001 +000000000001001101000011111101111100111111110000000000 +000010100000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000100000000001000000000010101100000000000010000000000 +000100000000001001000011100001001001000000000000000000 +000000000000100000000000000001011000110001010000000000 +000001000000000000000000000001101110110000000000000000 +.logic_tile 8 9 +000000000010000001000011100011101110110000000000000001 +000000000000001111100110110111101010110001010000000000 +000000100001011001100011110011001010001001000000000000 +000100000000000111000010000001111001000101000000000000 +000000000000000001000010001111100000000001000001000010 +000010100100001101100000000111000000000000000000000001 +000010001110000000000000001001001101110000000000000000 +000001000000000000000011010001001111110001010001000000 +000000000000000011000000010101100000000001000000100000 +000000000000001011000011111001100000000000000000000000 +000000000000000000000010010111101010001001000000000000 +000000001010000101000111010011111001000101000000000000 +000000000110000001100000001111000001000000000000000000 +000000000000001011000011000001101100000000010000000000 +000010100000000011100111101001111100001100000000100000 +000000000000010001100011001001111010001000000000000000 +.logic_tile 9 9 +000000000000100011100000001111101111101000000000000000 +000000000000011011100011000111001000011000000000000000 +000000000000101111010011111111101000101000000000000000 +000000000000010111000011001011111000011000000000000000 +000000000000001001100010000101100001000010000000000000 +000000000000110001000111000111101010000000000000000100 +000000000000001001100111110001100001000000000000000000 +000000000100000001000110000011101001000000010000000000 +000000000000001011000110100001111101000110000000000000 +000000000000000111000100000001001011001010000000000000 +000000001001010111000010000111001100101000000000000000 +000000000000100000000100001011101000011000000000000000 +000010001100001011000111000111001011101000000000000000 +000000000000000111100110001101111000011000000000000000 +000000000001110111000010001101000000000010000000000000 +000000000001001111100100000101001010000000000000100000 +.ramb_tile 10 9 +000000001100000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001100000000000000000000000000000 +000000000001100000000000000000000000000000 +.logic_tile 11 9 +000000000000000001000000010111011110101000000000000000 +000000000000001001000011110011111101011000000000000000 +111000000010001000000110000101111100001101010000000100 +000000000000001011000000000101001000001111110000000000 +010000000000001111100010000011111100000010000000000000 +010000000000000001100000000001011010000000000000000000 +000000000010101001110110001011000000000001000001000000 +000000000001000001000000001111100000000000000000000000 +000000000000000111000000000111100001000000100000000000 +000000000110000111000011111101001101000000000000000000 +000000000000001101100110100101001101001001000000000000 +000010000000000111000010010011011111000101000000000000 +000000000000000000000000001001100001000010100000100000 +000000000000001001000011000001101100000010010000000000 +010000000000000000000000010101100001000001010100000000 +100000000000001001000011100111101010000001100000000000 +.logic_tile 12 9 +000000000000001000000110010001001101011111110000000000 +000000000000000001000011000101101000111111110000000000 +111000000000000111010110000001000001000000100000100000 +000010001000000011000000001101001000000000110000100000 +010000000000000101000000000011011000110011000001000000 +010000000000000000100011000111111100000000000000000000 +000010000001010011100000011011011011110011000000000000 +000000000000001011100010001111101011000000000000000000 +000000100000001011100010011000000000000010000000000000 +000000000000001111100011001111000000000000000000000000 +000000100001000011100000001000000000000000000100000000 +000001000000000011100000000011000000000010000001000010 +000010100000000111000000001000000000000000000110000000 +000001001100000000100000000011000000000010000000000000 +010000000000000000000111101000000000000000000100000000 +100000000110000000000111000101000000000010000001000000 +.io_tile 13 9 +000001111000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000001100 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000010000000000000 +000010010000000001 +000000000000000010 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111000000000000001110110011111011001000010000000000000 +000000000000000000000010000001111001000000000000000000 +010000000000000000000111100000000000000000000000000000 +110000000000000000010100000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001111000000000010000000000000 +000000000000000101100000010000000000000000000000000000 +000000000000000000100011100000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +000000000000000000000110000000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 +000100000000000000000000000000000000000000000100000000 +000000000000000000000011000001000000000010000000000000 +.logic_tile 2 10 +000000000000000111100111110000000000000000000000000000 +000000000000000000100111110000000000000000000000000000 +111000000000001111000110001001000000000000000001000000 +000000000000000011100011100101100000000001000000000000 +010000000000000001100010101001111100000000000000000000 +110000000010000000000100000011011100100000000010000000 +000000100000000000000000010000000000000000000000000000 +000001000000000001000010110000000000000000000000000000 +000000000000001000000000001001100001000010100000000000 +000000000000001011000000000001101101000010010000000100 +001000000000000000000010000001011001110000000100100000 +000000000000001001000010011001111010111001010000000000 +000000000000000000000000000101100000000001000100000000 +000000000000000000000000000101100000000000000000000000 +110000000000000000000000000101000000000000000100000000 +000000000000000000000000000001000000000001000000000000 +.ramt_tile 3 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000010000111010111111001101101100000000000000000 +000000000000001001000111110001001100000000000010000000 +111000100000000111100010100101000000000010000000100000 +000001000000000101100011001011000000000000000001000000 +010000000001000101000110001111000000000000000000000000 +010000000000000000000010010101000000000001000000100000 +000000000001000011110011000011000000000001000010000000 +000000000000100000010100001001100000000000000000000000 +000000000000000101100110010101001111010000000000000000 +000000000000001111000011010011111011110000000000000000 +000000000000000001000000001111100000000010100010000000 +000010000000001001000000001111001000000010010000000000 +000000000000000000000000010001111100110000000100000000 +000000000000000011000011100001101011111001010010000000 +110000000000000111100000011001100000000000000100000000 +000000000000001001100010100111100000000001000000100000 +.logic_tile 5 10 +000000000000000011100011001111011111000010000000000100 +000000000000000001000110001101111111000011000001000000 +111000000000011011000000000011001111000011110000000000 +000100000000100011100011011011101000000011100000100000 +110000000000011101000111111001111110000010000000000000 +110000001010000011010010000011001100000011000000000000 +000000001000001001100010011111101011000100000000000000 +000000000000000011000011111101001111001100000000000001 +000000000000001001100010010111000001000000010000000000 +000000000000000101100011001001001001000000000000000000 +000001000010010000000000000001001010011111110000000000 +000010000000101111000000001101001000111111110000000000 +000000000000001111100011110001001011111111000100000000 +000000000000001111100011001101101000111110000000000000 +000000000000001111000110101101100000000000110100000000 +000000000000000001100111000101001100000001110001000000 +.logic_tile 6 10 +000000000000001001100011011111011100111000000000000001 +000010100000000011100010000111011110110000000000000100 +000001000000000000000110001011100000000010000001000000 +000000000000101001000000001011101010000011000000000000 +000000001110000111000110000011000000000010000000000000 +000001000000001111000011000001000000000000000000000000 +000000000000001001100110001101100000000000000010000000 +000000000001000101000010101101100000000001000000000000 +000000000001001101100000010001001110001100000000000000 +000000001000000101000010101001111011000100000000000000 +001000000000000000000110110001101101000110100000000000 +000100000000000000000010100011011001001111110000000000 +000000000000001101100000010101101011010000000000100000 +000000000000000101000010010101011010000000000000000000 +000000000000000011100000000011000000000001000000000000 +000000000000000000000000000001000000000000000000000000 +.logic_tile 7 10 +000000000000001000000011101011100000000000000010000000 +000000000000000001000010101011100000000001000000000000 +000000000000001000000000000001000000000000000010000000 +000000000000000001000011101011101001000000010000000000 +000000000000100111100011101101100000000000000001000000 +000000000000000000100010101001000000000001000000000100 +000000000010101011100000010101011000010000000000000000 +000000000110000001000011011011101011000000000000000000 +000000000000000000000011100111000001000000100000000000 +000000000000000000000000000101101100000000000000000000 +000000000000000000000010001101100000000001000010000000 +000110000000000111000110011101000000000000000000000000 +000000000000000000000011100001000000000000100000000000 +000000000000000000000011101111101000000000000000100000 +000000000000000000000000001001100000000001000000000000 +000000000000000000000000000101100000000000000011000001 +.logic_tile 8 10 +000000000000000011000010000001101000011100000000000000 +000001000000001111100010111001111101111100000000000000 +000010000000001101100110011111000000000000100000000000 +000001001100000001100010000101101101000000000010000000 +000000100000000000000110000111100001000000100000000000 +000001000000000000000100001111001001000000110000000010 +000000000000001000000000001111101101000000000000000000 +000000000000000001000011110001001111100000000000000000 +000000000001001000000111011111000001000000000000000000 +000000001110000011000010100111001101000000010000000000 +000000000000000000000000001111000000000000000000000000 +000000000000001001000011000111100000000001000000100011 +000000000000101000000110000001100001000010000000000001 +000000000000000011000000001101001000000011000000000000 +000000000000000101100000001001011100000100000000000000 +000000000000000011000011001101001000100000000000000000 +.logic_tile 9 10 +000100000000001011100011111001011100111100000000000000 +000100000000001111000011111011001011011100000000100000 +111000100000000001000110011001011100001001000000000000 +000001000100000011100011111001001001000101000000000001 +110000000000000011100010101011001100110011000000000000 +010000000000000011000100000001011100000000000000000000 +001001000100000011100110001001000000000000000001000000 +000000000000000011100010001101100000000011000000000000 +000000100000001011100011010101101111000010000000000000 +000001000000101101100011011001001001000000000000000000 +000000000000001111000000011101000000000010000000000000 +000000000000000011100010111111100000000000000000000000 +000000000000001000000000000001100001000001010101000001 +000000000000001101000000000101001010000001100000000000 +010010000000000000000000010101100000000001010100000000 +100000000000000000000010110001101010000001100000000000 +.ramt_tile 10 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 10 +000000000010001111100000001001000001000010100000000000 +000000000100001011100010011001001100000010010001000000 +111000000000000000000000010011000000000001000001000000 +000000000000000111000011001101000000000000000000000000 +010000000000000001000010000011100000000000100001000000 +100000000000000011000110101101001001000000000000000000 +000000000000000000000000000111111000001001000000000000 +000000000000000000000000000101001110000101000000000000 +000000000000001000000000000000000000000000000100100100 +000000000000000101000000000001000000000010000000000000 +000000000000010001000010001000000000000000000100000000 +000100000000001001100100001111000000000010000001000100 +000000000000000000000000000000000000000000000101000000 +000000000000001001000000000101000000000010000000000000 +010000000000000101100000001000000000000000000100100001 +100000000000000000000011001011000000000010000000100000 +.logic_tile 12 10 +000000000000000011100111011101111011000010000000000000 +000010000000000000100011010101101011000000000000000000 +111001000100001001100011101111011101110011000000000000 +000000000100000111010000000001111011000000000000000000 +010000000001010011100000001011011001110011000000000000 +010000000000000000000000001101011100000000000000100000 +000001000001111001100110010000000000000000000000000000 +000010000001010001000010000000000000000000000000000000 +000000000001010011100000000000000000000000000110000000 +000000000000100000100000000101000000000010000000000000 +000000000001011000000000010000000000000000000100000000 +000000000000000001000011011001000000000010000000000000 +000000000000000000000111001000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +010000000000000000000000000000000000000000000100000100 +100000000000000000000000000001000000000010000000000000 +.io_tile 13 10 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000000000000100000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 11 +000100000000001101000000010001111011000010000000000000 +000000000000000001000010001001001001000000000000000000 +111000000000000001100000010001000000000001000000000000 +000000000000000000000010000001000000000000000000000000 +010000000000000000000111100000000000000000000100000000 +110000000000000000000100000111000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000100000000000010000000000000000000100000000 +000000000001010000000010111011000000000010000000000000 +000000000000001000000000001000000000000000000100000000 +000000000000001101000000000111000000000010000000000000 +000100000000000101100000001000000000000000000100000000 +000100000000000000100000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +.logic_tile 2 11 +000000000000000000000000010101100001000010100000100000 +000000000000000000000011101101101010000010010000100000 +111000100010001111100000000011100000000010100000000000 +000001000000001011100000001101001110000010010000000100 +010000000000000011100111100000000000000000000000000000 +010000000000000000100011010000000000000000000000000000 +000000000000000001000111000101000000000001000000000000 +000000000000000111100110100011000000000000000000100001 +000000000001010111100000001000000000000000000100000000 +000000000000000000000000000001000000000010000010000000 +000000000000000000000000001000000000000000000100000000 +000000000000001001000000000001000000000010000000000001 +000000000000000000000000001000000000000000000100000000 +000000000000000111000000001101000000000010000000000010 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000010 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000001001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 11 +000000001110000011000110000011001010010011110000000000 +000000000000000000000010001111111100000011110010000000 +111000000000000111000000000101000001000010100010000000 +000000000000000101000000001001101000000010010000000000 +010000000001001011100111000111100001000000100000000000 +010000000100000111100010100111101011000000000000000000 +000000000000000011100010001011100001000010010100000000 +000000000000000000000000001111001101000010100000000000 +000000000000000000000111010111101110111100100100000000 +000000000000000111000010001011001010111100110000000000 +000001000000001000000110111011011110111100110100000000 +000000000000000101000110101111001011111100100000000000 +000000000000001111100010001101000001000010100100000000 +000000000000000101100000000001001111000010010000000000 +000001000000001101100110110011111011000111110100100000 +000000100000001101000110110001101101001111110000000000 +.logic_tile 5 11 +000000000001010000000111110001111110000011100000000000 +000000000000100000000110001111001010000011110000000000 +111000000000001011100000010001111110000000000000000000 +000000000000000011000010000011101010100000000000000000 +110000000000001001100111000101100000000010000000000000 +010000000000001011000110011101000000000000000000000000 +000000000010000000000010011001000000000000000000000100 +000000000000000101000011001011101011000000010000000000 +000000000000000111100010011101001000100000000000000000 +000000000000000000000011001011011001000000000000000000 +000010000000001000000111010101111101000011100000000000 +000001000000001011000110101101101111000011110000000000 +000000000000000101100110101101100000000001000000000000 +000000000000000000000000000001100000000000000000000000 +110000000000001101100000010111000000000011100100000000 +010000000000001011000010101011001001000011110001000000 +.logic_tile 6 11 +000000000000001101000000011111101101100000000000000000 +000000000110000011000011010101001101000000000001000000 +111000000001000111000000001011100000000010000000000000 +000000000000000000000000000001000000000000000000000000 +010000000000000001000000010101000000000000010000100000 +010000000000000111100010010101001110000000000000000000 +000010000000000001100000010111100001000000000000000000 +000000000110000101000010001111001011000000010000000000 +000000000000010001100000010001000000000001000000000000 +000000000000100000000011001011000000000000000000000000 +000010100000001000000010001001000000000001000000000000 +000000000000001001000011000101000000000000000000000000 +000000000000000001000010010101000001000000110000000000 +000000001100000000100010001111101101000000100000000000 +000001000010001101100000001000000000000000000100000100 +000010100000000001000000001011000000000010000000000000 +.logic_tile 7 11 +000000000110000011100000010000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 +111000000000000101000000010001101111101011110000000000 +000000000000001111000010000101001111011011110000000000 +110000001010000001110010101101101100110011110000000000 +000000000000001001000010101011111010010010100000000000 +000000000000000000000000010001101011000011000001000000 +000000000000000000000011011011111000000001000000000000 +000000000000000001000011000000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +000010000000000001000000010001101000001100000000000000 +000001000000000000100011000001111011101100000000000000 +000000000000000111000000000111101101100111110000000000 +000000000000000000000000000001101000111111110000000000 +000000000000000000000000011011000000000011000100000000 +000010000000000000000011000011000000000010000000000001 +.logic_tile 8 11 +000000000000100111000011101001100000000000000000000000 +000000000001010001000011100101000000000001000000000010 +111000000000000001100110000001101011110110100000000000 +000000000000000111000010011001001010101101010000000000 +010000000001011101000000001101001011001100110000000000 +100000000010000011100010001011011011000000000000000000 +001000000000001001000011111101111110000010000000000100 +000000000000000011100011011011111000000000000000000000 +000000000000001111000010000011011000110011000000000001 +000000000000000011000100001001011000000000000000000000 +000000100000000001000010011101101100010111110000000000 +000010000000000000000111001111101101100111110000000000 +000000000000000001100000001101101110000000000000000100 +000000000000000001000000001001101110100000000001000100 +010000000000000000000110011000000000000000000100000000 +100000000000000000000010100011000000000010000000000000 +.logic_tile 9 11 +000000000000100111100111100001101101101100000000000001 +000000000000001011000110000001001010001100000010000000 +111001000001000101100110001011111011000010000000000000 +000000000000001001100011000011111010000000000001000000 +010010000000000000000111001101011011010010000000000000 +110001000000001101000011000011011000000000000000000000 +000011100001001011100111110001100000000001000000000000 +000011000000101111000110001111100000000000000000000000 +000000000000000111000000010001101010110000000000100000 +000000000000000000100010001111111101000000000000000000 +000011000000001001100000010000000000000000000101000010 +000001000000001011000010000001000000000010000000000000 +000010000000000000000010010000000000000000000100000000 +000000000000000000000111101001000000000010000000000000 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000001001000000000010000010000000 +.ramb_tile 10 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001100000000000000000000000000000000000 +000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000001000000000000000111000101100000000000000000000000 +000010100000000000000010111001000000000001000000000010 +111000000000001001000111010101001011001101010000000100 +000000000000000011100111001111101011001111110000000000 +110000000000000001000010111001111001010111110000000000 +010000000000000000100010001011101001100111110000000000 +000000000000001000000010010111111000001111110001000000 +000000000000000001000110000011001101000110100000000000 +000000000000000001000010100001011001010111110000000000 +000000000110000000100011110111101001100111110000000000 +000000000000001011000010111011101111000010000000100000 +000000000000000111000011101111011101000000000000000000 +000000000000000111100010101101000000000000000000000000 +000000000000000000100011001101100000000001000000000000 +010000000000000001000000011000000000000000000110000000 +100000000000000000100011100011000000000010000001000100 +.logic_tile 12 11 +000000000000000111000011111011101010110011000000000000 +000000000000000111000011010111111010010010000000000000 +111000001110000111100010111011111010110000000001000000 +000000000000100111000111010011101010000000000000000000 +110000000001011011000000011011011000001001000000000000 +110000001010000001000011001101101100000101000000000000 +000000000100001001100110000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000001011000111001001000001000000100000000000 +000000000000001011000100001001001101000000000000000000 +000001000000000011000110110001011001110011000000000000 +000000000000000000000111000011101001010010000000000000 +000001000000000000000011100101000000000001000000000110 +000010100000000000000100000001100000000000000001000000 +010000000010000111000000000101100001000001010100000000 +100010000000000000000000001001101010000001100000000000 +.io_tile 13 11 +000000111000000010 +000100001000000000 +000010000000000000 +000001110000000001 +000000000000000010 +000000000000110000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 12 +000000000000000010 +000100000000000000 +000000000000000000 +000000000001100001 +000000000000110010 +000000000000110000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000011000000000 +000000000000000000 +.logic_tile 1 12 +000000000000000101000010010001000001000000010001000000 +000000000000000000000011110101101001000000000000000000 +111000000000000000000000011101000000000000000001000000 +000000000000000000000010001101101000000000010000000000 +010000000000000101000010000000000000000000000000000000 +010000000000000000000100000000000000000000000000000000 +000000000000000000000000010000000000000000000110000000 +000000000000000000000010000011000000000010000000000000 +000000000000000001000000000000000000000000000100100000 +000000000000000000000011001011000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000001000000000000000000100000000 +001100000000000000000000000111000000000010000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 2 12 +000000000000101101100011011011001001000100000001000000 +000000000001001111000110111111111001000000000000000000 +111000000000001011110110000111011110010000000010000100 +000000000000000111000010101001111000000000000000000000 +110000000000001101100111011101000000000001010000000000 +010000000000000011000011010101101100000001100000000001 +000000000000000001100000000111101010000000000001000000 +000000000000001011000000001011101001100000000000000000 +000000000000001000000111111001100000000001010000000100 +001000001100000111000011101011101100000001100000000000 +000000000000000011100000010101000001000001100100000000 +000000000000000000100010000101101100000001011000000000 +001001000000100000000111100101100001000001100100000000 +001000101101000000000000000001001110000001011000000000 +010000000110000011100110101001000001000001100100000000 +010000000000000000100100000001101100000001011000000000 +.ramt_tile 3 12 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 12 +000000000000100111100010000000000000000000000000000000 +000000000000010000100111110000000000000000000000000000 +111000000000000001100011001001000001000000100000000000 +000100000000001011000010100011101001000000000000000100 +110000000000000000000011001011100001000010100000000001 +110000000000000000000011001001101011000010010000000000 +000000000000000101000010101001100000000010100000100000 +000000000000000101000100000101001101000010010000000000 +000000000001000000000111001001100001000010100000000010 +001010100000101001000100000101001101000010010001000000 +000000000010100111100110000101000000000001000100000000 +000000000000010000100010011001100000000000000000000000 +000000000000000000000111100101000000000001000100100000 +001000000000000000000000000011100000000000000000000000 +110000001110000000000000000011000000000000000100000000 +000000000000000000000000000001000000000001000000000000 +.logic_tile 5 12 +000000001100101011100010000001000000000010000000000000 +000000000000010001000010000111100000000000000000000000 +111000101110001011100011010111101101000010000001000000 +000000001010000011100011100111101111000000000000000000 +010000000000001001100111100001011010100000000000000000 +110000000000001111000100000101011000000000000000000000 +000000000000000101000110011101100000000010100000000100 +000000000000001011100011100101101010000010010000000000 +000000000000001001100111101011011001010000000000000000 +000000000000000111100011111011111001000000000000000010 +000000000000001001000111110011000001000001100100000000 +000000000000001111000010100011101000000001011001000000 +000000000001000001000000000011100001000001100100100000 +001000000000001111100000001001101000000001011000000000 +010000000000000000000110111011100001000001100100000000 +110000000000000000000010100011001000000001011000000000 +.logic_tile 6 12 +000001000000000000000110010101101100000000000000000000 +000010000000000000000010101101101001100000000000000000 +111010100000001001100111101111101010010000000000000000 +000000000000000111010010011101111011000000000000000000 +110000000000101011100010011101101000100000000000000000 +110000000000010101100110100101011010000000000000000100 +000000000000001011000000000011100001000000100000000000 +000000000000000001100010011011101101000000000000000000 +000000000000000111100111101001101011010000000000000000 +000000000000000000000000001001001000000000000000000000 +000000000000000000000110011000000000000000000100000001 +000000000000000000000010101001000000000010000000000000 +000000000000000000000011101000000000000000000100000000 +001000000000000000000000000111000000000010000000000000 +000000000000000101100110111000000000000000000100000000 +000000000000000000100011011111000000000010000000000000 +.logic_tile 7 12 +000000000000001001100110000000000000000000000000000000 +000000000000001111000010110000000000000000000000000000 +111000000000101001100010001001000000000000000000000000 +000000000000000101000111001101101001000000010000000000 +110000000000100000000000001001000001000000010000000000 +110000000001010000000000000001001001000000000000000000 +000000001010000111100000011011100000000010000000000000 +000000000000000000000010000001000000000000000001000000 +000000000000000000000011101000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000001000000000001000000000000000000100000000 +001001000110000001000000001001000000000010000000000000 +000000000000000000000010000000000000000000000100000000 +001000000000000000000100000001000000000010000001000000 +000000000000000000000000000000000000000000000100000100 +000100000000000000000000001011000000000010000001000000 +.logic_tile 8 12 +000000000000000011100000001001101110000100010000000000 +000000000000000001000011100111101000100010000000000000 +111000000000001101100011011111001100100000000000000000 +000000000000000111100010000001101111000000000000000000 +011000000000000000000000011011111001000010000000000000 +011000001000000000000011111001011000000000000000000000 +000000000000100011100010100101111011010111110000000000 +001000001011010001100000001101101010100111110000000000 +000001001100001011100000010111100000000001000000000010 +000010100000000001000010001011000000000000000000000000 +000000000000000001100000000000000000000000000100000000 +000000000000000000000010010001000000000010000000000000 +000000000000000000000110111000000000000000000100000001 +001000001010001001000010101011000000000010000000000000 +011000000000001001100000000000000000000000000100100001 +100000000000000101000000000011000000000010000000000000 +.logic_tile 9 12 +000001000000000111010111110001100000000000000000000000 +000000000000000001000111010111100000000011000000000000 +111010100000000000000011011001000001000010100000000000 +000000000000001101000011001011001110000010010000000000 +010001000000101001100010001101001111110011000000000000 +011010100001011111000010111001001011000000000000000000 +000000000000000111000111010011011100010010000000000000 +000000000000000000000011100011111000110011000000000000 +000001000001010101100010010101011100000010000000000000 +000010100000000011100011101111011011000000000000000000 +000000000000000111000111000101011011000110000000000010 +000010000000000000100011111101001100001010000000000000 +000100000000001011100011100011011111001101010000100000 +001110000000001011100000000011101000001111110000000000 +010000000000000000000110000111100001000001010100000000 +100000000000001101000000001001101011000001100000000000 +.ramt_tile 10 12 +000001000010000000000000000000000000000000 +000000100000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +000000000110000111000111010001001100110000000000000000 +000000000000001101100010011001101000110001010010000000 +111000000110001001000110000101101101100001000001000000 +000000000000000111100000000001011001110011000000000000 +010000000000000000000010001011101111001001000000000000 +111000000000000000000110000001001110000101000000000000 +000000000000000101100000000111000000000000000000000000 +001000000000000000100000001111101011000000010001000000 +000000000000001111100000010000000000000000000100000010 +000000000000001011000011110011000000000010000001000000 +000000000000000001100010001000000000000000000100000000 +000110100001010000000011111011000000000010000010000000 +000000000000000000000011111000000000000000000100100000 +001000000000001111000111111011000000000010000000100000 +010000000000000001000000001000000000000000000100000000 +100000000000000000000000000001000000000010000010100000 +.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000001000000000000000000100000100 +011000000000000000000000001111000000000010000000100100 +000000001110000000000000000000000000000000000100000100 +000000000000000000000000000011000000000010000000000000 +000010000000000011100000010000000000000000000000000000 +000001000000000000000011100000000000000000000000000000 +000000000000000011100000000000000000000000000110000110 +000000000110000000000000000111000000000010000000000000 +000000000000001000000111100000000000000000000100100100 +001000000000001111000100001111000000000010000000000000 +010000000001000000000110101000000000000000000100000000 +100000001010000000000100000011000000000010000000000000 +.io_tile 13 12 +000000000000000010 +000011110000000000 +000001011000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 13 +000010000000000010 +000101010000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000100000001100000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 13 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +.logic_tile 2 13 +000000100000100000000000000000000000000000000100000000 +001001000001001111000000000001000000000010000000100000 +111000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000010 +010000000000000000000000000000000000000000000100000000 +001000000000001111000000000001000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000101000000000000000000000000000000000000000000000000 +001100000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.ramb_tile 3 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000100000000 +000000000000000000000000001111000000000010000000100000 +000000000000000000000000000000000000000000000100000100 +000000000000000000000010101011000000000010000000000000 +000010100000000000000000000000000000000000000100000001 +000001000000000000000000001111000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000010101011000000000010000000000100 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000001111000000000010000000000000 +.logic_tile 5 13 +000000000001011000000000000000000000000000000000000000 +001000000000010011000010000000000000000000000000000000 +111000000000000000000000011101100000000001000000000000 +000000000000000000000010111111000000000000000000000001 +010000000000001000000111001101100000000010100000000100 +111000000000000011000100001101001100000010010000000000 +000000000000010001100110000101100000000000000000000000 +000000000000001011000010000011000000000001000000100000 +000010000000001101100110010011101111000100000000000000 +000000000000001011000110010111111001001100000000000100 +000000000000000111100111111011100000000011000000000100 +000000000100000000100011101101100000000010000000000000 +000000000001000001100000010011100000000001100100100000 +001000000000100000100010101011001000000001011000000000 +010000000000000000000000000001000000000001100100000000 +110000000000000000000010111011101010000001011000000000 +.logic_tile 6 13 +000001000100000101100000011101000000000000010000000000 +000010000000001001100011100111001000000000000000000100 +111001000001010011100000001111000000000000000000000000 +000000100000000000100000000011000000000001000000000100 +110000000000000101000000010011011001010000000000000000 +111000000000000101000010001001001011000000000000000000 +000000000000000000000010001101000000000010000000000000 +000000100000000000000100001111001011000011000000000000 +000001001010000001000000000011100000000000000010000000 +000000100000000000100000000111000000000001000000000100 +000001000000000001100000000000000000000000000100000000 +000000001100010000000000000001000000000010000000000000 +000100000010000101100010010000000000000000000000000000 +001100000000000000100111110000000000000000000000000000 +000000000000100101100000010000000000000000000100000000 +000000000001010000000010101111000000000010000000000000 +.logic_tile 7 13 +000100000000001001100111111111000000000000000000000000 +000100000000000011000111110001101011000000010000000001 +111000100000001000000000000111100001000000000000000000 +000010000000000111000010101111101010000000010000000000 +110000000000000000000110000101100001000000100000000000 +111000000000000000000010100111001011000000000000000000 +000000000000001001100010101001000000000001000000000000 +000000000000000111000000001011100000000000000000000000 +000000000000000000000000000111101010100000000001000000 +000000000000000000000010011011111011000000000000000000 +000000000000000000000111001001000000000001000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000011100011101001000001000000010000000000 +001000000000000000100011010101001001000000000000000000 +000000000000000000000111000000000000000000000100000000 +000000000000000000000011011001000000000010000010000010 +.logic_tile 8 13 +000001000000001000000000001000000000000010000000000000 +000000100000000011000000001111000000000000000000100001 +111000000000000101000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +010000000000000000000010111001111100001001000000000000 +101000000000000000000011000001001000000101000000000010 +000000000000000000000000000101000000000000000000000100 +001000000000000000000000001011100000000001000000000000 +000000100000000111000110100101001110001100110000000010 +000000000000001111000000000000101100110011000000100011 +000000000000000001000000000000000000000000000100000100 +000000000000000000100000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000001111000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +100000000110000000000100000000000000000000000000000000 +.logic_tile 9 13 +000000000000000000000000001101011001010111110000000000 +000000000000000111000010001011101110100111110000000000 +111000000000001111100010100001011111001001000000000000 +000000000000100101000000001011001000000101000000000000 +010000000000001001100011110001100001000010100000000000 +110000000000000001000011111001101000000010010000000000 +000000000000000000010000001111011111001001000000000000 +000000000000000011000000000101001000000101000000000000 +000000000000100000000010000101000000000000000000000000 +000000000001000000000100000101000000000001000000000000 +000010100000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000111000000000000000000000000000100000000 +001000000110000000100000000000000000000010000000000001 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.ramb_tile 10 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000000000000000101000000000000000000000000 +000000000000000000000010000101100000000001000000000000 +111000000100000001100110011101001101001001000000000000 +010000000000000000000011001001101100000101000000000000 +010000000000000001100111011011011100010111110000000000 +010000000000000000000010001101101100100111110000000000 +000000000000000000000110001001101101001001000000000000 +000000000000000000000100000001101100000101000000000010 +000000000000001000000010100000000000000000000100100000 +000000000000000111000000000000000000000010000000000000 +000000000000000111100000000000000000000000000100000000 +001000000000000000000000000000000000000010000000000000 +000000000001010000000000000000000000000000000100000000 +001000000000000000000000000000000000000010000000100000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000000 +.logic_tile 12 13 +010010100000000000000000010000000000000000000000000000 +000001000000000000000011010000000000000000000000000000 +111000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +011000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +001000000000000000000000000111000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001001100000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000011000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000000111000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000000000000100010 +000000000000010000 +001100000000000000 +000000000000000000 +000000011000000000 +000100001000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.logic_tile 1 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000001111000000000010000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000000111100000001001100001000011000000000001 +000000000000001001100011001001101011000001000000000000 +111000000000000000000110000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +010000000000001000000010000001001010101100000001000001 +110000000000001101000100001001001000111100000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +.ramt_tile 3 14 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000001000000001011100110001111100000000000000000000100 +000000100000000011100000001101100000000001000000000010 +111000001010001000000110010011100001000000100000000000 +000000000000001101000011110001101001000001000000000000 +010000000000001001100110001001000000000001000001000000 +000000000000000011000011111001100000000000000000100010 +000000000000000000000110010001000000000000000001000000 +000000000000000000000011111101000000000001000000000000 +001000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000011101000000000000010000000000 +000000000000000000000010101101001010000000000000000001 +000010001100001000000011100101100001000000000000000000 +000001000000000101000000001101101000000000010000000101 +010000000000000000000000010111000000000011000110000000 +100000000000000000000010100111100000000010000000000000 +.logic_tile 5 14 +000000000000001001100110010001001010110011110000000000 +000000000000000001000110011111111011010010100000000000 +111000000000001001100111100101111011101101000000000000 +000000000000001001000100001001101011101110000000000000 +010000000000000001100110011001011010000100000000000000 +000000000000000000000010010111001000100000000000000001 +000000000010001011100111111111001101100001010000000000 +000000000000001001100110001001101111110011110000000000 +000000000000001000000000001111000000000000100000000000 +000000000000000011000000001101001001000000000000000000 +000000000000001000000110000011100001000000010000000000 +000000000000000001000000001001001101000000110000000000 +000000000000101000000111100101100000000010000100000000 +000000000001000011000100000101000000000011000000100000 +010000000000000001100000011001100000000001000100000000 +100000000000000000000010100001000000000000000000000000 +.logic_tile 6 14 +000010100000000000000110010001000001000011000001000000 +000000000000000000000010000101101110000001000000000000 +111000000000000011100110001101101111010000000001000000 +000000000000000101100100000001011011000000000000000000 +010010100000000001100000001101000000000010000000000000 +010000000000011011000010010111000000000000000000000000 +000000000000001111100010101101100000000000000000000000 +000000000000000001100010010011101011000000010010000000 +000000000000000000000000001101100000000010000000000000 +000000000000000000000000000001100000000011000000000000 +001000000000000001000010101111100001000010000000000000 +000000000110001101100010110101101001000000010000000010 +000100000000000000000110001011101111000100000000000000 +000100000000000000000110001011111100000000000000000000 +011000000000000001110111000111000000000011000100100000 +100000000000000000000100001001100000000010000000000000 +.logic_tile 7 14 +000000000000000111000000000101011110101100000000000000 +000000000000000000000010011111001011001100000000000001 +111000000001000101100000010101000001000000000000000000 +000000000000000000000010100001001000000000010000000000 +010000000001011101010111001001000000000000010000000000 +010000000000101111000100001001001011000000110000000000 +000000000000001011000010111111000001000000100000000001 +000000000000000101100010101101101000000000000000000000 +000011101010000001100111101011101101000010110000000000 +000001000000000000010000001011001011000011010000000000 +000000000000000111100000011111000001000000100000000010 +000000000000000000000011000001101110000000110000000000 +000000000000000000000011001011000001000011000000000000 +000000000001000000000000000101101101000011010000000000 +000000000000001000000000001000000000000000000110000000 +000000001100000101000000000101000000000010000000000000 +.logic_tile 8 14 +000000000000000000000111110101100000000001000001000000 +000000000000000000000111111011000000000000000000000000 +111000000000100001100110100011000001000000000000000100 +000000000000001111000011001101101011000000010000000010 +010001001110000000000110110001000001000010000000000000 +010010100010000000000010101101101001000011000000000000 +000000000000101000000000010000000000000000000000000000 +000010100000000101000010100000000000000000000000000000 +000000000000000000000000011001111011001100000000000000 +000000000010000000000010001011011001101100000000000000 +000000000000000000000000001111111001000100000000000000 +000000000000000000000011111001101111000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000100000000000000001000000000010000000000000 +001000000000000000000111110000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +.logic_tile 9 14 +000000000000010000000000000000000000000000000000000000 +000000000000100000000011000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010100000000000000000011010000000000000000000000000000 +110100000000000000000011110000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000111100000000000000000000000000000 +000000100000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101000000000011000000000001 +000000000000000000000000001001100000000000000000000000 +000000000000000000010000000000000000000000000100100000 +000000000000000000000000001011000000000010000000000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +111000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000001000000000000000000111000000 +000000000000001101000000001001000000000010000000100111 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000001000000000000000000100000010 +000000000000000000000000000101000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000011100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010010000000000000000000000000000000000000000000000000 +100001000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100100000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110101000000000000000000100100100 +000000000000000000000100001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000010000000000000000000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000000000000000000000000000000000000000010000001000011 +000000000000000000000000000000000000000000000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 15 +000000000000001001100010100001111001001010000001000000 +000000000000001101000110111101011000001001000000000000 +111000000000001011100011001001000000000010000000000000 +000000000000001101000100001101101001000000000000000000 +110000000000000000000011001101000000000010000000000000 +110000000000000101000100001011000000000011000000000000 +000000000000000111000000011101100000000000000001000000 +000000000000001101000010001011001000000000110000000000 +000000000000000011100110000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000001010000000011000000000000000000000000000000 +000000000000100000000010000000000000000000000000000000 +000000000000000000000010000101101100001100110000000000 +000000000000000000000000000000000000110011000000000000 +000000000000000000000000000001000000000010000100000001 +000000000000000000000000001011001010000011000010000000 +.ramb_tile 3 15 +000001000000100000000000000000000000000000 +000000110001010000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 15 +000000000000000000000011001101111000000100000000000000 +000000000000000000000010010111001010000000000000000001 +111000000000000001000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +010000000000000111100110100000000000000000000000000000 +010000000000000011100111010000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +000000000000001101000011010000000000000000000000000000 +000000000000000000000000001101101010100100000000000000 +000000000000000000000000000101101011010100000000000000 +000000000000001000000000010011100000000000000010000000 +000000000000000001000011101101100000000001000000000000 +000000000000000000000000001001011111000111110100000000 +000000000000000000000000000001111100000011110000000100 +000000000000000001100000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +.logic_tile 5 15 +000000000000000111100000001011100000000011110000000000 +000000000000000000100000001101101010000011100000000001 +111000000000000000000000010000000000000000000000000000 +000100000000001101000011000000000000000000000000000000 +110000000000000011100010101001011100010010000000000000 +010000000000000000000110111101011010000000000000000001 +000000000000000000000010100101000000000001000100000000 +000000000000001011000000001011100000000000000000000010 +000000000001000000000110001101100000000000110100000001 +000000000000000000000111111001001111000000000010000001 +000000000000000000000110000001100000000001000100000000 +000100000000000000000100001011000000000000000000000000 +000000000000000000000110000101000000000001000100000001 +000000000001010000000100001111100000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 6 15 +000000000000001011100011001000000000000010000000000000 +000010000000001011010100000101000000000000000000000000 +111000000001000000000111011000000000000010000000000000 +000000000000001101000010101001000000000000000000000000 +010000000001000101100111010000001000001100110001000000 +110000000000100000100111010000011010110011000000000000 +000000000000001111100000011001100000000000000000000000 +000000000000000101100010001001000000000001000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001111111001111111110000000000 +000000000000000000000000000101101011011111110000000001 +000000000000000000000000000001011001001000010000000000 +000000000000000000000000000001011001000100010000000000 +000000000000000000000110100000000000000000000100000000 +000000000000000000000000001101000000000010000000000010 +.logic_tile 7 15 +000000000000010001000000010101000000000000001000000000 +000000000000100000100011000000100000000000000000001000 +111000000000000111100000010000000000000000001000000000 +000100000000000000000010000000001100000000000000000000 +010000000000000000000000010000000001000000001000000000 +010000000000000000000010110000001110000000000000000000 +001000000000000000000000000000000001000000001000000000 +000000000000001011000000000000001110000000000000000000 +000000000000000101100000000000001000111100000000100000 +000000000000000000000000000000000000111100000000000000 +000000000000000000000011101000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000000001011010001100110000000000 +000000000000000000000000000000000000110011000000000000 +010000000000001101100000001001100000000001000100000010 +100000000000000101000000001011100000000000000000000000 +.logic_tile 8 15 +000000000000001001100000000011111000001100110001000000 +000000000000000001000010000000110000110011000000000000 +111000000000000000000011011001100000000000000000000000 +000000000000000000000110001011100000000011000000000000 +010000000000001001100010100101100000000000000001000000 +010000000000000001000110110111000000000001000000000000 +000000001110000101000000000001000000000000000000000000 +000000000000001101100000000001000000000011000001100000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000110000000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000011100000000000000000000010000100000000 +000000000000000000000000000101000000000000000000000000 +010000000000000000000000000000000000000000000100000000 +110000000000000000000000000101000000000010001000000000 +.logic_tile 9 15 +000000000000000101100000000101100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +110000000000000000000000000000001001001100110001000000 +110000001000000000000000000000001010110011000000000000 +000000000000000101100000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000010 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +.ramb_tile 10 15 +000010100001000000000000000000000000000000 +000001010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000011001000000000000010000001000000 +000000000000000000000000001001000000000000000000000000 +110000000000000000000000000000000000000000000110000000 +010000000000000000000000000000000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000000000110000101100000000000001000000000 +000000000000000000000010100000000000000000000000001000 +111000000000000000000110000011100000000000001000000000 +000000000000000000000010100000101110000000000000000000 +010000001100000000000000010001101000001100111000000000 +010000000000000000000010000000101100110011000000000000 +000000000000001001100010100101101000001100110000000000 +000000000000000001000000000000001110110011000000000000 +000000000000001000000000001011100000000011000100000000 +000000000000001001000000001001000000000010000000100000 +000000000000000111000000010111100000000011000100000000 +000000000000000000000010001001000000000010000000000000 +000000000000001000000000001101100001000000110100000010 +000000000000001001000000001011001011000000000000000000 +010000000000000111000000000111100000000011000100000000 +100000000000000000000000001101000000000010000000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 16 +000000000000001011100000000011100000000000001000000000 +000000000000001111000011100000100000000000000000001000 +000000000000000000010111000011000000000000001000000000 +000000000000000000000100000000001100000000000000000000 +000000000000000001000000000001001000001100111000000000 +000000000000000001000000000000001110110011000000000000 +000000000000000000000000000101001000001100111000000000 +000000000000000000000000000000001100110011000000000000 +000000000000000111100000000001101000001100111000000000 +000000000000001111100000000000101110110011000000000000 +000000000000000000000010000001001000001100111000000000 +000000000000000000000100000000101100110011000000000000 +000000000000000111100000000101001000001100111000000000 +000000000000000000100000000000001110110011000000000000 +000000000000000000000000000001001000001100110000000000 +000000000000000000000000000000001100110011000000000000 +.logic_tile 6 16 +000000000000000001000000001000000000000010000000000000 +000000000000000000100010001101000000000000000000000000 +111000000000000001100110111000000000000010000000000000 +000000000000000000000010100011000000000000000000000000 +010000000000000000000000001000000000000010000000000000 +010000000000000000000000001011000000000000000000000000 +000000000000000101100110011000000000000010000000000000 +000000000000000000000010000001000000000000000000000000 +000000000110000000000000001001111011000010000000000000 +000000000000000000000010010111011011000000000000000000 +000000000000000001100000010101100000000001000100000000 +000000000000000000100010000001000000000000000000000000 +000000000000000000000000000001100000000001000100000000 +000000000000000000000000001011100000000000000000000000 +010000000000000001100000000001100000000001000100000000 +100000000000000000100000000001100000000000000000000000 +.logic_tile 7 16 +000000000000000101100000010111000000000000001000000000 +000000000000000000000010100000000000000000000000001000 +000000000000000101000000000000000001000000001000000000 +000010000000000000000000000000001000000000000000000000 +000000000000001000000110100000000000000000001000000000 +000000000000000101000000000000001110000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000001001100000000000000000000000001000000000 +000000000000001001100000000000001001000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000001 +.logic_tile 8 16 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000001000000010100001100001000000001000000000 +000000000000001011000010100000101101000000000000000000 +110000000000000000000110010011001001001100111000000000 +110000000000000000000010000000101111110011000000000000 +000000000000000001100010100001101001001100110000000000 +000000000000000000000010100000001101110011000000000000 +000000000000000000000010001101111111000100000000000000 +000000000000000000000000001101111101000000000000000000 +000000000000000000000011111000000000000010000000000000 +000000000000000000000111111111000000000000000000000000 +000000000000000000000010000000000000000000000100000000 +000000000000000000000000001101000000000010001000100000 +010000000000000000000011100000000000000000000100000000 +110000000000000000000110011101000000000010000001000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000001000000000000000000000000010000000000000 +000000000000001111000000000001000000000000000010000000 +111000000000001000000000010000000000000000000000000000 +000000000000000111000011010000000000000000000000000000 +110000000000000000000000001000000000000000000100000000 +010000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000111000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000100000000000001000000000000010000000000000 +000000000001110000000011111101000000000000000000000000 +111000000000000001000111000000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +010000000000001000000000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 +010000000000000111100111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000000001001100000000001000000000000 +110000000000000000000000000001000000000000000000100000 +000000000010000000000000001000000000000000000100000100 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000100000000 +110000000000000000000000000101000000000010000001000000 +.io_tile 13 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000001000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 17 +000000111000000000 +000000001000000000 +000000000000000000 +000000000001100000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000001011000000100 +000000001000000100 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 17 +000010000000000010 +000111110000000000 +000000000000000000 +000000000000000001 +000001010010000001 +000000001001000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 10 17 +000000000000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000000000001000001 +000000000011000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000011000010 +000001010001000000 +000001010000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 11 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 17 +000000000000000000 +000000000000000000 +000000000000100000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.sym 1 $abc$32112$n29$2 +.sym 2 $abc$32112$n435$2 +.sym 3 $0\KBD_FREEZE[0:0]$2 +.sym 4 $abc$32112$n720$2 +.sym 5 $abc$32112$n992$2 +.sym 6 $abc$32112$n839$2 +.sym 7 CLK$2$2 +.sym 8 $abc$32112$n693$2 +.sym 50 I2C.received_byte[2] +.sym 184 I2C.received_byte[1] +.sym 297 $abc$32112$n2168 +.sym 298 I2C.received_byte[0] +.sym 405 $abc$32112$n532 +.sym 406 $abc$32112$n518 +.sym 408 $abc$32112$n562 +.sym 411 $abc$32112$n525 +.sym 412 I2C.received_byte[6] +.sym 521 $abc$32112$n1330 +.sym 523 $abc$32112$n1327 +.sym 524 $abc$32112$n1518 +.sym 526 I2C.FLT_SDA.out +.sym 633 $abc$32112$n859 +.sym 635 $abc$32112$n848_1 +.sym 636 $abc$32112$n555 +.sym 637 $abc$32112$n858 +.sym 639 I2C.received_byte[5] +.sym 746 $abc$32112$n1520 +.sym 747 $abc$32112$n847 +.sym 748 $abc$32112$n1519 +.sym 749 $abc$32112$n1331 +.sym 750 I2C.FLT_SCL.counter[2] +.sym 751 I2C.FLT_SCL.counter[0] +.sym 753 I2C.FLT_SCL.counter[1] +.sym 830 CLK$2 +.sym 836 CLK$2 +.sym 944 $abc$32112$n720 +.sym 975 $abc$32112$n650 +.sym 977 I2C_INPUT_DATA[1][2] +.sym 979 I2C_INPUT_DATA[1][1] +.sym 980 I2C_INPUT_DATA[1][7] +.sym 981 I2C_INPUT_DATA[1][4] +.sym 1088 $abc$32112$n651_1 +.sym 1089 $abc$32112$n649 +.sym 1090 I2C_INPUT_DATA[1][0] +.sym 1092 I2C_INPUT_DATA[1][6] +.sym 1093 I2C_INPUT_DATA[1][3] +.sym 1094 I2C_INPUT_DATA[1][5] +.sym 1202 $abc$32112$n973_1 +.sym 1203 $abc$32112$n648 +.sym 1205 I2C_INPUT_DATA[0][3] +.sym 1206 I2C_INPUT_DATA[0][2] +.sym 1208 I2C_INPUT_DATA[0][1] +.sym 1289 COM_TX$2 +.sym 1323 COM_TX$2 +.sym 1403 I2C.is_read +.sym 1431 COM_DSR$2 +.sym 1432 I2C_HID_DESC.last_rd_request +.sym 1517 COM_DSR$2 +.sym 1522 COM_DCD$2 +.sym 1544 $true$2 +.sym 1880 $abc$32112$n1732 +.sym 1881 $abc$32112$n1734 +.sym 1882 $abc$32112$n1736 +.sym 1883 I2C.FLT_SCL.RESET +.sym 1884 rststate[1] +.sym 1885 rststate[3] +.sym 1886 rststate[2] +.sym 2066 $abc$32112$n1730 +.sym 2067 I2C_INPUT_DATA[0][7] +.sym 2068 I2C_INPUT_DATA[0][4] +.sym 2203 I2C.FLT_SDA.out +.sym 2204 $false +.sym 2205 $false +.sym 2206 $false +.sym 2231 $abc$32112$n532 +.sym 2232 CLK$2$2 +.sym 2233 $false +.sym 2362 I2C.FLT_SDA.out +.sym 2363 $false +.sym 2364 $false +.sym 2365 $false +.sym 2366 $abc$32112$n525 +.sym 2367 CLK$2$2 +.sym 2368 $false +.sym 2369 $abc$32112$n2175 +.sym 2370 $abc$32112$n994 +.sym 2372 $abc$32112$n1011 +.sym 2373 $abc$32112$n652 +.sym 2375 $abc$32112$n1018 +.sym 2376 I2C.i2c_bit_counter[3] +.sym 2417 $true +.sym 2454 $abc$32112$n994$2 +.sym 2455 $false +.sym 2456 $abc$32112$n994 +.sym 2457 $false +.sym 2458 $false +.sym 2460 $auto$alumacc.cc:470:replace_alu$4584.C[4] +.sym 2462 $true$2 +.sym 2463 $abc$32112$n1009 +.sym 2466 $auto$alumacc.cc:470:replace_alu$4584.C[5] +.sym 2468 $false +.sym 2469 $abc$32112$n1018 +.sym 2472 $auto$alumacc.cc:470:replace_alu$4584.C[6] +.sym 2474 $false +.sym 2475 $abc$32112$n1017 +.sym 2478 $auto$alumacc.cc:470:replace_alu$4584.C[7] +.sym 2480 $false +.sym 2481 $abc$32112$n1015 +.sym 2484 $abc$32112$n2168$2 +.sym 2486 $false +.sym 2487 $abc$32112$n1014 +.sym 2494 $abc$32112$n2168$2 +.sym 2497 I2C.FLT_SDA.out +.sym 2498 $false +.sym 2499 $false +.sym 2500 $false +.sym 2501 $abc$32112$n518 +.sym 2502 CLK$2$2 +.sym 2503 $false +.sym 2590 $abc$32112$n685 +.sym 2591 $abc$32112$n696 +.sym 2592 $false +.sym 2593 $false +.sym 2596 $abc$32112$n685 +.sym 2597 $abc$32112$n692 +.sym 2598 $false +.sym 2599 $false +.sym 2608 $abc$32112$n699 +.sym 2609 $abc$32112$n696 +.sym 2610 $false +.sym 2611 $false +.sym 2626 $abc$32112$n685 +.sym 2627 $abc$32112$n693_1 +.sym 2628 $abc$32112$n1051 +.sym 2629 $false +.sym 2632 I2C.FLT_SDA.out +.sym 2633 $false +.sym 2634 $false +.sym 2635 $false +.sym 2636 $abc$32112$n562 +.sym 2637 CLK$2$2 +.sym 2638 $false +.sym 2639 $abc$32112$n1180 +.sym 2640 $abc$32112$n849 +.sym 2641 $abc$32112$n842 +.sym 2642 $abc$32112$n1517 +.sym 2643 $abc$32112$n848 +.sym 2644 I2C.FLT_SDA.counter[2] +.sym 2645 I2C.FLT_SDA.counter[1] +.sym 2646 I2C.FLT_SDA.counter[0] +.sym 2687 $true +.sym 2724 I2C.FLT_SDA.counter[0]$2 +.sym 2725 $false +.sym 2726 I2C.FLT_SDA.counter[0] +.sym 2727 $false +.sym 2728 $false +.sym 2730 $auto$alumacc.cc:470:replace_alu$4622.C[2] +.sym 2732 I2C.FLT_SDA.counter[1] +.sym 2733 $true$2 +.sym 2737 $false +.sym 2738 I2C.FLT_SDA.counter[2] +.sym 2739 $true$2 +.sym 2740 $auto$alumacc.cc:470:replace_alu$4622.C[2] +.sym 2749 $false +.sym 2750 I2C.FLT_SDA.counter[0] +.sym 2751 $false +.sym 2752 $true$2 +.sym 2755 I2C.FLT_SDA.counter[2] +.sym 2756 I2C.FLT_SDA.counter[0] +.sym 2757 I2C.FLT_SDA.counter[1] +.sym 2758 $abc$32112$n1330 +.sym 2767 I2C.SDAF +.sym 2768 $false +.sym 2769 $false +.sym 2770 $false +.sym 2771 $abc$32112$n848 +.sym 2772 CLK$2$2 +.sym 2773 $0\KBD_FREEZE[0:0]$2 +.sym 2776 $abc$32112$n1182 +.sym 2777 $abc$32112$n577 +.sym 2778 $abc$32112$n539 +.sym 2780 $abc$32112$n692 +.sym 2781 I2C.received_byte[7] +.sym 2860 I2C.FLT_SCL.out +.sym 2861 I2C.SCLF +.sym 2862 $abc$32112$n847 +.sym 2863 $false +.sym 2872 $abc$32112$n1520 +.sym 2873 $abc$32112$n1334 +.sym 2874 I2C.FLT_SCL.out +.sym 2875 I2C.SCLF +.sym 2878 $abc$32112$n699 +.sym 2879 $abc$32112$n693_1 +.sym 2880 $abc$32112$n1051 +.sym 2881 $false +.sym 2884 $abc$32112$n1519 +.sym 2885 $abc$32112$n848_1 +.sym 2886 $false +.sym 2887 $false +.sym 2896 I2C.FLT_SDA.out +.sym 2897 $false +.sym 2898 $false +.sym 2899 $false +.sym 2906 $abc$32112$n555 +.sym 2907 CLK$2$2 +.sym 2908 $false +.sym 2911 $abc$32112$n1334 +.sym 2912 $abc$32112$n696 +.sym 2913 $abc$32112$n720_1 +.sym 2915 $abc$32112$n1102 +.sym 2916 I2C_INPUT_DATA[0][5] +.sym 2995 I2C.FLT_SCL.counter[0] +.sym 2996 I2C.FLT_SCL.counter[1] +.sym 2997 $false +.sym 2998 $false +.sym 3001 I2C.FLT_SCL.counter[0] +.sym 3002 I2C.FLT_SCL.counter[1] +.sym 3003 I2C.FLT_SCL.counter[2] +.sym 3004 $false +.sym 3007 $abc$32112$n847 +.sym 3008 $abc$32112$n1331 +.sym 3009 $false +.sym 3010 $false +.sym 3013 $false +.sym 3014 I2C.FLT_SCL.counter[0] +.sym 3015 $false +.sym 3016 $true$2 +.sym 3019 $abc$32112$n847 +.sym 3020 $abc$32112$n1334 +.sym 3021 $false +.sym 3022 $false +.sym 3025 $abc$32112$n1519 +.sym 3026 $false +.sym 3027 $false +.sym 3028 $false +.sym 3037 $abc$32112$n1520 +.sym 3038 $false +.sym 3039 $false +.sym 3040 $false +.sym 3041 $abc$32112$n859 +.sym 3042 CLK$2$2 +.sym 3043 $0\KBD_FREEZE[0:0]$2 +.sym 3045 $abc$32112$n1313_1 +.sym 3046 $abc$32112$n1087 +.sym 3048 $abc$32112$n1314_1 +.sym 3050 I2C_INPUT_DATA[0][0] +.sym 3180 $abc$32112$n1020 +.sym 3181 $abc$32112$n919 +.sym 3183 $abc$32112$n995 +.sym 3184 I2C_TX_REPORT[1] +.sym 3185 I2C_TX_REPORT[6] +.sym 3186 I2C_TX_REPORT[5] +.sym 3271 I2C_INPUT_DATA[1][1] +.sym 3272 I2C_INPUT_DATA[1][2] +.sym 3273 I2C_INPUT_DATA[1][4] +.sym 3274 I2C_INPUT_DATA[1][7] +.sym 3283 I2C.received_byte[2] +.sym 3284 $false +.sym 3285 $false +.sym 3286 $false +.sym 3295 I2C.received_byte[1] +.sym 3296 $false +.sym 3297 $false +.sym 3298 $false +.sym 3301 I2C.received_byte[7] +.sym 3302 $false +.sym 3303 $false +.sym 3304 $false +.sym 3307 I2C.received_byte[4] +.sym 3308 $false +.sym 3309 $false +.sym 3310 $false +.sym 3311 $abc$32112$n2244 +.sym 3312 CLK$2$2 +.sym 3313 $false +.sym 3314 $abc$32112$n997 +.sym 3315 $abc$32112$n991_1 +.sym 3317 $abc$32112$n403 +.sym 3318 I2C_INPUT_DATA[2][6] +.sym 3319 I2C_INPUT_DATA[2][5] +.sym 3320 I2C_INPUT_DATA[2][1] +.sym 3321 I2C_INPUT_DATA[2][3] +.sym 3400 I2C_INPUT_DATA[1][0] +.sym 3401 I2C_INPUT_DATA[1][3] +.sym 3402 I2C_INPUT_DATA[1][5] +.sym 3403 I2C_INPUT_DATA[1][6] +.sym 3406 $abc$32112$n650 +.sym 3407 $abc$32112$n651_1 +.sym 3408 $false +.sym 3409 $false +.sym 3412 I2C.received_byte[0] +.sym 3413 $false +.sym 3414 $false +.sym 3415 $false +.sym 3424 I2C.received_byte[6] +.sym 3425 $false +.sym 3426 $false +.sym 3427 $false +.sym 3430 I2C.received_byte[3] +.sym 3431 $false +.sym 3432 $false +.sym 3433 $false +.sym 3436 I2C.received_byte[5] +.sym 3437 $false +.sym 3438 $false +.sym 3439 $false +.sym 3446 $abc$32112$n2244 +.sym 3447 CLK$2$2 +.sym 3448 $false +.sym 3449 $abc$32112$n672 +.sym 3450 $abc$32112$n974_1 +.sym 3451 $abc$32112$n539_1 +.sym 3452 $abc$32112$n647 +.sym 3453 $abc$32112$n537 +.sym 3454 UART_TX_DATA[5] +.sym 3455 UART_TX_DATA[4] +.sym 3456 UART_TX_DATA[6] +.sym 3535 $abc$32112$n649 +.sym 3536 $abc$32112$n652 +.sym 3537 I2C_INPUT_DATA[0][1] +.sym 3538 $false +.sym 3541 I2C_INPUT_DATA[0][1] +.sym 3542 $abc$32112$n652 +.sym 3543 $abc$32112$n649 +.sym 3544 $false +.sym 3553 I2C.received_byte[3] +.sym 3554 $false +.sym 3555 $false +.sym 3556 $false +.sym 3559 I2C.received_byte[2] +.sym 3560 $false +.sym 3561 $false +.sym 3562 $false +.sym 3571 I2C.received_byte[1] +.sym 3572 $false +.sym 3573 $false +.sym 3574 $false +.sym 3581 $abc$32112$n2246 +.sym 3582 CLK$2$2 +.sym 3583 $false +.sym 3584 I2C_OUT_DESC_MASK[3] +.sym 3585 I2C_OUT_DESC_MASK[5] +.sym 3586 I2C_OUT_DESC_MASK[6] +.sym 3712 $abc$32112$n12 +.sym 3713 $false +.sym 3714 $false +.sym 3715 $false +.sym 3719 $abc$32112$n705 +.sym 3721 $abc$32112$n424 +.sym 3726 UART.TX_sig_last +.sym 3811 $abc$32112$n10 +.sym 3812 $false +.sym 3813 $false +.sym 3814 $false +.sym 3817 I2C.wr +.sym 3818 $false +.sym 3819 $false +.sym 3820 $false +.sym 3851 $true +.sym 3852 CLK$2$2 +.sym 3853 $0\KBD_FREEZE[0:0]$2 +.sym 3854 $abc$32112$n533 +.sym 3855 $abc$32112$n709 +.sym 3856 $abc$32112$n592 +.sym 3857 $abc$32112$n708 +.sym 3860 $abc$32112$n1404 +.sym 3861 UART.tx_activity +.sym 3940 $false +.sym 3941 $false +.sym 3942 $false +.sym 3943 $false +.sym 3991 $abc$32112$n1407 +.sym 3992 $abc$32112$n1408 +.sym 3993 UART.tx_clk_counter[0] +.sym 3994 UART.tx_clk_counter[3] +.sym 3995 UART.tx_clk_counter[1] +.sym 3996 UART.tx_clk_counter[2] +.sym 4274 $false +.sym 4311 $auto$alumacc.cc:470:replace_alu$4596.C[1] +.sym 4313 $0\KBD_FREEZE[0:0]$2 +.sym 4314 rststate[0] +.sym 4317 $auto$alumacc.cc:470:replace_alu$4596.C[2] +.sym 4318 $false +.sym 4319 $false +.sym 4320 rststate[1] +.sym 4321 $auto$alumacc.cc:470:replace_alu$4596.C[1] +.sym 4323 $auto$alumacc.cc:470:replace_alu$4596.C[3] +.sym 4324 $false +.sym 4325 $false +.sym 4326 rststate[2] +.sym 4327 $auto$alumacc.cc:470:replace_alu$4596.C[2] +.sym 4330 $false +.sym 4331 $false +.sym 4332 rststate[3] +.sym 4333 $auto$alumacc.cc:470:replace_alu$4596.C[3] +.sym 4336 rststate[3] +.sym 4337 rststate[2] +.sym 4338 rststate[1] +.sym 4339 rststate[0] +.sym 4342 $abc$32112$n1012 +.sym 4343 $abc$32112$n1732 +.sym 4344 $false +.sym 4345 $false +.sym 4348 $abc$32112$n1012 +.sym 4349 $abc$32112$n1736 +.sym 4350 $false +.sym 4351 $false +.sym 4354 $abc$32112$n1012 +.sym 4355 $abc$32112$n1734 +.sym 4356 $false +.sym 4357 $false +.sym 4358 $true +.sym 4359 CLK$2$2 +.sym 4360 $false +.sym 4493 $false +.sym 4494 $0\KBD_FREEZE[0:0]$2 +.sym 4495 rststate[0] +.sym 4496 $false +.sym 4499 I2C.received_byte[7] +.sym 4500 $false +.sym 4501 $false +.sym 4502 $false +.sym 4505 I2C.received_byte[4] +.sym 4506 $false +.sym 4507 $false +.sym 4508 $false +.sym 4521 $abc$32112$n2246 +.sym 4522 CLK$2$2 +.sym 4523 $false +.sym 4560 $true +.sym 4597 $abc$32112$n1011$2 +.sym 4598 $false +.sym 4599 $abc$32112$n1011 +.sym 4600 $false +.sym 4601 $false +.sym 4603 $auto$alumacc.cc:470:replace_alu$4562.C[2] +.sym 4605 $true$2 +.sym 4606 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.sym 4609 $auto$alumacc.cc:470:replace_alu$4562.C[3] +.sym 4611 $false +.sym 4612 $abc$32112$n994 +.sym 4615 $auto$alumacc.cc:470:replace_alu$4562.C[4] +.sym 4617 $false +.sym 4618 $abc$32112$n1009 +.sym 4621 $auto$alumacc.cc:470:replace_alu$4562.C[5] +.sym 4623 $false +.sym 4624 $abc$32112$n1018 +.sym 4627 $auto$alumacc.cc:470:replace_alu$4562.C[6] +.sym 4629 $false +.sym 4630 $abc$32112$n1017 +.sym 4633 $auto$alumacc.cc:470:replace_alu$4562.C[7] +.sym 4635 $false +.sym 4636 $abc$32112$n1015 +.sym 4639 $abc$32112$n2175$2 +.sym 4641 $false +.sym 4642 $abc$32112$n1014 +.sym 4724 $abc$32112$n2175$2 +.sym 4727 I2C.byte_counter[2] +.sym 4728 $false +.sym 4729 $false +.sym 4730 $false +.sym 4739 I2C.byte_counter[0] +.sym 4740 $false +.sym 4741 $false +.sym 4742 $false +.sym 4745 I2C_INPUT_DATA[0][4] +.sym 4746 I2C_INPUT_DATA[0][5] +.sym 4747 I2C_INPUT_DATA[0][6] +.sym 4748 I2C_INPUT_DATA[0][7] +.sym 4757 I2C.byte_counter[4] +.sym 4758 $false +.sym 4759 $false +.sym 4760 $false +.sym 4763 $abc$32112$n1318 +.sym 4764 $abc$32112$n1320_1 +.sym 4765 $abc$32112$n506 +.sym 4766 $false +.sym 4767 $true +.sym 4768 CLK$2$2 +.sym 4769 $false +.sym 4806 $true +.sym 4843 $abc$32112$n1011$3 +.sym 4844 $false +.sym 4845 $abc$32112$n1011 +.sym 4846 $false +.sym 4847 $false +.sym 4849 $auto$alumacc.cc:470:replace_alu$4573.C[2] +.sym 4851 $false +.sym 4852 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.sym 4855 $auto$alumacc.cc:470:replace_alu$4573.C[3] +.sym 4857 $true$2 +.sym 4858 $abc$32112$n994 +.sym 4861 $auto$alumacc.cc:470:replace_alu$4573.C[4] +.sym 4863 $true$2 +.sym 4864 $abc$32112$n1009 +.sym 4867 $auto$alumacc.cc:470:replace_alu$4573.C[5] +.sym 4869 $false +.sym 4870 $abc$32112$n1018 +.sym 4873 $auto$alumacc.cc:470:replace_alu$4573.C[6] +.sym 4875 $false +.sym 4876 $abc$32112$n1017 +.sym 4879 $auto$alumacc.cc:470:replace_alu$4573.C[7] +.sym 4881 $false +.sym 4882 $abc$32112$n1015 +.sym 4885 $abc$32112$n2178 +.sym 4887 $false +.sym 4888 $abc$32112$n1014 +.sym 4967 $abc$32112$n939 +.sym 4968 I2C.is_read +.sym 4969 $abc$32112$n637 +.sym 4970 $abc$32112$n2178 +.sym 4973 I2C.FLT_SDA.counter[0] +.sym 4974 I2C.FLT_SDA.counter[1] +.sym 4975 I2C.FLT_SDA.counter[2] +.sym 4976 $abc$32112$n842 +.sym 4979 I2C.FLT_SDA.out +.sym 4980 I2C.SDAF +.sym 4981 $false +.sym 4982 $false +.sym 4985 I2C.FLT_SDA.counter[0] +.sym 4986 I2C.FLT_SDA.counter[1] +.sym 4987 $false +.sym 4988 $false +.sym 4991 $abc$32112$n1518 +.sym 4992 $abc$32112$n842 +.sym 4993 $abc$32112$n1517 +.sym 4994 $abc$32112$n1327 +.sym 4997 $abc$32112$n1518 +.sym 4998 $false +.sym 4999 $false +.sym 5000 $false +.sym 5003 $abc$32112$n1517 +.sym 5004 $false +.sym 5005 $false +.sym 5006 $false +.sym 5009 I2C.FLT_SDA.counter[2] +.sym 5010 I2C.FLT_SDA.counter[0] +.sym 5011 I2C.FLT_SDA.counter[1] +.sym 5012 $abc$32112$n1327 +.sym 5013 $abc$32112$n849 +.sym 5014 CLK$2$2 +.sym 5015 $0\KBD_FREEZE[0:0]$2 +.sym 5102 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.sym 5103 $abc$32112$n1180 +.sym 5104 $false +.sym 5105 $false +.sym 5108 $abc$32112$n693_1 +.sym 5109 $abc$32112$n699 +.sym 5110 $abc$32112$n1051 +.sym 5111 $false +.sym 5114 $abc$32112$n693_1 +.sym 5115 $abc$32112$n685 +.sym 5116 $abc$32112$n1051 +.sym 5117 $false +.sym 5126 $abc$32112$n1051 +.sym 5127 $abc$32112$n693_1 +.sym 5128 $false +.sym 5129 $false +.sym 5132 I2C.FLT_SDA.out +.sym 5133 $false +.sym 5134 $false +.sym 5135 $false +.sym 5136 $abc$32112$n577 +.sym 5137 CLK$2$2 +.sym 5138 $false +.sym 5175 $true +.sym 5212 I2C.FLT_SCL.counter[0]$2 +.sym 5213 $false +.sym 5214 I2C.FLT_SCL.counter[0] +.sym 5215 $false +.sym 5216 $false +.sym 5218 $auto$alumacc.cc:470:replace_alu$4619.C[2] +.sym 5220 I2C.FLT_SCL.counter[1] +.sym 5221 $true$2 +.sym 5225 $false +.sym 5226 I2C.FLT_SCL.counter[2] +.sym 5227 $true$2 +.sym 5228 $auto$alumacc.cc:470:replace_alu$4619.C[2] +.sym 5231 $abc$32112$n693_1 +.sym 5232 $abc$32112$n1051 +.sym 5233 $false +.sym 5234 $false +.sym 5237 $abc$32112$n718 +.sym 5238 $abc$32112$n1252_1 +.sym 5239 I2C.FLT_SCL.RESET +.sym 5240 $false +.sym 5249 I2C.received_byte[1] +.sym 5250 I2C.received_byte[2] +.sym 5251 I2C.received_byte[4] +.sym 5252 I2C.received_byte[7] +.sym 5255 I2C.received_byte[5] +.sym 5256 $false +.sym 5257 $false +.sym 5258 $false +.sym 5259 $abc$32112$n2246 +.sym 5260 CLK$2$2 +.sym 5261 $false +.sym 5342 $abc$32112$n999 +.sym 5343 $abc$32112$n995 +.sym 5344 $abc$32112$n693_1 +.sym 5345 $abc$32112$n1051 +.sym 5348 $abc$32112$n989 +.sym 5349 $abc$32112$n997 +.sym 5350 $abc$32112$n1053 +.sym 5351 $abc$32112$n696 +.sym 5360 $abc$32112$n1091 +.sym 5361 $abc$32112$n1313_1 +.sym 5362 $abc$32112$n1087 +.sym 5363 $abc$32112$n1053 +.sym 5372 I2C.received_byte[0] +.sym 5373 $false +.sym 5374 $false +.sym 5375 $false +.sym 5382 $abc$32112$n2246 +.sym 5383 CLK$2$2 +.sym 5384 $false +.sym 5465 $abc$32112$n951 +.sym 5466 $abc$32112$n1272_1 +.sym 5467 $false +.sym 5468 $false +.sym 5471 I2C.byte_counter[3] +.sym 5472 $abc$32112$n874 +.sym 5473 $abc$32112$n871 +.sym 5474 I2C.byte_counter[2] +.sym 5483 I2C_HID_DESC.VAL[5] +.sym 5484 I2C_TX_REPORT[5] +.sym 5485 I2C_OUT_DESC_MASK[5] +.sym 5486 $false +.sym 5489 $abc$32112$n1030 +.sym 5490 $abc$32112$n1272_1 +.sym 5491 $abc$32112$n951 +.sym 5492 $abc$32112$n2168 +.sym 5495 $abc$32112$n1020 +.sym 5496 $abc$32112$n1066 +.sym 5497 $false +.sym 5498 $false +.sym 5501 $abc$32112$n1059 +.sym 5502 $abc$32112$n1020 +.sym 5503 $false +.sym 5504 $false +.sym 5505 $abc$32112$n403 +.sym 5506 CLK$2$2 +.sym 5507 $abc$32112$n992$2 +.sym 5582 I2C_HID_DESC.VAL[6] +.sym 5583 I2C_TX_REPORT[6] +.sym 5584 I2C_OUT_DESC_MASK[6] +.sym 5585 $false +.sym 5588 I2C_HID_DESC.VAL[3] +.sym 5589 I2C_TX_REPORT[3] +.sym 5590 I2C_OUT_DESC_MASK[3] +.sym 5591 $false +.sym 5600 $abc$32112$n637 +.sym 5601 I2C.is_read +.sym 5602 $false +.sym 5603 $false +.sym 5606 I2C.received_byte[6] +.sym 5607 $false +.sym 5608 $false +.sym 5609 $false +.sym 5612 I2C.received_byte[5] +.sym 5613 $false +.sym 5614 $false +.sym 5615 $false +.sym 5618 I2C.received_byte[1] +.sym 5619 $false +.sym 5620 $false +.sym 5621 $false +.sym 5624 I2C.received_byte[3] +.sym 5625 $false +.sym 5626 $false +.sym 5627 $false +.sym 5628 $abc$32112$n2241 +.sym 5629 CLK$2$2 +.sym 5630 $false +.sym 5705 I2C_INPUT_DATA[0][0] +.sym 5706 I2C_INPUT_DATA[0][3] +.sym 5707 I2C_INPUT_DATA[2][1] +.sym 5708 I2C_INPUT_DATA[0][2] +.sym 5711 I2C_INPUT_DATA[0][2] +.sym 5712 I2C_INPUT_DATA[0][3] +.sym 5713 $abc$32112$n648 +.sym 5714 I2C_INPUT_DATA[0][0] +.sym 5717 UART_TX_DATA[5] +.sym 5718 UART_TX_DATA[7] +.sym 5719 $abc$32112$n535 +.sym 5720 $false +.sym 5723 I2C_INPUT_DATA[0][3] +.sym 5724 I2C_INPUT_DATA[0][0] +.sym 5725 I2C_INPUT_DATA[0][2] +.sym 5726 $abc$32112$n648 +.sym 5729 UART_TX_DATA[4] +.sym 5730 UART_TX_DATA[6] +.sym 5731 $abc$32112$n535 +.sym 5732 $false +.sym 5735 $abc$32112$n995 +.sym 5736 I2C.received_byte[5] +.sym 5737 I2C.is_read +.sym 5738 $false +.sym 5741 $abc$32112$n993 +.sym 5742 I2C.received_byte[4] +.sym 5743 I2C.is_read +.sym 5744 $false +.sym 5747 $abc$32112$n997 +.sym 5748 I2C.received_byte[6] +.sym 5749 I2C.is_read +.sym 5750 $false +.sym 5751 $abc$32112$n409 +.sym 5752 CLK$2$2 +.sym 5753 $abc$32112$n25 +.sym 5828 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5829 $false +.sym 5830 $false +.sym 5831 $false +.sym 5834 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5835 $false +.sym 5836 $false +.sym 5837 $false +.sym 5840 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5841 $false +.sym 5842 $false +.sym 5843 $false +.sym 5874 $abc$32112$n435$2 +.sym 5875 CLK$2$2 +.sym 5876 $0\KBD_FREEZE[0:0]$2 +.sym 5951 UART_WR +.sym 5952 UART.TX_sig_last +.sym 5953 UART.tx_activity +.sym 5954 $false +.sym 5963 $abc$32112$n630_1 +.sym 5964 $abc$32112$n628 +.sym 5965 I2C.FLT_SCL.RESET +.sym 5966 $abc$32112$n640 +.sym 5993 UART_WR +.sym 5994 $false +.sym 5995 $false +.sym 5996 $false +.sym 5997 I2C.FLT_SCL.RESET +.sym 5998 CLK$2$2 +.sym 5999 $false +.sym 6074 $abc$32112$n534 +.sym 6075 $abc$32112$n537 +.sym 6076 $abc$32112$n1572 +.sym 6077 $abc$32112$n1558 +.sym 6080 $abc$32112$n1404 +.sym 6081 $abc$32112$n1407 +.sym 6082 $abc$32112$n1408 +.sym 6083 $false +.sym 6086 I2C.FLT_SCL.RESET +.sym 6087 $abc$32112$n705 +.sym 6088 $false +.sym 6089 $false +.sym 6092 UART.tx_clk_counter[1] +.sym 6093 UART.tx_clk_counter[0] +.sym 6094 $abc$32112$n709 +.sym 6095 $false +.sym 6110 $false +.sym 6111 UART.tx_clk_counter[0] +.sym 6112 $false +.sym 6113 $true$2 +.sym 6116 $abc$32112$n708 +.sym 6117 $abc$32112$n710 +.sym 6118 $abc$32112$n705 +.sym 6119 $false +.sym 6120 I2C.FLT_SCL.RESET +.sym 6121 CLK$2$2 +.sym 6122 $false +.sym 6159 $true +.sym 6196 UART.tx_clk_counter[0]$2 +.sym 6197 $false +.sym 6198 UART.tx_clk_counter[0] +.sym 6199 $false +.sym 6200 $false +.sym 6202 $auto$alumacc.cc:470:replace_alu$4640.C[2] +.sym 6204 UART.tx_clk_counter[1] +.sym 6205 $true$2 +.sym 6208 $auto$alumacc.cc:470:replace_alu$4640.C[3] +.sym 6209 $false +.sym 6210 UART.tx_clk_counter[2] +.sym 6211 $true$2 +.sym 6212 $auto$alumacc.cc:470:replace_alu$4640.C[2] +.sym 6215 $false +.sym 6216 UART.tx_clk_counter[3] +.sym 6217 $true$2 +.sym 6218 $auto$alumacc.cc:470:replace_alu$4640.C[3] +.sym 6221 $abc$32112$n1404 +.sym 6222 $abc$32112$n707 +.sym 6223 $false +.sym 6224 $false +.sym 6227 $abc$32112$n1408 +.sym 6228 $abc$32112$n707 +.sym 6229 $false +.sym 6230 $false +.sym 6233 UART.tx_clk_counter[1] +.sym 6234 UART.tx_clk_counter[0] +.sym 6235 UART.tx_activity +.sym 6236 $false +.sym 6239 $abc$32112$n1407 +.sym 6240 $abc$32112$n707 +.sym 6241 $false +.sym 6242 $false +.sym 6243 $abc$32112$n592 +.sym 6244 CLK$2$2 +.sym 6245 $0\KBD_FREEZE[0:0]$2 +.sym 6350 $abc$32112$n506 +.sym 6351 $abc$32112$n2096 +.sym 6424 $abc$32112$n1053 +.sym 6425 $abc$32112$n1054 +.sym 6426 $abc$32112$n2097 +.sym 6427 $abc$32112$n1825 +.sym 6428 $abc$32112$n2138 +.sym 6429 rststate[0] +.sym 6560 $abc$32112$n693_1 +.sym 6561 $abc$32112$n1821 +.sym 6562 $abc$32112$n1819 +.sym 6563 $abc$32112$n2137 +.sym 6564 $abc$32112$n1823 +.sym 6565 I2C.i2c_bit_counter[2] +.sym 6566 I2C.i2c_bit_counter[1] +.sym 6567 I2C.i2c_bit_counter[0] +.sym 6662 $abc$32112$n1116 +.sym 6663 $abc$32112$n1320_1 +.sym 6665 $abc$32112$n1017 +.sym 6666 $abc$32112$n2139 +.sym 6667 $abc$32112$n1318 +.sym 6668 $abc$32112$n1051 +.sym 6669 I2C_INPUT_DATA[0][6] +.sym 6765 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.sym 6766 $abc$32112$n1009 +.sym 6767 $abc$32112$n685 +.sym 6768 $abc$32112$n699 +.sym 6769 $abc$32112$n686 +.sym 6770 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 6771 $abc$32112$n1065 +.sym 6868 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.sym 6869 $abc$32112$n546 +.sym 6871 $abc$32112$n1316_1 +.sym 6872 I2C.received_byte[4] +.sym 6968 $abc$32112$n1086 +.sym 6969 $abc$32112$n2237 +.sym 6970 $abc$32112$n2244 +.sym 6971 $abc$32112$n2246 +.sym 6972 $abc$32112$n2241 +.sym 6973 $abc$32112$n2227 +.sym 6974 $abc$32112$n1185 +.sym 6975 I2C.FLT_SCL.out +.sym 7071 $abc$32112$n939 +.sym 7072 $abc$32112$n661 +.sym 7073 $abc$32112$n1101 +.sym 7074 I2C_INPUT_DATA[3][7] +.sym 7075 I2C_INPUT_DATA[3][4] +.sym 7076 I2C_INPUT_DATA[3][5] +.sym 7077 I2C_INPUT_DATA[3][6] +.sym 7172 $abc$32112$n938 +.sym 7173 $abc$32112$n923 +.sym 7174 $abc$32112$n1022_1 +.sym 7175 $abc$32112$n1091 +.sym 7176 $abc$32112$n934 +.sym 7177 $abc$32112$n942 +.sym 7178 $abc$32112$n944 +.sym 7179 $abc$32112$n1272_1 +.sym 7274 $abc$32112$n933 +.sym 7275 $abc$32112$n950 +.sym 7276 $abc$32112$n932 +.sym 7277 $abc$32112$n951 +.sym 7278 $abc$32112$n949 +.sym 7279 $abc$32112$n987 +.sym 7280 I2C_TX_REPORT[3] +.sym 7281 I2C_TX_REPORT[7] +.sym 7376 $abc$32112$n953_1 +.sym 7377 $abc$32112$n999 +.sym 7378 $abc$32112$n941_1 +.sym 7379 I2C_HID_DESC.VAL[7] +.sym 7380 I2C_HID_DESC.VAL[0] +.sym 7381 I2C_HID_DESC.VAL[4] +.sym 7382 I2C_HID_DESC.VAL[2] +.sym 7383 I2C_HID_DESC.VAL[3] +.sym 7479 $abc$32112$n972_1 +.sym 7480 $abc$32112$n989 +.sym 7481 $abc$32112$n985 +.sym 7482 $abc$32112$n993 +.sym 7483 I2C_TX_REPORT[4] +.sym 7484 I2C_TX_REPORT[0] +.sym 7485 I2C_TX_REPORT[2] +.sym 7583 I2C_OUT_DESC_MASK[0] +.sym 7584 I2C_OUT_DESC_MASK[7] +.sym 7585 I2C_OUT_DESC_MASK[4] +.sym 7586 I2C_OUT_DESC_MASK[1] +.sym 7587 I2C_OUT_DESC_MASK[2] +.sym 7682 $abc$32112$n19 +.sym 7683 $abc$32112$n624 +.sym 7684 $abc$32112$n637 +.sym 7685 $abc$32112$n630_1 +.sym 7687 $abc$32112$n376 +.sym 7688 $abc$32112$n409 +.sym 7689 I2C_OUTPUT_TYPE[1] +.sym 7784 $abc$32112$n595 +.sym 7788 $abc$32112$n538 +.sym 7789 $abc$32112$n707 +.sym 7790 $abc$32112$n12 +.sym 8120 KEYBOARD.row_time[2] +.sym 8121 KEYBOARD.row_time[3] +.sym 8122 KEYBOARD.row_time[4] +.sym 8123 KEYBOARD.row_time[5] +.sym 8124 KEYBOARD.row_time[6] +.sym 8125 KEYBOARD.row_time[7] +.sym 8155 $true +.sym 8192 $abc$32112$n2137$2 +.sym 8193 $false +.sym 8194 $abc$32112$n2137 +.sym 8195 $false +.sym 8196 $false +.sym 8198 $auto$alumacc.cc:470:replace_alu$4557.C[2] +.sym 8200 $false +.sym 8201 $abc$32112$n2096 +.sym 8204 $auto$alumacc.cc:470:replace_alu$4557.C[3] +.sym 8206 $false +.sym 8207 $abc$32112$n2138 +.sym 8210 $abc$32112$n506$2 +.sym 8212 $false +.sym 8213 $abc$32112$n2139 +.sym 8220 $abc$32112$n506$2 +.sym 8223 $abc$32112$n1821 +.sym 8224 $false +.sym 8225 $false +.sym 8226 $false +.sym 8246 KEYBOARD.row_time[8] +.sym 8247 KEYBOARD.row_time[9] +.sym 8248 KEYBOARD.row_time[10] +.sym 8249 KEYBOARD.row_time[11] +.sym 8250 KEYBOARD.row_time[12] +.sym 8251 KEYBOARD.row_time[13] +.sym 8252 KEYBOARD.row_time[14] +.sym 8253 KEYBOARD.row_time[15] +.sym 8318 $true +.sym 8355 $abc$32112$n1819$2 +.sym 8356 $false +.sym 8357 $abc$32112$n1819 +.sym 8358 $false +.sym 8359 $false +.sym 8361 $auto$alumacc.cc:470:replace_alu$4616.C[2] +.sym 8363 $abc$32112$n1821 +.sym 8364 $true$2 +.sym 8367 $auto$alumacc.cc:470:replace_alu$4616.C[3] +.sym 8368 $false +.sym 8369 $abc$32112$n1823 +.sym 8370 $true$2 +.sym 8371 $auto$alumacc.cc:470:replace_alu$4616.C[2] +.sym 8373 $abc$32112$n2097$2 +.sym 8374 $false +.sym 8375 $abc$32112$n1825 +.sym 8376 $true$2 +.sym 8377 $auto$alumacc.cc:470:replace_alu$4616.C[3] +.sym 8383 $abc$32112$n2097$2 +.sym 8386 $abc$32112$n2139 +.sym 8387 $false +.sym 8388 $false +.sym 8389 $false +.sym 8392 $abc$32112$n1823 +.sym 8393 $false +.sym 8394 $false +.sym 8395 $false +.sym 8398 $abc$32112$n1012 +.sym 8399 $abc$32112$n1730 +.sym 8400 $false +.sym 8401 $false +.sym 8402 $true +.sym 8403 CLK$2$2 +.sym 8404 $false +.sym 8405 $abc$32112$n1015 +.sym 8406 $abc$32112$n1392 +.sym 8408 $abc$32112$n8 +.sym 8409 I2C.byte_counter[4] +.sym 8412 I2C.byte_counter[6] +.sym 8479 I2C.i2c_bit_counter[0] +.sym 8480 I2C.i2c_bit_counter[1] +.sym 8481 $abc$32112$n1817 +.sym 8482 $false +.sym 8485 $abc$32112$n1817 +.sym 8486 I2C.i2c_bit_counter[1] +.sym 8487 $false +.sym 8488 $false +.sym 8491 $abc$32112$n1817 +.sym 8492 I2C.i2c_bit_counter[0] +.sym 8493 $false +.sym 8494 $false +.sym 8497 $abc$32112$n1819 +.sym 8498 $false +.sym 8499 $false +.sym 8500 $false +.sym 8503 $abc$32112$n1817 +.sym 8504 I2C.i2c_bit_counter[2] +.sym 8505 $false +.sym 8506 $false +.sym 8509 $abc$32112$n1113 +.sym 8510 $abc$32112$n1823 +.sym 8511 $abc$32112$n1116 +.sym 8512 $abc$32112$n1053 +.sym 8515 $abc$32112$n1113 +.sym 8516 $abc$32112$n1821 +.sym 8517 $abc$32112$n693_1 +.sym 8518 $abc$32112$n1116 +.sym 8521 $abc$32112$n1113 +.sym 8522 $abc$32112$n1819 +.sym 8523 $abc$32112$n1116 +.sym 8524 $abc$32112$n1051 +.sym 8525 $true +.sym 8526 CLK$2$2 +.sym 8527 $false +.sym 8528 $abc$32112$n1094 +.sym 8529 $abc$32112$n1319_1 +.sym 8530 $abc$32112$n1127 +.sym 8531 $abc$32112$n1117 +.sym 8532 $abc$32112$n1095 +.sym 8533 $abc$32112$n1146 +.sym 8534 $abc$32112$n1108 +.sym 8535 I2C.is_ack +.sym 8602 $abc$32112$n1117 +.sym 8603 $abc$32112$n506 +.sym 8604 $false +.sym 8605 $false +.sym 8608 $abc$32112$n1117 +.sym 8609 $abc$32112$n1319_1 +.sym 8610 $abc$32112$n691 +.sym 8611 $abc$32112$n2139 +.sym 8620 I2C.byte_counter[5] +.sym 8621 $false +.sym 8622 $false +.sym 8623 $false +.sym 8626 I2C.i2c_bit_counter[3] +.sym 8627 $abc$32112$n1817 +.sym 8628 $false +.sym 8629 $false +.sym 8632 $abc$32112$n2139 +.sym 8633 $abc$32112$n1054 +.sym 8634 $abc$32112$n1117 +.sym 8635 $false +.sym 8638 $false +.sym 8639 $abc$32112$n1819 +.sym 8640 $false +.sym 8641 $true$2 +.sym 8644 I2C.received_byte[6] +.sym 8645 $false +.sym 8646 $false +.sym 8647 $false +.sym 8648 $abc$32112$n2246 +.sym 8649 CLK$2$2 +.sym 8650 $false +.sym 8651 $abc$32112$n687 +.sym 8652 $abc$32112$n1114 +.sym 8653 $abc$32112$n1113 +.sym 8654 $abc$32112$n1115 +.sym 8655 $abc$32112$n1145 +.sym 8656 $abc$32112$n1104 +.sym 8657 $abc$32112$n1093_1 +.sym 8658 $abc$32112$n1014 +.sym 8731 I2C.byte_counter[1] +.sym 8732 $false +.sym 8733 $false +.sym 8734 $false +.sym 8737 I2C.byte_counter[3] +.sym 8738 $false +.sym 8739 $false +.sym 8740 $false +.sym 8743 $abc$32112$n1053 +.sym 8744 $abc$32112$n686 +.sym 8745 $false +.sym 8746 $false +.sym 8749 $abc$32112$n686 +.sym 8750 $abc$32112$n1053 +.sym 8751 $false +.sym 8752 $false +.sym 8755 $abc$32112$n1054 +.sym 8756 $abc$32112$n1065 +.sym 8757 $abc$32112$n687 +.sym 8758 $abc$32112$n2097 +.sym 8761 $false +.sym 8762 I2C.byte_counter[0] +.sym 8763 $true$2 +.sym 8764 $true$2 +.sym 8767 $false +.sym 8768 $false +.sym 8769 $true$2 +.sym 8770 $abc$32112$n2097 +.sym 8774 $abc$32112$n1315 +.sym 8775 $abc$32112$n1317_1 +.sym 8776 $abc$32112$n1277_1 +.sym 8777 $abc$32112$n1107 +.sym 8778 $abc$32112$n1151 +.sym 8779 $abc$32112$n1150 +.sym 8780 $abc$32112$n1149 +.sym 8781 I2C.wr +.sym 8810 $true +.sym 8847 I2C.byte_counter[1]$2 +.sym 8848 $false +.sym 8849 I2C.byte_counter[1] +.sym 8850 $false +.sym 8851 $false +.sym 8853 $auto$alumacc.cc:470:replace_alu$4602.C[3] +.sym 8855 I2C.byte_counter[2] +.sym 8856 $true$2 +.sym 8860 $false +.sym 8861 I2C.byte_counter[3] +.sym 8862 $true$2 +.sym 8863 $auto$alumacc.cc:470:replace_alu$4602.C[3] +.sym 8866 $abc$32112$n699 +.sym 8867 $abc$32112$n692 +.sym 8868 $false +.sym 8869 $false +.sym 8878 $abc$32112$n1086 +.sym 8879 $abc$32112$n1314_1 +.sym 8880 $abc$32112$n1315 +.sym 8881 $abc$32112$n506 +.sym 8884 I2C.FLT_SDA.out +.sym 8885 $false +.sym 8886 $false +.sym 8887 $false +.sym 8894 $abc$32112$n546 +.sym 8895 CLK$2$2 +.sym 8896 $false +.sym 8897 $abc$32112$n1098 +.sym 8898 $abc$32112$n688 +.sym 8899 $abc$32112$n1103 +.sym 8900 $abc$32112$n1105 +.sym 8901 $abc$32112$n1097 +.sym 8902 $abc$32112$n1099_1 +.sym 8903 I2C.is_read +.sym 8904 I2C.SDA_DIR +.sym 8971 $abc$32112$n985 +.sym 8972 $abc$32112$n993 +.sym 8973 $abc$32112$n1053 +.sym 8974 $abc$32112$n692 +.sym 8977 $abc$32112$n1180 +.sym 8978 $abc$32112$n1185 +.sym 8979 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 8980 $false +.sym 8983 I2C.byte_counter[2] +.sym 8984 I2C.byte_counter[1] +.sym 8985 $abc$32112$n1182 +.sym 8986 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 8989 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 8990 $abc$32112$n882 +.sym 8991 $abc$32112$n1182 +.sym 8992 $false +.sym 8995 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 8996 $abc$32112$n1185 +.sym 8997 $abc$32112$n1180 +.sym 8998 $false +.sym 9001 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 9002 $abc$32112$n882 +.sym 9003 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.sym 9004 $abc$32112$n1180 +.sym 9007 I2C.byte_counter[1] +.sym 9008 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.sym 9009 I2C.byte_counter[2] +.sym 9010 $false +.sym 9013 I2C.SCLF +.sym 9014 $false +.sym 9015 $false +.sym 9016 $false +.sym 9017 $abc$32112$n858 +.sym 9018 CLK$2$2 +.sym 9019 $0\KBD_FREEZE[0:0]$2 +.sym 9020 $abc$32112$n893 +.sym 9021 $abc$32112$n917 +.sym 9022 $abc$32112$n1273 +.sym 9023 $abc$32112$n898_1 +.sym 9024 $abc$32112$n1274_1 +.sym 9025 $abc$32112$n915 +.sym 9026 $abc$32112$n916 +.sym 9027 I2C.i2c_state_machine +.sym 9100 $abc$32112$n893 +.sym 9101 $abc$32112$n871 +.sym 9102 $false +.sym 9103 $false +.sym 9106 I2C_INPUT_DATA[3][4] +.sym 9107 I2C_INPUT_DATA[3][5] +.sym 9108 I2C_INPUT_DATA[3][6] +.sym 9109 I2C_INPUT_DATA[3][7] +.sym 9112 $abc$32112$n1102 +.sym 9113 I2C.received_byte[3] +.sym 9114 I2C.received_byte[5] +.sym 9115 I2C.received_byte[6] +.sym 9118 I2C.received_byte[7] +.sym 9119 $false +.sym 9120 $false +.sym 9121 $false +.sym 9124 I2C.received_byte[4] +.sym 9125 $false +.sym 9126 $false +.sym 9127 $false +.sym 9130 I2C.received_byte[5] +.sym 9131 $false +.sym 9132 $false +.sym 9133 $false +.sym 9136 I2C.received_byte[6] +.sym 9137 $false +.sym 9138 $false +.sym 9139 $false +.sym 9140 $abc$32112$n2237 +.sym 9141 CLK$2$2 +.sym 9142 $false +.sym 9143 $abc$32112$n897 +.sym 9144 $abc$32112$n914_1 +.sym 9145 $abc$32112$n875 +.sym 9146 $abc$32112$n935 +.sym 9147 $abc$32112$n937_1 +.sym 9148 $abc$32112$n876 +.sym 9149 $abc$32112$n892_1 +.sym 9150 $abc$32112$n894 +.sym 9217 $abc$32112$n939 +.sym 9218 $abc$32112$n923 +.sym 9219 $abc$32112$n887 +.sym 9220 $false +.sym 9223 $abc$32112$n876 +.sym 9224 $abc$32112$n871 +.sym 9225 $false +.sym 9226 $false +.sym 9229 I2C.byte_counter[3] +.sym 9230 I2C.byte_counter[2] +.sym 9231 $abc$32112$n907 +.sym 9232 $false +.sym 9235 $abc$32112$n987 +.sym 9236 $abc$32112$n991_1 +.sym 9237 $abc$32112$n693_1 +.sym 9238 $abc$32112$n1051 +.sym 9241 $abc$32112$n881 +.sym 9242 $abc$32112$n878_1 +.sym 9243 $abc$32112$n935 +.sym 9244 $false +.sym 9247 $abc$32112$n876 +.sym 9248 $abc$32112$n878_1 +.sym 9249 $false +.sym 9250 $false +.sym 9253 $abc$32112$n937_1 +.sym 9254 $abc$32112$n938 +.sym 9255 $abc$32112$n935 +.sym 9256 $abc$32112$n932 +.sym 9259 $abc$32112$n1022_1 +.sym 9260 $abc$32112$n2175 +.sym 9261 $abc$32112$n2168 +.sym 9262 $false +.sym 9266 $abc$32112$n936 +.sym 9267 $abc$32112$n940 +.sym 9268 $abc$32112$n1322_1 +.sym 9269 $abc$32112$n913 +.sym 9270 $abc$32112$n924 +.sym 9271 $abc$32112$n1323_1 +.sym 9272 I2C_HID_DESC.VAL[1] +.sym 9273 I2C_HID_DESC.VAL[5] +.sym 9340 $abc$32112$n934 +.sym 9341 $abc$32112$n936 +.sym 9342 $abc$32112$n938 +.sym 9343 $abc$32112$n940 +.sym 9346 $abc$32112$n951 +.sym 9347 $abc$32112$n923 +.sym 9348 $false +.sym 9349 $false +.sym 9352 $abc$32112$n933 +.sym 9353 $abc$32112$n19 +.sym 9354 $false +.sym 9355 $false +.sym 9358 $abc$32112$n881 +.sym 9359 $abc$32112$n871 +.sym 9360 $false +.sym 9361 $false +.sym 9364 $abc$32112$n881 +.sym 9365 $abc$32112$n878_1 +.sym 9366 $abc$32112$n936 +.sym 9367 $abc$32112$n950 +.sym 9370 I2C_HID_DESC.VAL[1] +.sym 9371 I2C_TX_REPORT[1] +.sym 9372 I2C_OUT_DESC_MASK[1] +.sym 9373 $false +.sym 9376 $abc$32112$n1045 +.sym 9377 $abc$32112$n1272_1 +.sym 9378 $abc$32112$n951 +.sym 9379 $abc$32112$n2168 +.sym 9382 $abc$32112$n1073 +.sym 9383 $abc$32112$n1020 +.sym 9384 $false +.sym 9385 $false +.sym 9386 $abc$32112$n403 +.sym 9387 CLK$2$2 +.sym 9388 $abc$32112$n992$2 +.sym 9389 $abc$32112$n861_1 +.sym 9390 $abc$32112$n864_1 +.sym 9391 $abc$32112$n922 +.sym 9392 $abc$32112$n931_1 +.sym 9393 $abc$32112$n960 +.sym 9394 $abc$32112$n955_1 +.sym 9395 $abc$32112$n891 +.sym 9396 I2C_HID_DESC.VAL[6] +.sym 9463 $abc$32112$n942 +.sym 9464 $abc$32112$n939 +.sym 9465 $abc$32112$n950 +.sym 9466 $abc$32112$n932 +.sym 9469 I2C_HID_DESC.VAL[7] +.sym 9470 I2C_TX_REPORT[7] +.sym 9471 I2C_OUT_DESC_MASK[7] +.sym 9472 $false +.sym 9475 $abc$32112$n923 +.sym 9476 $abc$32112$n942 +.sym 9477 $abc$32112$n940 +.sym 9478 $false +.sym 9481 $abc$32112$n942 +.sym 9482 $abc$32112$n960 +.sym 9483 $abc$32112$n19 +.sym 9484 $false +.sym 9487 $abc$32112$n941_1 +.sym 9488 $abc$32112$n934 +.sym 9489 $abc$32112$n932 +.sym 9490 $abc$32112$n861_1 +.sym 9493 $abc$32112$n942 +.sym 9494 $abc$32112$n950 +.sym 9495 $abc$32112$n932 +.sym 9496 $abc$32112$n955_1 +.sym 9499 $abc$32112$n949 +.sym 9500 $abc$32112$n947 +.sym 9501 $abc$32112$n19 +.sym 9502 $false +.sym 9505 $abc$32112$n925_1 +.sym 9506 $abc$32112$n891 +.sym 9507 $abc$32112$n19 +.sym 9508 $abc$32112$n953_1 +.sym 9509 $abc$32112$n376 +.sym 9510 CLK$2$2 +.sym 9511 $false +.sym 9512 $abc$32112$n662 +.sym 9513 $abc$32112$n660 +.sym 9514 $abc$32112$n659 +.sym 9515 $abc$32112$n540 +.sym 9516 $abc$32112$n671_1 +.sym 9517 UART_TX_DATA[7] +.sym 9518 UART_TX_DATA[1] +.sym 9519 UART_TX_DATA[3] +.sym 9592 I2C_INPUT_DATA[0][2] +.sym 9593 I2C_INPUT_DATA[0][3] +.sym 9594 $abc$32112$n973_1 +.sym 9595 $false +.sym 9598 I2C_HID_DESC.VAL[2] +.sym 9599 I2C_TX_REPORT[2] +.sym 9600 I2C_OUT_DESC_MASK[2] +.sym 9601 $false +.sym 9604 I2C_HID_DESC.VAL[0] +.sym 9605 I2C_TX_REPORT[0] +.sym 9606 I2C_OUT_DESC_MASK[0] +.sym 9607 $false +.sym 9610 I2C_HID_DESC.VAL[4] +.sym 9611 I2C_TX_REPORT[4] +.sym 9612 I2C_OUT_DESC_MASK[4] +.sym 9613 $false +.sym 9616 $abc$32112$n1020 +.sym 9617 $abc$32112$n1052 +.sym 9618 $false +.sym 9619 $false +.sym 9622 $abc$32112$n1020 +.sym 9623 $abc$32112$n1023 +.sym 9624 $false +.sym 9625 $false +.sym 9628 $abc$32112$n1038 +.sym 9629 $abc$32112$n1020 +.sym 9630 $false +.sym 9631 $false +.sym 9632 $abc$32112$n403 +.sym 9633 CLK$2$2 +.sym 9634 $abc$32112$n992$2 +.sym 9636 $abc$32112$n505 +.sym 9637 $abc$32112$n534 +.sym 9638 $abc$32112$n628 +.sym 9639 $abc$32112$n977_1 +.sym 9640 $abc$32112$n25 +.sym 9641 UART_TX_DATA[2] +.sym 9642 UART_TX_DATA[0] +.sym 9727 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9728 $false +.sym 9729 $false +.sym 9730 $false +.sym 9733 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9734 $false +.sym 9735 $false +.sym 9736 $false +.sym 9739 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9740 $false +.sym 9741 $false +.sym 9742 $false +.sym 9745 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9746 $false +.sym 9747 $false +.sym 9748 $false +.sym 9751 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9752 $false +.sym 9753 $false +.sym 9754 $false +.sym 9755 $abc$32112$n435$2 +.sym 9756 CLK$2$2 +.sym 9757 $0\KBD_FREEZE[0:0]$2 +.sym 9758 $abc$32112$n966 +.sym 9759 $abc$32112$n1271_1 +.sym 9760 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9761 $abc$32112$n971_1 +.sym 9762 $abc$32112$n979 +.sym 9763 $abc$32112$n978 +.sym 9764 I2C_OUTPUT_TYPE[0] +.sym 9765 I2C_OUTPUT_TYPE[2] +.sym 9832 I2C_OUTPUT_TYPE[1] +.sym 9833 I2C_OUTPUT_TYPE[0] +.sym 9834 $false +.sym 9835 $false +.sym 9838 I2C_HID_DESC.last_rd_request +.sym 9839 I2C_OUTPUT_TYPE[0] +.sym 9840 I2C_OUTPUT_TYPE[1] +.sym 9841 $false +.sym 9844 I2C.FLT_SCL.RESET +.sym 9845 $abc$32112$n630_1 +.sym 9846 $false +.sym 9847 $false +.sym 9850 last_wr +.sym 9851 I2C.wr +.sym 9852 $false +.sym 9853 $false +.sym 9862 I2C.FLT_SCL.RESET +.sym 9863 $abc$32112$n624 +.sym 9864 I2C.wr +.sym 9865 $false +.sym 9868 $abc$32112$n630_1 +.sym 9869 I2C.FLT_SCL.RESET +.sym 9870 $abc$32112$n640 +.sym 9871 $false +.sym 9874 $abc$32112$n966 +.sym 9875 $abc$32112$n542 +.sym 9876 $false +.sym 9877 $false +.sym 9878 $abc$32112$n435$2 +.sym 9879 CLK$2$2 +.sym 9880 $0\KBD_FREEZE[0:0]$2 +.sym 9881 $abc$32112$n992 +.sym 9883 $abc$32112$n635 +.sym 9884 I2C_INPUT_LEN[3] +.sym 9885 I2C_INPUT_LEN[1] +.sym 9886 I2C_INPUT_LEN[4] +.sym 9887 I2C_INPUT_LEN[2] +.sym 9955 $abc$32112$n707 +.sym 9956 $abc$32112$n710 +.sym 9957 $abc$32112$n705 +.sym 9958 I2C.FLT_SCL.RESET +.sym 9979 $abc$32112$n539_1 +.sym 9980 $abc$32112$n540 +.sym 9981 $abc$32112$n1558 +.sym 9982 $abc$32112$n1572 +.sym 9985 $abc$32112$n708 +.sym 9986 UART.tx_activity +.sym 9987 $false +.sym 9988 $false +.sym 9991 $abc$32112$n533 +.sym 9992 $abc$32112$n2002 +.sym 9993 $abc$32112$n538 +.sym 9994 UART.tx_activity +.sym 10001 $abc$32112$n595 +.sym 10002 CLK$2$2 +.sym 10003 $false +.sym 10006 $abc$32112$n1710 +.sym 10007 $abc$32112$n1712 +.sym 10008 $abc$32112$n1714 +.sym 10009 $abc$32112$n1716 +.sym 10010 $abc$32112$n1718 +.sym 10011 $abc$32112$n1720 +.sym 10226 $abc$32112$n29 +.sym 10227 $abc$32112$n564 +.sym 10228 $abc$32112$n549 +.sym 10229 $abc$32112$n550 +.sym 10230 $abc$32112$n565 +.sym 10231 $abc$32112$n838 +.sym 10232 $abc$32112$n583 +.sym 10233 KEYBOARD.row_time[1] +.sym 10263 $true +.sym 10300 KEYBOARD.row_time[0]$2 +.sym 10301 $false +.sym 10302 KEYBOARD.row_time[0] +.sym 10303 $false +.sym 10304 $false +.sym 10306 $auto$alumacc.cc:470:replace_alu$4628.C[2] +.sym 10308 $false +.sym 10309 KEYBOARD.row_time[1] +.sym 10312 $auto$alumacc.cc:470:replace_alu$4628.C[3] +.sym 10313 $false +.sym 10314 $false +.sym 10315 KEYBOARD.row_time[2] +.sym 10316 $auto$alumacc.cc:470:replace_alu$4628.C[2] +.sym 10318 $auto$alumacc.cc:470:replace_alu$4628.C[4] +.sym 10319 $false +.sym 10320 $false +.sym 10321 KEYBOARD.row_time[3] +.sym 10322 $auto$alumacc.cc:470:replace_alu$4628.C[3] +.sym 10324 $auto$alumacc.cc:470:replace_alu$4628.C[5] +.sym 10325 $false +.sym 10326 $false +.sym 10327 KEYBOARD.row_time[4] +.sym 10328 $auto$alumacc.cc:470:replace_alu$4628.C[4] +.sym 10330 $auto$alumacc.cc:470:replace_alu$4628.C[6] +.sym 10331 $false +.sym 10332 $false +.sym 10333 KEYBOARD.row_time[5] +.sym 10334 $auto$alumacc.cc:470:replace_alu$4628.C[5] +.sym 10336 $auto$alumacc.cc:470:replace_alu$4628.C[7] +.sym 10337 $false +.sym 10338 $false +.sym 10339 KEYBOARD.row_time[6] +.sym 10340 $auto$alumacc.cc:470:replace_alu$4628.C[6] +.sym 10342 $auto$alumacc.cc:470:replace_alu$4628.C[8] +.sym 10343 $false +.sym 10344 $false +.sym 10345 KEYBOARD.row_time[7] +.sym 10346 $auto$alumacc.cc:470:replace_alu$4628.C[7] +.sym 10347 $abc$32112$n839$2 +.sym 10348 CLK$2$2 +.sym 10349 $abc$32112$n29$2 +.sym 10354 $abc$32112$n591 +.sym 10355 $abc$32112$n839 +.sym 10357 $abc$32112$n567 +.sym 10358 $abc$32112$n566 +.sym 10359 $abc$32112$n551 +.sym 10360 $abc$32112$n552 +.sym 10361 KEYBOARD.row_time[0] +.sym 10426 $auto$alumacc.cc:470:replace_alu$4628.C[8] +.sym 10463 $auto$alumacc.cc:470:replace_alu$4628.C[9] +.sym 10464 $false +.sym 10465 $false +.sym 10466 KEYBOARD.row_time[8] +.sym 10467 $auto$alumacc.cc:470:replace_alu$4628.C[8] +.sym 10469 $auto$alumacc.cc:470:replace_alu$4628.C[10] +.sym 10470 $false +.sym 10471 $false +.sym 10472 KEYBOARD.row_time[9] +.sym 10473 $auto$alumacc.cc:470:replace_alu$4628.C[9] +.sym 10475 $auto$alumacc.cc:470:replace_alu$4628.C[11] +.sym 10476 $false +.sym 10477 $false +.sym 10478 KEYBOARD.row_time[10] +.sym 10479 $auto$alumacc.cc:470:replace_alu$4628.C[10] +.sym 10481 $auto$alumacc.cc:470:replace_alu$4628.C[12] +.sym 10482 $false +.sym 10483 $false +.sym 10484 KEYBOARD.row_time[11] +.sym 10485 $auto$alumacc.cc:470:replace_alu$4628.C[11] +.sym 10487 $auto$alumacc.cc:470:replace_alu$4628.C[13] +.sym 10488 $false +.sym 10489 $false +.sym 10490 KEYBOARD.row_time[12] +.sym 10491 $auto$alumacc.cc:470:replace_alu$4628.C[12] +.sym 10493 $auto$alumacc.cc:470:replace_alu$4628.C[14] +.sym 10494 $false +.sym 10495 $false +.sym 10496 KEYBOARD.row_time[13] +.sym 10497 $auto$alumacc.cc:470:replace_alu$4628.C[13] +.sym 10499 $auto$alumacc.cc:470:replace_alu$4628.C[15] +.sym 10500 $false +.sym 10501 $false +.sym 10502 KEYBOARD.row_time[14] +.sym 10503 $auto$alumacc.cc:470:replace_alu$4628.C[14] +.sym 10506 $false +.sym 10507 $false +.sym 10508 KEYBOARD.row_time[15] +.sym 10509 $auto$alumacc.cc:470:replace_alu$4628.C[15] +.sym 10510 $abc$32112$n839$2 +.sym 10511 CLK$2$2 +.sym 10512 $abc$32112$n29$2 +.sym 10513 $abc$32112$n1139 +.sym 10514 $abc$32112$n1142 +.sym 10515 $abc$32112$n1135 +.sym 10516 $abc$32112$n1126 +.sym 10518 $abc$32112$n1389 +.sym 10519 KEYBOARD.last_data[4] +.sym 10587 I2C.byte_counter[6] +.sym 10588 $false +.sym 10589 $false +.sym 10590 $false +.sym 10593 $abc$32112$n1817 +.sym 10594 I2C.byte_counter[6] +.sym 10595 $false +.sym 10596 $false +.sym 10605 I2C.FLT_SCL.out +.sym 10606 $false +.sym 10607 $false +.sym 10608 $false +.sym 10611 $abc$32112$n1139 +.sym 10612 I2C.byte_counter[4] +.sym 10613 $abc$32112$n691 +.sym 10614 $false +.sym 10629 $abc$32112$n1127 +.sym 10630 $abc$32112$n1392 +.sym 10631 $abc$32112$n1142 +.sym 10632 $false +.sym 10633 $true +.sym 10634 CLK$2$2 +.sym 10635 $false +.sym 10637 $abc$32112$n795 +.sym 10638 $abc$32112$n2235 +.sym 10640 $abc$32112$n2231 +.sym 10642 $abc$32112$n770 +.sym 10643 KEYBOARD.last_data[8] +.sym 10710 $abc$32112$n1095 +.sym 10711 $abc$32112$n1093_1 +.sym 10712 $false +.sym 10713 $false +.sym 10716 $abc$32112$n1093_1 +.sym 10717 I2C.is_ack +.sym 10718 $abc$32112$n1108 +.sym 10719 $abc$32112$n689 +.sym 10722 $abc$32112$n1094 +.sym 10723 $abc$32112$n1108 +.sym 10724 $abc$32112$n689 +.sym 10725 $abc$32112$n506 +.sym 10728 $abc$32112$n691 +.sym 10729 $abc$32112$n689 +.sym 10730 I2C.FLT_SCL.out +.sym 10731 $abc$32112$n8 +.sym 10734 $abc$32112$n1817 +.sym 10735 I2C.is_ack +.sym 10736 $false +.sym 10737 $false +.sym 10740 $abc$32112$n506 +.sym 10741 $abc$32112$n689 +.sym 10742 $abc$32112$n1095 +.sym 10743 $abc$32112$n1093_1 +.sym 10746 $abc$32112$n1095 +.sym 10747 I2C.FLT_SCL.out +.sym 10748 $abc$32112$n8 +.sym 10749 $false +.sym 10752 $abc$32112$n1145 +.sym 10753 $abc$32112$n1146 +.sym 10754 I2C.is_ack +.sym 10755 $abc$32112$n691 +.sym 10756 $true +.sym 10757 CLK$2$2 +.sym 10758 $false +.sym 10759 $abc$32112$n1289_1 +.sym 10761 $abc$32112$n1288_1 +.sym 10762 $abc$32112$n1290 +.sym 10763 $abc$32112$n816 +.sym 10764 $abc$32112$n890 +.sym 10765 KEYBOARD.last_data[12] +.sym 10833 $abc$32112$n506 +.sym 10834 I2C.FLT_SCL.out +.sym 10835 $abc$32112$n8 +.sym 10836 $abc$32112$n688 +.sym 10839 $abc$32112$n1095 +.sym 10840 $abc$32112$n1104 +.sym 10841 $abc$32112$n688 +.sym 10842 $abc$32112$n687 +.sym 10845 $abc$32112$n1115 +.sym 10846 $abc$32112$n1114 +.sym 10847 $false +.sym 10848 $false +.sym 10851 $abc$32112$n1108 +.sym 10852 $abc$32112$n1093_1 +.sym 10853 $abc$32112$n506 +.sym 10854 $abc$32112$n1105 +.sym 10857 $abc$32112$n506 +.sym 10858 $abc$32112$n1095 +.sym 10859 $abc$32112$n1099_1 +.sym 10860 $abc$32112$n689 +.sym 10863 $abc$32112$n1093_1 +.sym 10864 $abc$32112$n506 +.sym 10865 $false +.sym 10866 $false +.sym 10869 I2C.FLT_SCL.out +.sym 10870 $abc$32112$n8 +.sym 10871 $false +.sym 10872 $false +.sym 10875 I2C.byte_counter[7] +.sym 10876 $false +.sym 10877 $false +.sym 10878 $false +.sym 10884 $abc$32112$n1153 +.sym 10885 $abc$32112$n730 +.sym 10886 $abc$32112$n1109 +.sym 10887 $abc$32112$n732 +.sym 10888 $abc$32112$n605_1 +.sym 10889 KEYBOARD.last_data[0] +.sym 10956 $abc$32112$n1095 +.sym 10957 $abc$32112$n1096 +.sym 10958 $false +.sym 10959 $false +.sym 10962 $abc$32112$n1096 +.sym 10963 $abc$32112$n1316_1 +.sym 10964 $abc$32112$n1093_1 +.sym 10965 $false +.sym 10968 $abc$32112$n1149 +.sym 10969 $abc$32112$n1153 +.sym 10970 $abc$32112$n1151 +.sym 10971 $abc$32112$n506 +.sym 10974 $abc$32112$n1109 +.sym 10975 $abc$32112$n506 +.sym 10976 $abc$32112$n1108 +.sym 10977 $abc$32112$n1105 +.sym 10980 $abc$32112$n1109 +.sym 10981 $abc$32112$n2170 +.sym 10982 $abc$32112$n689 +.sym 10983 $abc$32112$n1108 +.sym 10986 $abc$32112$n1095 +.sym 10987 $abc$32112$n689 +.sym 10988 $abc$32112$n1093_1 +.sym 10989 $abc$32112$n506 +.sym 10992 $abc$32112$n1108 +.sym 10993 $abc$32112$n506 +.sym 10994 $abc$32112$n689 +.sym 10995 $abc$32112$n1150 +.sym 10998 $abc$32112$n1277_1 +.sym 10999 $abc$32112$n1153 +.sym 11000 $abc$32112$n691 +.sym 11001 $false +.sym 11002 $true +.sym 11003 CLK$2$2 +.sym 11004 $false +.sym 11006 $abc$32112$n1096 +.sym 11007 $abc$32112$n1817 +.sym 11008 $abc$32112$n691 +.sym 11012 I2C.received_byte[3] +.sym 11079 $abc$32112$n1104 +.sym 11080 $abc$32112$n1096 +.sym 11081 $abc$32112$n1099_1 +.sym 11082 $abc$32112$n688 +.sym 11085 $abc$32112$n689 +.sym 11086 $abc$32112$n691 +.sym 11087 $false +.sym 11088 $false +.sym 11091 $abc$32112$n1095 +.sym 11092 $abc$32112$n1104 +.sym 11093 $false +.sym 11094 $false +.sym 11097 $abc$32112$n691 +.sym 11098 $abc$32112$n689 +.sym 11099 $false +.sym 11100 $false +.sym 11103 $abc$32112$n1096 +.sym 11104 I2C.i2c_state_machine +.sym 11105 $abc$32112$n1098 +.sym 11106 $false +.sym 11109 $abc$32112$n1273 +.sym 11110 $abc$32112$n1103 +.sym 11111 $false +.sym 11112 $false +.sym 11115 $abc$32112$n1274_1 +.sym 11116 I2C.received_byte[0] +.sym 11117 $abc$32112$n1103 +.sym 11118 $abc$32112$n689 +.sym 11121 $abc$32112$n1317_1 +.sym 11122 $abc$32112$n1105 +.sym 11123 $abc$32112$n1097 +.sym 11124 $false +.sym 11125 $true +.sym 11126 CLK$2$2 +.sym 11127 $false +.sym 11128 $abc$32112$n879 +.sym 11129 $abc$32112$n580 +.sym 11130 $abc$32112$n882 +.sym 11131 $abc$32112$n877 +.sym 11132 $abc$32112$n881 +.sym 11133 I2C_TRANS +.sym 11134 $abc$32112$n689 +.sym 11135 I2C.i2c_start_latency +.sym 11202 I2C.byte_counter[1] +.sym 11203 I2C.byte_counter[0] +.sym 11204 $abc$32112$n890 +.sym 11205 $false +.sym 11208 $abc$32112$n871 +.sym 11209 $abc$32112$n877 +.sym 11210 $abc$32112$n890 +.sym 11211 $false +.sym 11214 $abc$32112$n1101 +.sym 11215 $abc$32112$n879 +.sym 11216 $abc$32112$n871 +.sym 11217 $false +.sym 11220 $abc$32112$n869 +.sym 11221 $abc$32112$n877 +.sym 11222 $abc$32112$n890 +.sym 11223 $false +.sym 11226 $abc$32112$n691 +.sym 11227 $abc$32112$n879 +.sym 11228 $abc$32112$n871 +.sym 11229 $false +.sym 11232 $abc$32112$n881 +.sym 11233 $abc$32112$n869 +.sym 11234 $abc$32112$n916 +.sym 11235 $false +.sym 11238 I2C.byte_counter[0] +.sym 11239 $abc$32112$n882 +.sym 11240 $abc$32112$n878_1 +.sym 11241 $false +.sym 11244 $abc$32112$n1103 +.sym 11245 $abc$32112$n1273 +.sym 11246 $abc$32112$n688 +.sym 11247 $abc$32112$n1107 +.sym 11248 $true +.sym 11249 CLK$2$2 +.sym 11250 $0\KBD_FREEZE[0:0]$2 +.sym 11251 $abc$32112$n904_1 +.sym 11252 $abc$32112$n902 +.sym 11253 $abc$32112$n895 +.sym 11254 $abc$32112$n888 +.sym 11255 $abc$32112$n886_1 +.sym 11256 $abc$32112$n903 +.sym 11257 $abc$32112$n868_1 +.sym 11258 $abc$32112$n887 +.sym 11325 $abc$32112$n893 +.sym 11326 $abc$32112$n876 +.sym 11327 $abc$32112$n878_1 +.sym 11328 $abc$32112$n898_1 +.sym 11331 $abc$32112$n879 +.sym 11332 $abc$32112$n893 +.sym 11333 $abc$32112$n885_1 +.sym 11334 $abc$32112$n915 +.sym 11337 $abc$32112$n876 +.sym 11338 $abc$32112$n869 +.sym 11339 $abc$32112$n878_1 +.sym 11340 $abc$32112$n879 +.sym 11343 $abc$32112$n895 +.sym 11344 $abc$32112$n871 +.sym 11345 $abc$32112$n879 +.sym 11346 $abc$32112$n878_1 +.sym 11349 $abc$32112$n876 +.sym 11350 $abc$32112$n896 +.sym 11351 $abc$32112$n878_1 +.sym 11352 $false +.sym 11355 I2C.byte_counter[3] +.sym 11356 $abc$32112$n877 +.sym 11357 I2C.byte_counter[2] +.sym 11358 $false +.sym 11361 $abc$32112$n868_1 +.sym 11362 $abc$32112$n893 +.sym 11363 $abc$32112$n876 +.sym 11364 $abc$32112$n885_1 +.sym 11367 $abc$32112$n868_1 +.sym 11368 $abc$32112$n895 +.sym 11369 $abc$32112$n885_1 +.sym 11370 $abc$32112$n896 +.sym 11374 $abc$32112$n899 +.sym 11375 $abc$32112$n918 +.sym 11376 $abc$32112$n880 +.sym 11377 $abc$32112$n867_1 +.sym 11378 $abc$32112$n866 +.sym 11379 $abc$32112$n928_1 +.sym 11380 $abc$32112$n945 +.sym 11381 $abc$32112$n872 +.sym 11448 $abc$32112$n883 +.sym 11449 $abc$32112$n878_1 +.sym 11450 $abc$32112$n937_1 +.sym 11451 $abc$32112$n917 +.sym 11454 $abc$32112$n877 +.sym 11455 $abc$32112$n878_1 +.sym 11456 $abc$32112$n873 +.sym 11457 $abc$32112$n919 +.sym 11460 $abc$32112$n907 +.sym 11461 $abc$32112$n873 +.sym 11462 $abc$32112$n899 +.sym 11463 $abc$32112$n924 +.sym 11466 $abc$32112$n869 +.sym 11467 $abc$32112$n896 +.sym 11468 $abc$32112$n917 +.sym 11469 $abc$32112$n914_1 +.sym 11472 $abc$32112$n871 +.sym 11473 $abc$32112$n874 +.sym 11474 $abc$32112$n890 +.sym 11475 $false +.sym 11478 $abc$32112$n1322_1 +.sym 11479 $abc$32112$n875 +.sym 11480 $abc$32112$n892_1 +.sym 11481 $abc$32112$n914_1 +.sym 11484 $abc$32112$n867_1 +.sym 11485 $abc$32112$n945 +.sym 11486 $abc$32112$n19 +.sym 11487 $abc$32112$n944 +.sym 11490 $abc$32112$n19 +.sym 11491 $abc$32112$n1323_1 +.sym 11492 $abc$32112$n923 +.sym 11493 $false +.sym 11494 $abc$32112$n376 +.sym 11495 CLK$2$2 +.sym 11496 $false +.sym 11497 $abc$32112$n947 +.sym 11498 $abc$32112$n948 +.sym 11499 $abc$32112$n911 +.sym 11500 $abc$32112$n900 +.sym 11501 $abc$32112$n912 +.sym 11502 $abc$32112$n865 +.sym 11503 $abc$32112$n901 +.sym 11504 I2C_INPUT_DATA[2][7] +.sym 11571 $abc$32112$n900 +.sym 11572 $abc$32112$n931_1 +.sym 11573 $abc$32112$n864_1 +.sym 11574 $abc$32112$n19 +.sym 11577 $abc$32112$n899 +.sym 11578 $abc$32112$n891 +.sym 11579 $abc$32112$n897 +.sym 11580 $abc$32112$n865 +.sym 11583 $abc$32112$n923 +.sym 11584 $abc$32112$n924 +.sym 11585 $false +.sym 11586 $false +.sym 11589 $abc$32112$n926 +.sym 11590 $abc$32112$n922 +.sym 11591 $abc$32112$n913 +.sym 11592 $false +.sym 11595 $abc$32112$n901 +.sym 11596 $abc$32112$n897 +.sym 11597 $abc$32112$n922 +.sym 11598 $abc$32112$n1312 +.sym 11601 $abc$32112$n913 +.sym 11602 $abc$32112$n894 +.sym 11603 $abc$32112$n901 +.sym 11604 $abc$32112$n19 +.sym 11607 $abc$32112$n892_1 +.sym 11608 $abc$32112$n894 +.sym 11609 $false +.sym 11610 $false +.sym 11613 $abc$32112$n914_1 +.sym 11614 $abc$32112$n948 +.sym 11615 $abc$32112$n1312 +.sym 11616 $false +.sym 11617 $abc$32112$n376 +.sym 11618 CLK$2$2 +.sym 11619 $abc$32112$n19 +.sym 11620 $abc$32112$n658 +.sym 11621 $abc$32112$n970 +.sym 11622 $abc$32112$n669 +.sym 11623 $abc$32112$n673 +.sym 11624 $abc$32112$n670 +.sym 11625 I2C_INPUT_DATA[2][4] +.sym 11626 I2C_INPUT_DATA[2][2] +.sym 11627 I2C_INPUT_DATA[2][0] +.sym 11694 I2C_INPUT_DATA[2][6] +.sym 11695 I2C_INPUT_DATA[2][7] +.sym 11696 $false +.sym 11697 $false +.sym 11700 I2C_INPUT_DATA[2][1] +.sym 11701 I2C_INPUT_DATA[2][0] +.sym 11702 I2C_INPUT_DATA[2][2] +.sym 11703 I2C_INPUT_DATA[2][3] +.sym 11706 $abc$32112$n660 +.sym 11707 $abc$32112$n661 +.sym 11708 $abc$32112$n662 +.sym 11709 $abc$32112$n663 +.sym 11712 UART_TX_DATA[1] +.sym 11713 UART_TX_DATA[3] +.sym 11714 $abc$32112$n535 +.sym 11715 $false +.sym 11718 I2C_INPUT_DATA[2][2] +.sym 11719 I2C_INPUT_DATA[2][3] +.sym 11720 $abc$32112$n663 +.sym 11721 $abc$32112$n672 +.sym 11724 $abc$32112$n999 +.sym 11725 I2C.received_byte[7] +.sym 11726 I2C.is_read +.sym 11727 $false +.sym 11730 $abc$32112$n987 +.sym 11731 I2C.received_byte[1] +.sym 11732 I2C.is_read +.sym 11733 $false +.sym 11736 $abc$32112$n991_1 +.sym 11737 I2C.received_byte[3] +.sym 11738 I2C.is_read +.sym 11739 $false +.sym 11740 $abc$32112$n409 +.sym 11741 CLK$2$2 +.sym 11742 $abc$32112$n25 +.sym 11743 $abc$32112$n645 +.sym 11744 $0\uart_double_ff[0:0] +.sym 11745 $abc$32112$n969_1 +.sym 11746 $abc$32112$n967 +.sym 11747 $abc$32112$n542 +.sym 11748 last_trans +.sym 11750 last_uart_active +.sym 11823 $abc$32112$n409 +.sym 11824 $abc$32112$n25 +.sym 11825 $false +.sym 11826 $false +.sym 11829 UART_TX_DATA[0] +.sym 11830 UART_TX_DATA[2] +.sym 11831 $abc$32112$n535 +.sym 11832 $false +.sym 11835 $0\uart_double_ff[0:0] +.sym 11836 $abc$32112$n25 +.sym 11837 $false +.sym 11838 $false +.sym 11841 $abc$32112$n972_1 +.sym 11842 I2C_INPUT_DATA[0][0] +.sym 11843 $abc$32112$n974_1 +.sym 11844 $abc$32112$n969_1 +.sym 11847 I2C.wr +.sym 11848 last_wr +.sym 11849 $false +.sym 11850 $false +.sym 11853 $abc$32112$n989 +.sym 11854 I2C.received_byte[2] +.sym 11855 I2C.is_read +.sym 11856 $false +.sym 11859 $abc$32112$n985 +.sym 11860 I2C.received_byte[0] +.sym 11861 I2C.is_read +.sym 11862 $false +.sym 11863 $abc$32112$n409 +.sym 11864 CLK$2$2 +.sym 11865 $abc$32112$n25 +.sym 11866 $abc$32112$n640 +.sym 11867 $abc$32112$n631_1 +.sym 11868 $abc$32112$n405 +.sym 11869 $abc$32112$n632 +.sym 11870 $abc$32112$n5 +.sym 11871 $abc$32112$n634 +.sym 11872 $abc$32112$n676 +.sym 11873 UART_WR +.sym 11940 I2C_OUTPUT_TYPE[1] +.sym 11941 $abc$32112$n967 +.sym 11942 $abc$32112$n971_1 +.sym 11943 $abc$32112$n969_1 +.sym 11946 $abc$32112$n967 +.sym 11947 $abc$32112$n972_1 +.sym 11948 I2C_OUTPUT_TYPE[0] +.sym 11949 $abc$32112$n977_1 +.sym 11952 $abc$32112$n978 +.sym 11953 $abc$32112$n1271_1 +.sym 11954 $abc$32112$n966 +.sym 11955 $abc$32112$n542 +.sym 11958 $abc$32112$n972_1 +.sym 11959 I2C_OUTPUT_TYPE[1] +.sym 11960 $abc$32112$n676 +.sym 11961 $abc$32112$n974_1 +.sym 11964 $abc$32112$n972_1 +.sym 11965 $abc$32112$n974_1 +.sym 11966 $abc$32112$n969_1 +.sym 11967 $false +.sym 11970 $abc$32112$n967 +.sym 11971 $abc$32112$n979 +.sym 11972 I2C_OUTPUT_TYPE[2] +.sym 11973 $false +.sym 11976 $abc$32112$n1271_1 +.sym 11977 $abc$32112$n542 +.sym 11978 $false +.sym 11979 $false +.sym 11982 $abc$32112$n978 +.sym 11983 $abc$32112$n542 +.sym 11984 $false +.sym 11985 $false +.sym 11986 $abc$32112$n435$2 +.sym 11987 CLK$2$2 +.sym 11988 $0\KBD_FREEZE[0:0]$2 +.sym 11989 $abc$32112$n2130 +.sym 11990 $abc$32112$n2132 +.sym 11991 $abc$32112$n1572 +.sym 11992 $abc$32112$n666 +.sym 11994 $abc$32112$n1292_1 +.sym 11995 $abc$32112$n1291_1 +.sym 11996 uart_double_ff +.sym 12063 I2C_OUTPUT_TYPE[2] +.sym 12064 I2C_OUTPUT_TYPE[1] +.sym 12065 I2C_OUTPUT_TYPE[0] +.sym 12066 $false +.sym 12075 I2C_OUTPUT_TYPE[2] +.sym 12076 $abc$32112$n2169 +.sym 12077 I2C_OUTPUT_TYPE[0] +.sym 12078 I2C_OUTPUT_TYPE[1] +.sym 12081 $abc$32112$n630_1 +.sym 12082 $abc$32112$n1712 +.sym 12083 $false +.sym 12084 $false +.sym 12087 I2C.byte_counter[1] +.sym 12088 I2C.byte_counter[0] +.sym 12089 $abc$32112$n630_1 +.sym 12090 $false +.sym 12093 $abc$32112$n630_1 +.sym 12094 $abc$32112$n1714 +.sym 12095 $false +.sym 12096 $false +.sym 12099 $abc$32112$n630_1 +.sym 12100 $abc$32112$n1710 +.sym 12101 $false +.sym 12102 $false +.sym 12109 $abc$32112$n424 +.sym 12110 CLK$2$2 +.sym 12111 $0\KBD_FREEZE[0:0]$2 +.sym 12112 $abc$32112$n2135 +.sym 12113 $abc$32112$n2133 +.sym 12114 $abc$32112$n2136 +.sym 12115 $abc$32112$n2134 +.sym 12116 $abc$32112$n667 +.sym 12117 I2C_INPUT_LEN[5] +.sym 12118 I2C_INPUT_LEN[7] +.sym 12119 I2C_INPUT_LEN[6] +.sym 12148 $true +.sym 12185 I2C.byte_counter[0]$2 +.sym 12186 $false +.sym 12187 I2C.byte_counter[0] +.sym 12188 $false +.sym 12189 $false +.sym 12191 $auto$alumacc.cc:470:replace_alu$4599.C[2] +.sym 12193 I2C.byte_counter[1] +.sym 12194 $true$2 +.sym 12197 $auto$alumacc.cc:470:replace_alu$4599.C[3] +.sym 12198 $false +.sym 12199 I2C.byte_counter[2] +.sym 12200 $true$2 +.sym 12201 $auto$alumacc.cc:470:replace_alu$4599.C[2] +.sym 12203 $auto$alumacc.cc:470:replace_alu$4599.C[4] +.sym 12204 $false +.sym 12205 I2C.byte_counter[3] +.sym 12206 $true$2 +.sym 12207 $auto$alumacc.cc:470:replace_alu$4599.C[3] +.sym 12209 $auto$alumacc.cc:470:replace_alu$4599.C[5] +.sym 12210 $false +.sym 12211 I2C.byte_counter[4] +.sym 12212 $true$2 +.sym 12213 $auto$alumacc.cc:470:replace_alu$4599.C[4] +.sym 12215 $auto$alumacc.cc:470:replace_alu$4599.C[6] +.sym 12216 $false +.sym 12217 I2C.byte_counter[5] +.sym 12218 $true$2 +.sym 12219 $auto$alumacc.cc:470:replace_alu$4599.C[5] +.sym 12221 $auto$alumacc.cc:470:replace_alu$4599.C[7] +.sym 12222 $false +.sym 12223 I2C.byte_counter[6] +.sym 12224 $true$2 +.sym 12225 $auto$alumacc.cc:470:replace_alu$4599.C[6] +.sym 12228 $false +.sym 12229 I2C.byte_counter[7] +.sym 12230 $true$2 +.sym 12231 $auto$alumacc.cc:470:replace_alu$4599.C[7] +.sym 12309 $abc$32112$n839 +.sym 12410 $abc$32112$n549 +.sym 12411 $abc$32112$n550 +.sym 12412 $abc$32112$n551 +.sym 12413 $abc$32112$n552 +.sym 12416 KEYBOARD.row_time[0] +.sym 12417 $abc$32112$n565 +.sym 12418 KEYBOARD.row_time[1] +.sym 12419 $false +.sym 12422 KEYBOARD.row_time[0] +.sym 12423 KEYBOARD.row_time[1] +.sym 12424 KEYBOARD.row_time[11] +.sym 12425 KEYBOARD.row_time[7] +.sym 12428 KEYBOARD.row_time[3] +.sym 12429 KEYBOARD.row_time[2] +.sym 12430 KEYBOARD.row_time[5] +.sym 12431 KEYBOARD.row_time[6] +.sym 12434 KEYBOARD.row_time[7] +.sym 12435 KEYBOARD.row_time[4] +.sym 12436 KEYBOARD.row_time[5] +.sym 12437 KEYBOARD.row_time[6] +.sym 12440 $abc$32112$n29$2 +.sym 12441 KEYBOARD.row_time[0] +.sym 12442 $abc$32112$n839$2 +.sym 12443 $false +.sym 12446 KEYBOARD.row_time[0] +.sym 12447 KEYBOARD.row_time[1] +.sym 12448 $abc$32112$n565 +.sym 12449 $false +.sym 12452 KEYBOARD.row_time[1] +.sym 12453 $false +.sym 12454 $false +.sym 12455 $false +.sym 12456 $abc$32112$n838 +.sym 12457 CLK$2$2 +.sym 12458 $abc$32112$n29$2 +.sym 12463 $abc$32112$n1984 +.sym 12464 $abc$32112$n582 +.sym 12465 $abc$32112$n1137 +.sym 12466 $abc$32112$n563 +.sym 12467 $abc$32112$n575 +.sym 12468 $abc$32112$n826 +.sym 12469 I2C_INPUT_DATA[5][3] +.sym 12470 I2C_INPUT_DATA[5][4] +.sym 12573 KEYBOARD.row_time[3] +.sym 12574 KEYBOARD.row_time[2] +.sym 12575 $abc$32112$n566 +.sym 12576 $abc$32112$n583 +.sym 12579 I2C.FLT_SCL.RESET +.sym 12580 $abc$32112$n10 +.sym 12581 $false +.sym 12582 $false +.sym 12591 KEYBOARD.row_time[11] +.sym 12592 KEYBOARD.row_time[13] +.sym 12593 KEYBOARD.row_time[8] +.sym 12594 KEYBOARD.row_time[12] +.sym 12597 $abc$32112$n551 +.sym 12598 $abc$32112$n567 +.sym 12599 $false +.sym 12600 $false +.sym 12603 KEYBOARD.row_time[14] +.sym 12604 KEYBOARD.row_time[15] +.sym 12605 KEYBOARD.row_time[9] +.sym 12606 KEYBOARD.row_time[10] +.sym 12609 KEYBOARD.row_time[4] +.sym 12610 KEYBOARD.row_time[8] +.sym 12611 KEYBOARD.row_time[12] +.sym 12612 KEYBOARD.row_time[13] +.sym 12615 $false +.sym 12616 $true$2 +.sym 12617 KEYBOARD.row_time[0] +.sym 12618 $false +.sym 12619 $abc$32112$n839$2 +.sym 12620 CLK$2$2 +.sym 12621 $abc$32112$n29$2 +.sym 12622 $abc$32112$n1387 +.sym 12623 $abc$32112$n1134 +.sym 12624 $abc$32112$n1275_1 +.sym 12625 $abc$32112$n1383 +.sym 12626 I2C.byte_counter[0] +.sym 12627 I2C.byte_counter[3] +.sym 12628 I2C.byte_counter[7] +.sym 12629 I2C.byte_counter[1] +.sym 12696 $abc$32112$n1988 +.sym 12697 $abc$32112$n1128 +.sym 12698 $abc$32112$n1389 +.sym 12699 $abc$32112$n1127 +.sym 12702 $abc$32112$n1990 +.sym 12703 $abc$32112$n1135 +.sym 12704 I2C.byte_counter[6] +.sym 12705 $abc$32112$n691 +.sym 12708 $abc$32112$n1128 +.sym 12709 $abc$32112$n1127 +.sym 12710 $false +.sym 12711 $false +.sym 12714 $abc$32112$n691 +.sym 12715 $abc$32112$n1127 +.sym 12716 $false +.sym 12717 $false +.sym 12726 $abc$32112$n1817 +.sym 12727 I2C.byte_counter[4] +.sym 12728 $false +.sym 12729 $false +.sym 12732 KEYBOARD.COLS_SHADOW[0] +.sym 12733 $false +.sym 12734 $false +.sym 12735 $false +.sym 12742 $abc$32112$n770 +.sym 12743 CLK$2$2 +.sym 12744 $0\KBD_FREEZE[0:0]$2 +.sym 12745 $abc$32112$n785 +.sym 12746 $abc$32112$n1286_1 +.sym 12747 $abc$32112$n1393 +.sym 12749 $abc$32112$n1130 +.sym 12750 $abc$32112$n1129 +.sym 12751 $abc$32112$n1128 +.sym 12752 KEYBOARD.last_data[6] +.sym 12825 $abc$32112$n585 +.sym 12826 $abc$32112$n10 +.sym 12827 $abc$32112$n591 +.sym 12828 I2C.FLT_SCL.RESET +.sym 12831 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 12832 I2C.byte_counter[1] +.sym 12833 I2C.byte_counter[2] +.sym 12834 $abc$32112$n1182 +.sym 12843 $abc$32112$n1182 +.sym 12844 I2C.byte_counter[1] +.sym 12845 I2C.byte_counter[2] +.sym 12846 $0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.sym 12855 $abc$32112$n569 +.sym 12856 $abc$32112$n10 +.sym 12857 $abc$32112$n591 +.sym 12858 I2C.FLT_SCL.RESET +.sym 12861 KEYBOARD.COLS_SHADOW[0] +.sym 12862 $false +.sym 12863 $false +.sym 12864 $false +.sym 12865 $abc$32112$n795 +.sym 12866 CLK$2$2 +.sym 12867 $0\KBD_FREEZE[0:0]$2 +.sym 12868 $abc$32112$n726 +.sym 12869 $abc$32112$n1287 +.sym 12870 $abc$32112$n597 +.sym 12871 $abc$32112$n595_1 +.sym 12872 $abc$32112$n1285_1 +.sym 12873 $abc$32112$n749 +.sym 12874 $abc$32112$n724 +.sym 12875 KEYBOARD.last_data[2] +.sym 12942 KEYBOARD.last_data[12] +.sym 12943 KEYBOARD.last_data[4] +.sym 12944 KEYBOARD.row_counter[1] +.sym 12945 $false +.sym 12954 KEYBOARD.last_data[8] +.sym 12955 KEYBOARD.last_data[0] +.sym 12956 KEYBOARD.row_counter[1] +.sym 12957 $false +.sym 12960 $abc$32112$n1289_1 +.sym 12961 $abc$32112$n1288_1 +.sym 12962 KEYBOARD.row_counter[0] +.sym 12963 $false +.sym 12966 $abc$32112$n598 +.sym 12967 $abc$32112$n10 +.sym 12968 $abc$32112$n591 +.sym 12969 I2C.FLT_SCL.RESET +.sym 12972 I2C.byte_counter[3] +.sym 12973 I2C.byte_counter[2] +.sym 12974 $false +.sym 12975 $false +.sym 12978 KEYBOARD.COLS_SHADOW[0] +.sym 12979 $false +.sym 12980 $false +.sym 12981 $false +.sym 12988 $abc$32112$n816 +.sym 12989 CLK$2$2 +.sym 12990 $0\KBD_FREEZE[0:0]$2 +.sym 12991 $abc$32112$n671 +.sym 12992 $abc$32112$n806 +.sym 12993 $abc$32112$n729 +.sym 12994 $abc$32112$n584 +.sym 12995 $abc$32112$n728 +.sym 12996 $abc$32112$n723 +.sym 12997 $abc$32112$n727 +.sym 12998 KEYBOARD.last_data[10] +.sym 13077 I2C.FLT_SCL.out +.sym 13078 I2C.FLT_SDA.out +.sym 13079 $abc$32112$n16 +.sym 13080 I2C.wr +.sym 13083 $abc$32112$n718 +.sym 13084 $abc$32112$n839$2 +.sym 13085 $false +.sym 13086 $false +.sym 13089 I2C.FLT_SCL.out +.sym 13090 $abc$32112$n16 +.sym 13091 I2C.SDA_DIR +.sym 13092 I2C.FLT_SDA.out +.sym 13095 $abc$32112$n596 +.sym 13096 $abc$32112$n10 +.sym 13097 $abc$32112$n591 +.sym 13098 I2C.FLT_SCL.RESET +.sym 13101 $abc$32112$n569 +.sym 13102 $abc$32112$n1290 +.sym 13103 KEYBOARD.COLS_SHADOW[0] +.sym 13104 $abc$32112$n591 +.sym 13107 KEYBOARD.COLS_SHADOW[0] +.sym 13108 $false +.sym 13109 $false +.sym 13110 $false +.sym 13111 $abc$32112$n732 +.sym 13112 CLK$2$2 +.sym 13113 $0\KBD_FREEZE[0:0]$2 +.sym 13115 $abc$32112$n779 +.sym 13117 $abc$32112$n1281_1 +.sym 13118 $abc$32112$n743 +.sym 13119 $abc$32112$n1279 +.sym 13120 $abc$32112$n823 +.sym 13121 KEYBOARD.last_data[1] +.sym 13194 I2C.FLT_SCL.out +.sym 13195 I2C.FLT_SDA.out +.sym 13196 $abc$32112$n16 +.sym 13197 I2C.SDA_DIR +.sym 13200 I2C.FLT_SDA.out +.sym 13201 $abc$32112$n16 +.sym 13202 I2C.FLT_SCL.out +.sym 13203 $false +.sym 13206 I2C.FLT_SCL.out +.sym 13207 I2C.FLT_SDA.out +.sym 13208 $abc$32112$n16 +.sym 13209 I2C.i2c_state_machine +.sym 13230 I2C.FLT_SDA.out +.sym 13231 $false +.sym 13232 $false +.sym 13233 $false +.sym 13234 $abc$32112$n539 +.sym 13235 CLK$2$2 +.sym 13236 $false +.sym 13237 $abc$32112$n878_1 +.sym 13238 $abc$32112$n1254 +.sym 13240 $abc$32112$n871 +.sym 13241 $abc$32112$n1252_1 +.sym 13242 $abc$32112$n1280_1 +.sym 13243 $abc$32112$n630 +.sym 13244 KEYBOARD.last_data[5] +.sym 13311 I2C.byte_counter[1] +.sym 13312 I2C.byte_counter[3] +.sym 13313 I2C.byte_counter[0] +.sym 13314 I2C.byte_counter[2] +.sym 13317 I2C.i2c_start_latency +.sym 13318 I2C.i2c_state_machine +.sym 13319 $abc$32112$n1817 +.sym 13320 $false +.sym 13323 I2C.byte_counter[2] +.sym 13324 I2C.byte_counter[1] +.sym 13325 $false +.sym 13326 $false +.sym 13329 I2C.byte_counter[0] +.sym 13330 I2C.byte_counter[1] +.sym 13331 $false +.sym 13332 $false +.sym 13335 I2C.byte_counter[3] +.sym 13336 I2C.byte_counter[0] +.sym 13337 $abc$32112$n882 +.sym 13338 $false +.sym 13341 I2C.i2c_state_machine +.sym 13342 I2C.i2c_start_latency +.sym 13343 $false +.sym 13344 $false +.sym 13347 I2C.i2c_start_latency +.sym 13348 $abc$32112$n1817 +.sym 13349 I2C.i2c_state_machine +.sym 13350 I2C.is_read +.sym 13353 $abc$32112$n1817 +.sym 13354 $false +.sym 13355 $false +.sym 13356 $false +.sym 13357 $abc$32112$n580 +.sym 13358 CLK$2$2 +.sym 13359 $false +.sym 13360 $abc$32112$n896 +.sym 13361 $abc$32112$n873 +.sym 13362 $abc$32112$n884 +.sym 13363 $abc$32112$n907 +.sym 13364 $abc$32112$n1312 +.sym 13366 $abc$32112$n889 +.sym 13367 $abc$32112$n1311 +.sym 13434 $abc$32112$n895 +.sym 13435 $abc$32112$n885_1 +.sym 13436 $abc$32112$n869 +.sym 13437 $abc$32112$n879 +.sym 13440 $abc$32112$n896 +.sym 13441 $abc$32112$n903 +.sym 13442 $abc$32112$n878_1 +.sym 13443 $abc$32112$n904_1 +.sym 13446 $abc$32112$n873 +.sym 13447 $abc$32112$n877 +.sym 13448 $false +.sym 13449 $false +.sym 13452 I2C.byte_counter[1] +.sym 13453 I2C.byte_counter[0] +.sym 13454 $abc$32112$n873 +.sym 13455 $false +.sym 13458 $abc$32112$n888 +.sym 13459 $abc$32112$n869 +.sym 13460 $abc$32112$n889 +.sym 13461 $abc$32112$n887 +.sym 13464 $abc$32112$n877 +.sym 13465 $abc$32112$n890 +.sym 13466 $abc$32112$n888 +.sym 13467 $false +.sym 13470 $abc$32112$n869 +.sym 13471 $abc$32112$n871 +.sym 13472 $false +.sym 13473 $false +.sym 13476 $abc$32112$n881 +.sym 13477 $abc$32112$n888 +.sym 13478 $abc$32112$n871 +.sym 13479 $false +.sym 13483 $abc$32112$n874 +.sym 13484 $abc$32112$n883 +.sym 13485 $abc$32112$n885_1 +.sym 13486 $abc$32112$n927_1 +.sym 13487 $abc$32112$n870 +.sym 13488 $abc$32112$n926 +.sym 13489 $abc$32112$n909 +.sym 13490 $abc$32112$n869 +.sym 13557 $abc$32112$n890 +.sym 13558 $abc$32112$n874 +.sym 13559 $abc$32112$n883 +.sym 13560 $abc$32112$n869 +.sym 13563 $abc$32112$n869 +.sym 13564 $abc$32112$n909 +.sym 13565 $abc$32112$n919 +.sym 13566 $false +.sym 13569 $abc$32112$n881 +.sym 13570 $abc$32112$n883 +.sym 13571 $false +.sym 13572 $false +.sym 13575 $abc$32112$n868_1 +.sym 13576 $abc$32112$n872 +.sym 13577 $false +.sym 13578 $false +.sym 13581 $abc$32112$n880 +.sym 13582 $abc$32112$n885_1 +.sym 13583 $abc$32112$n867_1 +.sym 13584 $abc$32112$n875 +.sym 13587 $abc$32112$n883 +.sym 13588 $abc$32112$n878_1 +.sym 13589 $abc$32112$n872 +.sym 13590 $abc$32112$n885_1 +.sym 13593 $abc$32112$n911 +.sym 13594 $abc$32112$n924 +.sym 13595 $abc$32112$n928_1 +.sym 13596 $abc$32112$n918 +.sym 13599 $abc$32112$n873 +.sym 13600 $abc$32112$n874 +.sym 13601 $false +.sym 13602 $false +.sym 13607 $abc$32112$n908_1 +.sym 13608 $abc$32112$n906 +.sym 13609 $abc$32112$n925_1 +.sym 13611 $abc$32112$n905 +.sym 13612 $abc$32112$n910 +.sym 13613 KEYBOARD.isr +.sym 13680 $abc$32112$n865 +.sym 13681 $abc$32112$n912 +.sym 13682 $abc$32112$n902 +.sym 13683 $abc$32112$n948 +.sym 13686 $abc$32112$n899 +.sym 13687 $abc$32112$n924 +.sym 13688 $false +.sym 13689 $false +.sym 13692 $abc$32112$n885_1 +.sym 13693 $abc$32112$n890 +.sym 13694 I2C.byte_counter[0] +.sym 13695 $false +.sym 13698 $abc$32112$n911 +.sym 13699 $abc$32112$n905 +.sym 13700 $abc$32112$n901 +.sym 13701 $false +.sym 13704 $abc$32112$n913 +.sym 13705 $abc$32112$n918 +.sym 13706 $false +.sym 13707 $false +.sym 13710 $abc$32112$n866 +.sym 13711 $abc$32112$n886_1 +.sym 13712 $false +.sym 13713 $false +.sym 13716 $abc$32112$n903 +.sym 13717 $abc$32112$n885_1 +.sym 13718 $abc$32112$n902 +.sym 13719 $false +.sym 13722 I2C.received_byte[7] +.sym 13723 $false +.sym 13724 $false +.sym 13725 $false +.sym 13726 $abc$32112$n2241 +.sym 13727 CLK$2$2 +.sym 13728 $false +.sym 13730 $abc$32112$n1014_1 +.sym 13731 $abc$32112$n1013 +.sym 13732 $abc$32112$n663 +.sym 13733 I2C_INPUT_DATA[3][3] +.sym 13734 I2C_INPUT_DATA[3][2] +.sym 13735 I2C_INPUT_DATA[3][1] +.sym 13736 I2C_INPUT_DATA[3][0] +.sym 13803 I2C_INPUT_DATA[2][4] +.sym 13804 I2C_INPUT_DATA[2][5] +.sym 13805 $abc$32112$n659 +.sym 13806 I2C_INPUT_DATA[3][1] +.sym 13809 I2C_INPUT_DATA[2][5] +.sym 13810 I2C_INPUT_DATA[3][0] +.sym 13811 $abc$32112$n659 +.sym 13812 I2C_INPUT_DATA[2][4] +.sym 13815 $abc$32112$n648 +.sym 13816 $abc$32112$n670 +.sym 13817 $abc$32112$n671_1 +.sym 13818 $abc$32112$n673 +.sym 13821 I2C_INPUT_DATA[3][0] +.sym 13822 I2C_INPUT_DATA[3][1] +.sym 13823 I2C_INPUT_DATA[2][0] +.sym 13824 $false +.sym 13827 I2C_INPUT_DATA[2][5] +.sym 13828 I2C_INPUT_DATA[2][4] +.sym 13829 $abc$32112$n661 +.sym 13830 $abc$32112$n662 +.sym 13833 I2C.received_byte[4] +.sym 13834 $false +.sym 13835 $false +.sym 13836 $false +.sym 13839 I2C.received_byte[2] +.sym 13840 $false +.sym 13841 $false +.sym 13842 $false +.sym 13845 I2C.received_byte[0] +.sym 13846 $false +.sym 13847 $false +.sym 13848 $false +.sym 13849 $abc$32112$n2241 +.sym 13850 CLK$2$2 +.sym 13851 $false +.sym 13852 $abc$32112$n664 +.sym 13853 $abc$32112$n674 +.sym 13854 $abc$32112$n1015_1 +.sym 13855 $abc$32112$n665 +.sym 13856 $abc$32112$n1012 +.sym 13857 $abc$32112$n646 +.sym 13858 $abc$32112$n1270 +.sym 13859 last_wr +.sym 13926 $abc$32112$n646 +.sym 13927 $abc$32112$n658 +.sym 13928 I2C_INPUT_DATA[3][0] +.sym 13929 $false +.sym 13932 last_trans +.sym 13933 I2C_TRANS +.sym 13934 $false +.sym 13935 $false +.sym 13938 I2C_INPUT_LEN[2] +.sym 13939 I2C_INPUT_LEN[0] +.sym 13940 $abc$32112$n666 +.sym 13941 I2C_INPUT_LEN[1] +.sym 13944 $abc$32112$n1270 +.sym 13945 $abc$32112$n970 +.sym 13946 $abc$32112$n969_1 +.sym 13947 $false +.sym 13950 I2C_TRANS +.sym 13951 last_trans +.sym 13952 $false +.sym 13953 $false +.sym 13956 I2C_TRANS +.sym 13957 $false +.sym 13958 $false +.sym 13959 $false +.sym 13968 UART.tx_activity +.sym 13969 $false +.sym 13970 $false +.sym 13971 $false +.sym 13972 I2C.FLT_SCL.RESET +.sym 13973 CLK$2$2 +.sym 13974 $false +.sym 13975 $abc$32112$n396 +.sym 13976 $abc$32112$n678_1 +.sym 13977 $abc$32112$n682 +.sym 13978 $abc$32112$n675 +.sym 13979 $abc$32112$n677 +.sym 13980 $abc$32112$n435 +.sym 13981 $abc$32112$n627 +.sym 13982 LED1$2 +.sym 14049 $abc$32112$n631_1 +.sym 14050 $abc$32112$n632 +.sym 14051 $abc$32112$n634 +.sym 14052 $false +.sym 14055 $abc$32112$n542 +.sym 14056 $abc$32112$n630_1 +.sym 14057 I2C.FLT_SCL.RESET +.sym 14058 $abc$32112$n628 +.sym 14061 $abc$32112$n634 +.sym 14062 $abc$32112$n637 +.sym 14063 $false +.sym 14064 $false +.sym 14067 UART.tx_activity +.sym 14068 last_uart_active +.sym 14069 uart_double_ff +.sym 14070 $false +.sym 14073 $abc$32112$n542 +.sym 14074 I2C.FLT_SCL.RESET +.sym 14075 $false +.sym 14076 $false +.sym 14079 $abc$32112$n5 +.sym 14080 I2C.wr +.sym 14081 last_wr +.sym 14082 $false +.sym 14085 I2C_INPUT_LEN[2] +.sym 14086 I2C_INPUT_LEN[0] +.sym 14087 I2C_INPUT_LEN[1] +.sym 14088 $abc$32112$n666 +.sym 14091 $abc$32112$n632 +.sym 14092 $abc$32112$n628 +.sym 14093 $false +.sym 14094 $false +.sym 14095 $abc$32112$n405 +.sym 14096 CLK$2$2 +.sym 14097 $0\KBD_FREEZE[0:0]$2 +.sym 14102 $abc$32112$n2002 +.sym 14103 $abc$32112$n2131 +.sym 14104 $abc$32112$n1706 +.sym 14105 I2C_INPUT_LEN[0] +.sym 14172 I2C_INPUT_LEN[1] +.sym 14173 $false +.sym 14174 $false +.sym 14175 $false +.sym 14178 I2C_INPUT_LEN[3] +.sym 14179 $false +.sym 14180 $false +.sym 14181 $false +.sym 14184 $false +.sym 14185 $false +.sym 14186 $abc$32112$n2140 +.sym 14187 $true$2 +.sym 14190 I2C_INPUT_LEN[3] +.sym 14191 $abc$32112$n667 +.sym 14192 $false +.sym 14193 $false +.sym 14202 I2C_INPUT_LEN[1] +.sym 14203 I2C_INPUT_LEN[0] +.sym 14204 $abc$32112$n1291_1 +.sym 14205 $abc$32112$n667 +.sym 14208 $abc$32112$n645 +.sym 14209 $abc$32112$n669 +.sym 14210 I2C_INPUT_LEN[2] +.sym 14211 I2C_INPUT_LEN[3] +.sym 14214 $0\uart_double_ff[0:0] +.sym 14215 $false +.sym 14216 $false +.sym 14217 $false +.sym 14218 $abc$32112$n505 +.sym 14219 CLK$2$2 +.sym 14220 $false +.sym 14228 $abc$32112$n2169 +.sym 14295 I2C_INPUT_LEN[6] +.sym 14296 $false +.sym 14297 $false +.sym 14298 $false +.sym 14301 I2C_INPUT_LEN[4] +.sym 14302 $false +.sym 14303 $false +.sym 14304 $false +.sym 14307 I2C_INPUT_LEN[7] +.sym 14308 $false +.sym 14309 $false +.sym 14310 $false +.sym 14313 I2C_INPUT_LEN[5] +.sym 14314 $false +.sym 14315 $false +.sym 14316 $false +.sym 14319 I2C_INPUT_LEN[4] +.sym 14320 I2C_INPUT_LEN[5] +.sym 14321 I2C_INPUT_LEN[6] +.sym 14322 I2C_INPUT_LEN[7] +.sym 14325 $abc$32112$n630_1 +.sym 14326 $abc$32112$n1716 +.sym 14327 $false +.sym 14328 $false +.sym 14331 $abc$32112$n630_1 +.sym 14332 $abc$32112$n1720 +.sym 14333 $false +.sym 14334 $false +.sym 14337 $abc$32112$n630_1 +.sym 14338 $abc$32112$n1718 +.sym 14339 $false +.sym 14340 $false +.sym 14341 $abc$32112$n424 +.sym 14342 CLK$2$2 +.sym 14343 $0\KBD_FREEZE[0:0]$2 +.sym 14388 $abc$32112$n992 +.sym 14418 $abc$32112$n29 +.sym 14445 I2C_INPUT_DATA[4][5] +.sym 14450 I2C_INPUT_DATA[4][7] +.sym 14574 $abc$32112$n1986 +.sym 14575 $abc$32112$n1987 +.sym 14576 $abc$32112$n1988 +.sym 14577 $abc$32112$n1989 +.sym 14578 $abc$32112$n1990 +.sym 14579 $abc$32112$n1991 +.sym 14682 $false +.sym 14683 $true$2 +.sym 14684 $abc$32112$n1383 +.sym 14685 $false +.sym 14688 KEYBOARD.row_time[3] +.sym 14689 $abc$32112$n583 +.sym 14690 $abc$32112$n566 +.sym 14691 KEYBOARD.row_time[2] +.sym 14694 $abc$32112$n1987 +.sym 14695 $abc$32112$n1387 +.sym 14696 $abc$32112$n1135 +.sym 14697 $false +.sym 14700 KEYBOARD.row_time[3] +.sym 14701 KEYBOARD.row_time[2] +.sym 14702 $abc$32112$n564 +.sym 14703 $abc$32112$n566 +.sym 14706 KEYBOARD.row_time[3] +.sym 14707 $abc$32112$n566 +.sym 14708 $abc$32112$n564 +.sym 14709 KEYBOARD.row_time[2] +.sym 14712 KEYBOARD.row_time[3] +.sym 14713 $abc$32112$n566 +.sym 14714 $abc$32112$n564 +.sym 14715 KEYBOARD.row_time[2] +.sym 14718 I2C.received_byte[3] +.sym 14719 $false +.sym 14720 $false +.sym 14721 $false +.sym 14724 I2C.received_byte[4] +.sym 14725 $false +.sym 14726 $false +.sym 14727 $false +.sym 14728 $abc$32112$n2231 +.sym 14729 CLK$2$2 +.sym 14730 $false +.sym 14731 $abc$32112$n2150 +.sym 14732 $abc$32112$n2147 +.sym 14733 $abc$32112$n1386 +.sym 14734 $abc$32112$n2145 +.sym 14735 $abc$32112$n1390 +.sym 14736 $abc$32112$n2148 +.sym 14737 I2C.byte_counter[5] +.sym 14738 I2C.byte_counter[2] +.sym 14805 $abc$32112$n1817 +.sym 14806 I2C.byte_counter[3] +.sym 14807 $false +.sym 14808 $false +.sym 14811 $abc$32112$n691 +.sym 14812 $abc$32112$n1135 +.sym 14813 $false +.sym 14814 $false +.sym 14817 $abc$32112$n1128 +.sym 14818 I2C.byte_counter[1] +.sym 14819 I2C.byte_counter[0] +.sym 14820 $false +.sym 14823 $abc$32112$n1817 +.sym 14824 I2C.byte_counter[0] +.sym 14825 $false +.sym 14826 $false +.sym 14829 $abc$32112$n1984 +.sym 14830 $abc$32112$n1128 +.sym 14831 $abc$32112$n1383 +.sym 14832 $abc$32112$n1126 +.sym 14835 $abc$32112$n1137 +.sym 14836 I2C.byte_counter[3] +.sym 14837 $abc$32112$n691 +.sym 14838 $false +.sym 14841 $abc$32112$n1991 +.sym 14842 $abc$32112$n1393 +.sym 14843 $abc$32112$n1134 +.sym 14844 $false +.sym 14847 $abc$32112$n1384 +.sym 14848 $abc$32112$n1275_1 +.sym 14849 $abc$32112$n1126 +.sym 14850 $false +.sym 14851 $true +.sym 14852 CLK$2$2 +.sym 14853 $false +.sym 14854 $abc$32112$n1384 +.sym 14855 $abc$32112$n827 +.sym 14856 $abc$32112$n611 +.sym 14859 $abc$32112$n2095 +.sym 14860 $abc$32112$n2149 +.sym 14861 KEYBOARD.last_data[14] +.sym 14928 $abc$32112$n582 +.sym 14929 $abc$32112$n569 +.sym 14930 $abc$32112$n10 +.sym 14931 I2C.FLT_SCL.RESET +.sym 14934 KEYBOARD.last_data[14] +.sym 14935 KEYBOARD.last_data[6] +.sym 14936 KEYBOARD.row_counter[1] +.sym 14937 $false +.sym 14940 $abc$32112$n1817 +.sym 14941 I2C.byte_counter[7] +.sym 14942 $false +.sym 14943 $false +.sym 14952 I2C.byte_counter[5] +.sym 14953 I2C.byte_counter[4] +.sym 14954 I2C.byte_counter[7] +.sym 14955 $false +.sym 14958 $abc$32112$n1384 +.sym 14959 $abc$32112$n890 +.sym 14960 $abc$32112$n1130 +.sym 14961 I2C.byte_counter[0] +.sym 14964 $abc$32112$n1129 +.sym 14965 $abc$32112$n1392 +.sym 14966 $false +.sym 14967 $false +.sym 14970 KEYBOARD.COLS_SHADOW[2] +.sym 14971 $false +.sym 14972 $false +.sym 14973 $false +.sym 14974 $abc$32112$n785 +.sym 14975 CLK$2$2 +.sym 14976 $0\KBD_FREEZE[0:0]$2 +.sym 14977 $abc$32112$n725 +.sym 14978 $abc$32112$n594 +.sym 14979 $abc$32112$n609 +.sym 14980 $abc$32112$n610 +.sym 14981 $abc$32112$n66 +.sym 14982 $abc$32112$n61 +.sym 14983 $abc$32112$n765 +.sym 14984 KEYBOARD.last_data[3] +.sym 15051 $abc$32112$n1287 +.sym 15052 KEYBOARD.COLS_SHADOW[2] +.sym 15053 KEYBOARD.is_pressed +.sym 15054 $abc$32112$n582 +.sym 15057 $abc$32112$n1286_1 +.sym 15058 $abc$32112$n1285_1 +.sym 15059 KEYBOARD.row_counter[0] +.sym 15060 $false +.sym 15063 $abc$32112$n1287 +.sym 15064 KEYBOARD.COLS_SHADOW[2] +.sym 15065 $abc$32112$n582 +.sym 15066 $abc$32112$n598 +.sym 15069 $abc$32112$n596 +.sym 15070 $abc$32112$n1290 +.sym 15071 KEYBOARD.COLS_SHADOW[0] +.sym 15072 $abc$32112$n591 +.sym 15075 KEYBOARD.last_data[10] +.sym 15076 KEYBOARD.last_data[2] +.sym 15077 KEYBOARD.row_counter[1] +.sym 15078 $false +.sym 15081 $abc$32112$n582 +.sym 15082 $abc$32112$n596 +.sym 15083 $abc$32112$n10 +.sym 15084 I2C.FLT_SCL.RESET +.sym 15087 $abc$32112$n563 +.sym 15088 $abc$32112$n582 +.sym 15089 $abc$32112$n725 +.sym 15090 $abc$32112$n726 +.sym 15093 KEYBOARD.COLS_SHADOW[2] +.sym 15094 $false +.sym 15095 $false +.sym 15096 $false +.sym 15097 $abc$32112$n749 +.sym 15098 CLK$2$2 +.sym 15099 $0\KBD_FREEZE[0:0]$2 +.sym 15100 $abc$32112$n602 +.sym 15101 $abc$32112$n568 +.sym 15102 $abc$32112$n576 +.sym 15103 $abc$32112$n601_1 +.sym 15104 $abc$32112$n69 +.sym 15105 $abc$32112$n554 +.sym 15106 $abc$32112$n63 +.sym 15107 $abc$32112$n60 +.sym 15174 $abc$32112$n724 +.sym 15175 $abc$32112$n727 +.sym 15176 $abc$32112$n729 +.sym 15177 $false +.sym 15180 $abc$32112$n582 +.sym 15181 $abc$32112$n585 +.sym 15182 $abc$32112$n10 +.sym 15183 I2C.FLT_SCL.RESET +.sym 15186 $abc$32112$n1290 +.sym 15187 KEYBOARD.is_pressed +.sym 15188 KEYBOARD.COLS_SHADOW[0] +.sym 15189 $abc$32112$n591 +.sym 15192 $abc$32112$n585 +.sym 15193 $abc$32112$n1290 +.sym 15194 KEYBOARD.COLS_SHADOW[0] +.sym 15195 $abc$32112$n591 +.sym 15198 $abc$32112$n1281_1 +.sym 15199 KEYBOARD.COLS_SHADOW[1] +.sym 15200 KEYBOARD.is_pressed +.sym 15201 $abc$32112$n563 +.sym 15204 $abc$32112$n724 +.sym 15205 $abc$32112$n727 +.sym 15206 $abc$32112$n729 +.sym 15207 $abc$32112$n730 +.sym 15210 $abc$32112$n728 +.sym 15211 $abc$32112$n591 +.sym 15212 $false +.sym 15213 $false +.sym 15216 KEYBOARD.COLS_SHADOW[2] +.sym 15217 $false +.sym 15218 $false +.sym 15219 $false +.sym 15220 $abc$32112$n806 +.sym 15221 CLK$2$2 +.sym 15222 $0\KBD_FREEZE[0:0]$2 +.sym 15223 $abc$32112$n606 +.sym 15224 $abc$32112$n800 +.sym 15225 $abc$32112$n600 +.sym 15226 $abc$32112$n593 +.sym 15227 $abc$32112$n569 +.sym 15228 $abc$32112$n822 +.sym 15229 $abc$32112$n615 +.sym 15230 KEYBOARD.last_data[9] +.sym 15303 $abc$32112$n823 +.sym 15304 $abc$32112$n569 +.sym 15305 I2C.FLT_SCL.RESET +.sym 15306 $false +.sym 15315 $abc$32112$n1280_1 +.sym 15316 $abc$32112$n1279 +.sym 15317 KEYBOARD.row_counter[0] +.sym 15318 $false +.sym 15321 $abc$32112$n823 +.sym 15322 $abc$32112$n596 +.sym 15323 I2C.FLT_SCL.RESET +.sym 15324 $false +.sym 15327 KEYBOARD.last_data[9] +.sym 15328 KEYBOARD.last_data[1] +.sym 15329 KEYBOARD.row_counter[1] +.sym 15330 $false +.sym 15333 $abc$32112$n10 +.sym 15334 $abc$32112$n563 +.sym 15335 $false +.sym 15336 $false +.sym 15339 KEYBOARD.COLS_SHADOW[1] +.sym 15340 $false +.sym 15341 $false +.sym 15342 $false +.sym 15343 $abc$32112$n743 +.sym 15344 CLK$2$2 +.sym 15345 $0\KBD_FREEZE[0:0]$2 +.sym 15346 $abc$32112$n693 +.sym 15347 $abc$32112$n717 +.sym 15348 $abc$32112$n621 +.sym 15349 $abc$32112$n1174 +.sym 15350 $abc$32112$n794 +.sym 15351 KEYBOARD.report[7] +.sym 15352 KEYBOARD.report[6] +.sym 15353 KEYBOARD.report[5] +.sym 15420 I2C.byte_counter[5] +.sym 15421 I2C.byte_counter[6] +.sym 15422 I2C.byte_counter[7] +.sym 15423 I2C.byte_counter[4] +.sym 15426 $abc$32112$n69 +.sym 15427 $abc$32112$n621 +.sym 15428 $abc$32112$n723 +.sym 15429 $false +.sym 15438 I2C.byte_counter[5] +.sym 15439 I2C.byte_counter[4] +.sym 15440 I2C.byte_counter[6] +.sym 15441 I2C.byte_counter[7] +.sym 15444 $abc$32112$n69 +.sym 15445 $abc$32112$n621 +.sym 15446 $abc$32112$n10 +.sym 15447 $false +.sym 15450 KEYBOARD.last_data[13] +.sym 15451 KEYBOARD.last_data[5] +.sym 15452 KEYBOARD.row_counter[1] +.sym 15453 $false +.sym 15456 $abc$32112$n1252_1 +.sym 15457 I2C.FLT_SCL.RESET +.sym 15458 $false +.sym 15459 $false +.sym 15462 KEYBOARD.COLS_SHADOW[1] +.sym 15463 $false +.sym 15464 $false +.sym 15465 $false +.sym 15466 $abc$32112$n779 +.sym 15467 CLK$2$2 +.sym 15468 $0\KBD_FREEZE[0:0]$2 +.sym 15469 $abc$32112$n1059 +.sym 15470 $abc$32112$n1063 +.sym 15471 $abc$32112$n2094 +.sym 15472 $abc$32112$n1073 +.sym 15473 $abc$32112$n720 +.sym 15474 $abc$32112$n1077 +.sym 15475 $abc$32112$n816_1 +.sym 15476 $abc$32112$n806_1 +.sym 15543 I2C.byte_counter[1] +.sym 15544 I2C.byte_counter[3] +.sym 15545 I2C.byte_counter[0] +.sym 15546 I2C.byte_counter[2] +.sym 15549 I2C.byte_counter[2] +.sym 15550 I2C.byte_counter[3] +.sym 15551 $false +.sym 15552 $false +.sym 15555 I2C.byte_counter[1] +.sym 15556 I2C.byte_counter[0] +.sym 15557 $false +.sym 15558 $false +.sym 15561 $abc$32112$n871 +.sym 15562 $abc$32112$n884 +.sym 15563 $false +.sym 15564 $false +.sym 15567 I2C.byte_counter[5] +.sym 15568 I2C.byte_counter[4] +.sym 15569 I2C.byte_counter[7] +.sym 15570 $abc$32112$n1311 +.sym 15579 $abc$32112$n878_1 +.sym 15580 $abc$32112$n890 +.sym 15581 $abc$32112$n884 +.sym 15582 $false +.sym 15585 $abc$32112$n873 +.sym 15586 $abc$32112$n884 +.sym 15587 $abc$32112$n879 +.sym 15588 I2C.byte_counter[6] +.sym 15592 $abc$32112$n1310 +.sym 15593 $abc$32112$n1255 +.sym 15594 $abc$32112$n1259 +.sym 15595 $abc$32112$n770_1 +.sym 15596 $abc$32112$n768 +.sym 15597 $abc$32112$n1257_1 +.sym 15598 $abc$32112$n1278_1 +.sym 15599 $abc$32112$n808 +.sym 15666 I2C.byte_counter[1] +.sym 15667 I2C.byte_counter[0] +.sym 15668 $false +.sym 15669 $false +.sym 15672 I2C.byte_counter[3] +.sym 15673 $abc$32112$n884 +.sym 15674 I2C.byte_counter[2] +.sym 15675 $false +.sym 15678 I2C.byte_counter[4] +.sym 15679 $abc$32112$n870 +.sym 15680 $false +.sym 15681 $false +.sym 15684 I2C.byte_counter[3] +.sym 15685 I2C.byte_counter[2] +.sym 15686 $abc$32112$n884 +.sym 15687 $abc$32112$n870 +.sym 15690 I2C.byte_counter[6] +.sym 15691 I2C.byte_counter[7] +.sym 15692 I2C.byte_counter[5] +.sym 15693 $false +.sym 15696 $abc$32112$n871 +.sym 15697 $abc$32112$n896 +.sym 15698 $false +.sym 15699 $false +.sym 15702 I2C.byte_counter[3] +.sym 15703 I2C.byte_counter[2] +.sym 15704 $abc$32112$n874 +.sym 15705 $false +.sym 15708 $abc$32112$n870 +.sym 15709 I2C.byte_counter[4] +.sym 15710 $false +.sym 15711 $false +.sym 15715 $abc$32112$n1060 +.sym 15716 $abc$32112$n1335 +.sym 15717 $abc$32112$n1309_1 +.sym 15718 $abc$32112$n817 +.sym 15719 $abc$32112$n810 +.sym 15720 $abc$32112$n1062 +.sym 15721 $abc$32112$n678 +.sym 15722 KEYBOARD.report[63] +.sym 15795 I2C.byte_counter[1] +.sym 15796 I2C.byte_counter[2] +.sym 15797 I2C.byte_counter[3] +.sym 15798 I2C.byte_counter[0] +.sym 15801 I2C.byte_counter[3] +.sym 15802 $abc$32112$n907 +.sym 15803 $abc$32112$n908_1 +.sym 15804 $abc$32112$n878_1 +.sym 15807 $abc$32112$n878_1 +.sym 15808 $abc$32112$n910 +.sym 15809 $abc$32112$n926 +.sym 15810 $abc$32112$n927_1 +.sym 15819 $abc$32112$n909 +.sym 15820 $abc$32112$n910 +.sym 15821 $abc$32112$n885_1 +.sym 15822 $abc$32112$n906 +.sym 15825 I2C.byte_counter[1] +.sym 15826 I2C.byte_counter[3] +.sym 15827 I2C.byte_counter[2] +.sym 15828 I2C.byte_counter[0] +.sym 15831 $abc$32112$n69 +.sym 15832 $abc$32112$n621 +.sym 15833 $false +.sym 15834 $false +.sym 15835 $abc$32112$n839$2 +.sym 15836 CLK$2$2 +.sym 15837 $false +.sym 15838 $abc$32112$n1305_1 +.sym 15839 $abc$32112$n1334_1 +.sym 15840 $abc$32112$n789 +.sym 15841 $abc$32112$n1076 +.sym 15842 $abc$32112$n788 +.sym 15843 KEYBOARD.report[45] +.sym 15844 KEYBOARD.report[44] +.sym 15845 KEYBOARD.report[43] +.sym 15918 I2C_INPUT_DATA[3][1] +.sym 15919 $abc$32112$n1015_1 +.sym 15920 $abc$32112$n663 +.sym 15921 $false +.sym 15924 $abc$32112$n670 +.sym 15925 $abc$32112$n1014_1 +.sym 15926 $abc$32112$n660 +.sym 15927 $false +.sym 15930 I2C_INPUT_DATA[3][2] +.sym 15931 I2C_INPUT_DATA[3][3] +.sym 15932 $false +.sym 15933 $false +.sym 15936 I2C.received_byte[3] +.sym 15937 $false +.sym 15938 $false +.sym 15939 $false +.sym 15942 I2C.received_byte[2] +.sym 15943 $false +.sym 15944 $false +.sym 15945 $false +.sym 15948 I2C.received_byte[1] +.sym 15949 $false +.sym 15950 $false +.sym 15951 $false +.sym 15954 I2C.received_byte[0] +.sym 15955 $false +.sym 15956 $false +.sym 15957 $false +.sym 15958 $abc$32112$n2237 +.sym 15959 CLK$2$2 +.sym 15960 $false +.sym 15961 COM_DCD$2 +.sym 15963 $abc$32112$n1061 +.sym 15964 $abc$32112$n1074 +.sym 15965 $abc$32112$n1628 +.sym 15966 KEYBOARD.report[61] +.sym 16035 I2C_INPUT_LEN[1] +.sym 16036 I2C_INPUT_LEN[0] +.sym 16037 $abc$32112$n665 +.sym 16038 $false +.sym 16041 I2C_INPUT_LEN[0] +.sym 16042 $abc$32112$n666 +.sym 16043 I2C_INPUT_LEN[1] +.sym 16044 $false +.sym 16047 I2C_INPUT_LEN[0] +.sym 16048 I2C_INPUT_LEN[1] +.sym 16049 I2C_INPUT_DATA[3][0] +.sym 16050 $false +.sym 16053 $abc$32112$n666 +.sym 16054 I2C_INPUT_LEN[2] +.sym 16055 $false +.sym 16056 $false +.sym 16059 $abc$32112$n675 +.sym 16060 $abc$32112$n647 +.sym 16061 $abc$32112$n665 +.sym 16062 $abc$32112$n1013 +.sym 16065 $abc$32112$n647 +.sym 16066 $abc$32112$n653 +.sym 16067 $false +.sym 16068 $false +.sym 16071 $abc$32112$n646 +.sym 16072 $abc$32112$n674 +.sym 16073 I2C_INPUT_DATA[3][1] +.sym 16074 $false +.sym 16077 I2C.wr +.sym 16078 $false +.sym 16079 $false +.sym 16080 $false +.sym 16081 I2C.FLT_SCL.RESET +.sym 16082 CLK$2$2 +.sym 16083 $false +.sym 16084 $abc$32112$n419 +.sym 16085 $abc$32112$n501 +.sym 16086 $abc$32112$n1293 +.sym 16088 $abc$32112$n626 +.sym 16089 $abc$32112$n633 +.sym 16090 last_isr +.sym 16158 I2C.is_read +.sym 16159 $abc$32112$n635 +.sym 16160 $abc$32112$n634 +.sym 16161 $abc$32112$n626 +.sym 16164 I2C.is_read +.sym 16165 $abc$32112$n674 +.sym 16166 $abc$32112$n634 +.sym 16167 $false +.sym 16170 $abc$32112$n676 +.sym 16171 I2C.is_read +.sym 16172 $abc$32112$n634 +.sym 16173 $false +.sym 16176 $abc$32112$n676 +.sym 16177 I2C.is_read +.sym 16178 $abc$32112$n634 +.sym 16179 $false +.sym 16182 I2C.FLT_SCL.RESET +.sym 16183 I2C.wr +.sym 16184 last_wr +.sym 16185 $abc$32112$n678_1 +.sym 16188 $abc$32112$n634 +.sym 16189 I2C.is_read +.sym 16190 $abc$32112$n626 +.sym 16191 $false +.sym 16194 $abc$32112$n630_1 +.sym 16195 $abc$32112$n628 +.sym 16196 I2C.FLT_SCL.RESET +.sym 16197 $false +.sym 16200 $abc$32112$n5 +.sym 16201 $false +.sym 16202 $false +.sym 16203 $false +.sym 16204 $abc$32112$n396 +.sym 16205 CLK$2$2 +.sym 16206 $false +.sym 16207 $abc$32112$n2107 +.sym 16208 $abc$32112$n2106 +.sym 16209 $abc$32112$n710 +.sym 16210 $abc$32112$n535 +.sym 16211 $abc$32112$n2144 +.sym 16212 $abc$32112$n2142 +.sym 16213 UART.tx_bit_counter[1] +.sym 16214 UART.tx_bit_counter[0] +.sym 16243 $true +.sym 16280 $abc$32112$n2140$2 +.sym 16281 $false +.sym 16282 $abc$32112$n2140 +.sym 16283 $false +.sym 16284 $false +.sym 16286 $auto$alumacc.cc:470:replace_alu$4536.C[2] +.sym 16288 $false +.sym 16289 $abc$32112$n2106 +.sym 16292 $auto$alumacc.cc:470:replace_alu$4536.C[3] +.sym 16294 $false +.sym 16295 $abc$32112$n2142 +.sym 16298 $abc$32112$n2002$2 +.sym 16300 $false +.sym 16301 $abc$32112$n2144 +.sym 16308 $abc$32112$n2002$2 +.sym 16311 I2C_INPUT_LEN[2] +.sym 16312 $false +.sym 16313 $false +.sym 16314 $false +.sym 16317 $false +.sym 16318 I2C.byte_counter[0] +.sym 16319 $false +.sym 16320 $true$2 +.sym 16323 $abc$32112$n630_1 +.sym 16324 $abc$32112$n1706 +.sym 16325 $false +.sym 16326 $false +.sym 16327 $abc$32112$n424 +.sym 16328 CLK$2$2 +.sym 16329 $0\KBD_FREEZE[0:0]$2 +.sym 16332 $abc$32112$n2141 +.sym 16333 $abc$32112$n2143 +.sym 16334 $abc$32112$n711 +.sym 16335 $abc$32112$n21 +.sym 16336 UART.tx_bit_counter[3] +.sym 16337 UART.tx_bit_counter[2] +.sym 16366 $true +.sym 16403 $abc$32112$n2130$2 +.sym 16404 $false +.sym 16405 $abc$32112$n2130 +.sym 16406 $false +.sym 16407 $false +.sym 16409 $auto$alumacc.cc:470:replace_alu$4591.C[3] +.sym 16411 $false +.sym 16412 $abc$32112$n2131 +.sym 16415 $auto$alumacc.cc:470:replace_alu$4591.C[4] +.sym 16417 $false +.sym 16418 $abc$32112$n2132 +.sym 16421 $auto$alumacc.cc:470:replace_alu$4591.C[5] +.sym 16423 $false +.sym 16424 $abc$32112$n2133 +.sym 16427 $auto$alumacc.cc:470:replace_alu$4591.C[6] +.sym 16429 $false +.sym 16430 $abc$32112$n2134 +.sym 16433 $auto$alumacc.cc:470:replace_alu$4591.C[7] +.sym 16435 $false +.sym 16436 $abc$32112$n2135 +.sym 16439 $abc$32112$n2169$2 +.sym 16441 $false +.sym 16442 $abc$32112$n2136 +.sym 16449 $abc$32112$n2169$2 +.sym 16497 $abc$32112$n435 +.sym 16553 $abc$32112$n656 +.sym 16554 $abc$32112$n657 +.sym 16555 I2C_INPUT_DATA[5][5] +.sym 16556 I2C_INPUT_DATA[5][7] +.sym 16557 I2C_INPUT_DATA[5][0] +.sym 16559 I2C_INPUT_DATA[5][2] +.sym 16560 I2C_INPUT_DATA[5][6] +.sym 16634 I2C.received_byte[5] +.sym 16635 $false +.sym 16636 $false +.sym 16637 $false +.sym 16664 I2C.received_byte[7] +.sym 16665 $false +.sym 16666 $false +.sym 16667 $false +.sym 16674 $abc$32112$n2235 +.sym 16675 CLK$2$2 +.sym 16676 $false +.sym 16681 I2C_INPUT_DATA[4][6] +.sym 16683 I2C_INPUT_DATA[4][4] +.sym 16684 I2C_INPUT_DATA[4][0] +.sym 16686 I2C_INPUT_DATA[4][3] +.sym 16687 I2C_INPUT_DATA[4][1] +.sym 16753 $true +.sym 16790 $abc$32112$n1383$2 +.sym 16791 $false +.sym 16792 $abc$32112$n1383 +.sym 16793 $false +.sym 16794 $false +.sym 16796 $auto$alumacc.cc:470:replace_alu$4613.C[2] +.sym 16798 $false +.sym 16799 $abc$32112$n1384 +.sym 16802 $auto$alumacc.cc:470:replace_alu$4613.C[3] +.sym 16803 $false +.sym 16804 $false +.sym 16805 $abc$32112$n1386 +.sym 16806 $auto$alumacc.cc:470:replace_alu$4613.C[2] +.sym 16808 $auto$alumacc.cc:470:replace_alu$4613.C[4] +.sym 16809 $false +.sym 16810 $false +.sym 16811 $abc$32112$n1387 +.sym 16812 $auto$alumacc.cc:470:replace_alu$4613.C[3] +.sym 16814 $auto$alumacc.cc:470:replace_alu$4613.C[5] +.sym 16815 $false +.sym 16816 $false +.sym 16817 $abc$32112$n1389 +.sym 16818 $auto$alumacc.cc:470:replace_alu$4613.C[4] +.sym 16820 $auto$alumacc.cc:470:replace_alu$4613.C[6] +.sym 16821 $false +.sym 16822 $false +.sym 16823 $abc$32112$n1390 +.sym 16824 $auto$alumacc.cc:470:replace_alu$4613.C[5] +.sym 16826 $auto$alumacc.cc:470:replace_alu$4613.C[7] +.sym 16827 $false +.sym 16828 $false +.sym 16829 $abc$32112$n1392 +.sym 16830 $auto$alumacc.cc:470:replace_alu$4613.C[6] +.sym 16833 $false +.sym 16834 $false +.sym 16835 $abc$32112$n1393 +.sym 16836 $auto$alumacc.cc:470:replace_alu$4613.C[7] +.sym 16847 $abc$32112$n2170 +.sym 16914 $abc$32112$n1393 +.sym 16915 $false +.sym 16916 $false +.sym 16917 $false +.sym 16920 $abc$32112$n1389 +.sym 16921 $false +.sym 16922 $false +.sym 16923 $false +.sym 16926 $abc$32112$n1817 +.sym 16927 I2C.byte_counter[2] +.sym 16928 $false +.sym 16929 $false +.sym 16932 $abc$32112$n1386 +.sym 16933 $false +.sym 16934 $false +.sym 16935 $false +.sym 16938 $abc$32112$n1817 +.sym 16939 I2C.byte_counter[5] +.sym 16940 $false +.sym 16941 $false +.sym 16944 $abc$32112$n1390 +.sym 16945 $false +.sym 16946 $false +.sym 16947 $false +.sym 16950 $abc$32112$n1989 +.sym 16951 $abc$32112$n1390 +.sym 16952 $abc$32112$n1134 +.sym 16953 $false +.sym 16956 $abc$32112$n1986 +.sym 16957 $abc$32112$n1386 +.sym 16958 $abc$32112$n1134 +.sym 16959 $false +.sym 16960 $true +.sym 16961 CLK$2$2 +.sym 16962 $false +.sym 16964 $abc$32112$n811 +.sym 16966 $abc$32112$n790 +.sym 16969 KEYBOARD.last_data[11] +.sym 17037 $abc$32112$n1817 +.sym 17038 I2C.byte_counter[1] +.sym 17039 $false +.sym 17040 $false +.sym 17043 $abc$32112$n611 +.sym 17044 $abc$32112$n10 +.sym 17045 I2C.FLT_SCL.RESET +.sym 17046 $false +.sym 17049 $abc$32112$n598 +.sym 17050 $abc$32112$n582 +.sym 17051 $false +.sym 17052 $false +.sym 17067 $abc$32112$n1384 +.sym 17068 $false +.sym 17069 $false +.sym 17070 $false +.sym 17073 $abc$32112$n1392 +.sym 17074 $false +.sym 17075 $false +.sym 17076 $false +.sym 17079 KEYBOARD.COLS_SHADOW[2] +.sym 17080 $false +.sym 17081 $false +.sym 17082 $false +.sym 17083 $abc$32112$n827 +.sym 17084 CLK$2$2 +.sym 17085 $0\KBD_FREEZE[0:0]$2 +.sym 17086 $abc$32112$n596 +.sym 17087 $abc$32112$n1282 +.sym 17088 $abc$32112$n834 +.sym 17089 $abc$32112$n1284 +.sym 17090 $abc$32112$n585 +.sym 17091 $abc$32112$n560 +.sym 17092 $abc$32112$n1283 +.sym 17093 KEYBOARD.last_data[15] +.sym 17160 $abc$32112$n1284 +.sym 17161 $abc$32112$n575 +.sym 17162 KEYBOARD.COLS_SHADOW[3] +.sym 17163 KEYBOARD.is_pressed +.sym 17166 $abc$32112$n1284 +.sym 17167 KEYBOARD.COLS_SHADOW[3] +.sym 17168 $abc$32112$n575 +.sym 17169 $abc$32112$n560 +.sym 17172 $abc$32112$n1287 +.sym 17173 KEYBOARD.COLS_SHADOW[2] +.sym 17174 $abc$32112$n582 +.sym 17175 $false +.sym 17178 $abc$32112$n1281_1 +.sym 17179 KEYBOARD.COLS_SHADOW[1] +.sym 17180 $abc$32112$n563 +.sym 17181 $abc$32112$n598 +.sym 17184 $abc$32112$n609 +.sym 17185 $abc$32112$n610 +.sym 17186 $abc$32112$n611 +.sym 17187 $abc$32112$n595_1 +.sym 17190 $abc$32112$n593 +.sym 17191 $abc$32112$n594 +.sym 17192 $abc$32112$n595_1 +.sym 17193 $abc$32112$n597 +.sym 17196 $abc$32112$n826 +.sym 17197 $abc$32112$n10 +.sym 17198 $abc$32112$n596 +.sym 17199 I2C.FLT_SCL.RESET +.sym 17202 KEYBOARD.COLS_SHADOW[3] +.sym 17203 $false +.sym 17204 $false +.sym 17205 $false +.sym 17206 $abc$32112$n765 +.sym 17207 CLK$2$2 +.sym 17208 $0\KBD_FREEZE[0:0]$2 +.sym 17209 $abc$32112$n617 +.sym 17210 $abc$32112$n613 +.sym 17211 $abc$32112$n2089 +.sym 17212 $abc$32112$n607 +.sym 17213 $abc$32112$n614_1 +.sym 17214 $abc$32112$n604 +.sym 17215 I2C_INPUT_DATA[8][1] +.sym 17216 I2C_INPUT_DATA[8][0] +.sym 17283 $abc$32112$n1284 +.sym 17284 KEYBOARD.COLS_SHADOW[3] +.sym 17285 $abc$32112$n575 +.sym 17286 $abc$32112$n1174 +.sym 17289 $abc$32112$n1284 +.sym 17290 KEYBOARD.COLS_SHADOW[3] +.sym 17291 $abc$32112$n575 +.sym 17292 $abc$32112$n569 +.sym 17295 $abc$32112$n1174 +.sym 17296 $abc$32112$n1287 +.sym 17297 KEYBOARD.COLS_SHADOW[2] +.sym 17298 $abc$32112$n582 +.sym 17301 $abc$32112$n1287 +.sym 17302 KEYBOARD.COLS_SHADOW[2] +.sym 17303 $abc$32112$n582 +.sym 17304 $abc$32112$n585 +.sym 17307 $abc$32112$n584 +.sym 17308 $abc$32112$n609 +.sym 17309 $abc$32112$n569 +.sym 17310 $abc$32112$n617 +.sym 17313 $abc$32112$n560 +.sym 17314 $abc$32112$n1281_1 +.sym 17315 KEYBOARD.COLS_SHADOW[1] +.sym 17316 $abc$32112$n563 +.sym 17319 $abc$32112$n600 +.sym 17320 $abc$32112$n560 +.sym 17321 $abc$32112$n602 +.sym 17322 $abc$32112$n601_1 +.sym 17325 $abc$32112$n554 +.sym 17326 $abc$32112$n568 +.sym 17327 $abc$32112$n576 +.sym 17328 $abc$32112$n584 +.sym 17332 $abc$32112$n64 +.sym 17333 $abc$32112$n778 +.sym 17334 $abc$32112$n779_1 +.sym 17335 $abc$32112$n2088 +.sym 17336 $abc$32112$n67 +.sym 17337 $abc$32112$n738 +.sym 17338 $abc$32112$n70 +.sym 17339 LED2$2 +.sym 17406 $abc$32112$n1281_1 +.sym 17407 KEYBOARD.COLS_SHADOW[1] +.sym 17408 $abc$32112$n563 +.sym 17409 $abc$32112$n1174 +.sym 17412 $abc$32112$n823 +.sym 17413 $abc$32112$n585 +.sym 17414 I2C.FLT_SCL.RESET +.sym 17415 $false +.sym 17418 $abc$32112$n1281_1 +.sym 17419 KEYBOARD.COLS_SHADOW[1] +.sym 17420 $abc$32112$n563 +.sym 17421 $false +.sym 17424 $abc$32112$n1281_1 +.sym 17425 KEYBOARD.COLS_SHADOW[1] +.sym 17426 $abc$32112$n563 +.sym 17427 $abc$32112$n585 +.sym 17430 KEYBOARD.row_counter[1] +.sym 17431 $abc$32112$n1174 +.sym 17432 $false +.sym 17433 $false +.sym 17436 $abc$32112$n823 +.sym 17437 $abc$32112$n598 +.sym 17438 I2C.FLT_SCL.RESET +.sym 17439 $false +.sym 17442 $abc$32112$n1281_1 +.sym 17443 KEYBOARD.COLS_SHADOW[1] +.sym 17444 $abc$32112$n563 +.sym 17445 $abc$32112$n596 +.sym 17448 KEYBOARD.COLS_SHADOW[1] +.sym 17449 $false +.sym 17450 $false +.sym 17451 $false +.sym 17452 $abc$32112$n800 +.sym 17453 CLK$2$2 +.sym 17454 $0\KBD_FREEZE[0:0]$2 +.sym 17455 $abc$32112$n1057 +.sym 17456 $abc$32112$n2092 +.sym 17457 $abc$32112$n605 +.sym 17458 $abc$32112$n1325 +.sym 17459 $abc$32112$n614 +.sym 17460 $abc$32112$n741 +.sym 17461 $abc$32112$n1175 +.sym 17462 KEYBOARD.last_data[13] +.sym 17529 $abc$32112$n794 +.sym 17530 $abc$32112$n1259 +.sym 17531 $false +.sym 17532 $false +.sym 17535 $abc$32112$n718 +.sym 17536 I2C.FLT_SCL.RESET +.sym 17537 $false +.sym 17538 $false +.sym 17541 $abc$32112$n60 +.sym 17542 $abc$32112$n61 +.sym 17543 $abc$32112$n63 +.sym 17544 $false +.sym 17547 $abc$32112$n29$2 +.sym 17548 KEYBOARD.row_counter[0] +.sym 17549 $false +.sym 17550 $false +.sym 17553 $abc$32112$n1257_1 +.sym 17554 $abc$32112$n801 +.sym 17555 $abc$32112$n795_1 +.sym 17556 $abc$32112$n720_1 +.sym 17559 $abc$32112$n671 +.sym 17560 KEYBOARD.report[7] +.sym 17561 $abc$32112$n568 +.sym 17562 $false +.sym 17565 $abc$32112$n671 +.sym 17566 KEYBOARD.report[6] +.sym 17567 $abc$32112$n593 +.sym 17568 $false +.sym 17571 $abc$32112$n671 +.sym 17572 KEYBOARD.report[5] +.sym 17573 $abc$32112$n601_1 +.sym 17574 $false +.sym 17575 $abc$32112$n605 +.sym 17576 CLK$2$2 +.sym 17577 $0\KBD_FREEZE[0:0]$2 +.sym 17578 $abc$32112$n1036 +.sym 17579 $abc$32112$n1034 +.sym 17580 $abc$32112$n1045 +.sym 17581 $abc$32112$n739 +.sym 17582 $abc$32112$n1031 +.sym 17583 $abc$32112$n1050 +.sym 17584 $abc$32112$n1049 +.sym 17585 $abc$32112$n1030 +.sym 17652 $abc$32112$n1063 +.sym 17653 $abc$32112$n1064 +.sym 17654 $abc$32112$n1060 +.sym 17655 $abc$32112$n1628 +.sym 17658 KEYBOARD.report[5] +.sym 17659 KEYBOARD.report[37] +.sym 17660 I2C.byte_counter[1] +.sym 17661 I2C.byte_counter[2] +.sym 17664 $abc$32112$n671 +.sym 17665 $abc$32112$n70 +.sym 17666 $false +.sym 17667 $false +.sym 17670 $abc$32112$n1077 +.sym 17671 $abc$32112$n1078 +.sym 17672 $abc$32112$n1074 +.sym 17673 $abc$32112$n1628 +.sym 17676 $abc$32112$n1259 +.sym 17677 $abc$32112$n806_1 +.sym 17678 $false +.sym 17679 $false +.sym 17682 KEYBOARD.report[7] +.sym 17683 KEYBOARD.report[39] +.sym 17684 I2C.byte_counter[1] +.sym 17685 I2C.byte_counter[2] +.sym 17688 $abc$32112$n801 +.sym 17689 $abc$32112$n817 +.sym 17690 $abc$32112$n818 +.sym 17691 $false +.sym 17694 $abc$32112$n1257_1 +.sym 17695 $abc$32112$n816_1 +.sym 17696 $abc$32112$n1310 +.sym 17697 $abc$32112$n720_1 +.sym 17701 $abc$32112$n754 +.sym 17702 $abc$32112$n1056 +.sym 17703 $abc$32112$n1308_1 +.sym 17704 $abc$32112$n809 +.sym 17705 $abc$32112$n818 +.sym 17706 $abc$32112$n769 +.sym 17707 KEYBOARD.report[0] +.sym 17708 KEYBOARD.report[4] +.sym 17775 $abc$32112$n1309_1 +.sym 17776 $abc$32112$n808 +.sym 17777 $abc$32112$n1308_1 +.sym 17778 $abc$32112$n1254 +.sym 17781 $abc$32112$n768 +.sym 17782 $abc$32112$n770_1 +.sym 17783 $abc$32112$n720_1 +.sym 17784 $false +.sym 17787 $abc$32112$n770_1 +.sym 17788 $abc$32112$n788 +.sym 17789 $abc$32112$n1278_1 +.sym 17790 $false +.sym 17793 $abc$32112$n771 +.sym 17794 $abc$32112$n769 +.sym 17795 $abc$32112$n717 +.sym 17796 $abc$32112$n671 +.sym 17799 $abc$32112$n769 +.sym 17800 $abc$32112$n671 +.sym 17801 $abc$32112$n717 +.sym 17802 $false +.sym 17805 $abc$32112$n788 +.sym 17806 $abc$32112$n770_1 +.sym 17807 $false +.sym 17808 $false +.sym 17811 $abc$32112$n739 +.sym 17812 $abc$32112$n771 +.sym 17813 $abc$32112$n768 +.sym 17814 $false +.sym 17817 $abc$32112$n809 +.sym 17818 $abc$32112$n69 +.sym 17819 KEYBOARD.report[62] +.sym 17820 $abc$32112$n810 +.sym 17824 $abc$32112$n631 +.sym 17825 $abc$32112$n756 +.sym 17826 $abc$32112$n747 +.sym 17827 $abc$32112$n755 +.sym 17828 $abc$32112$n746 +.sym 17829 KEYBOARD.report[28] +.sym 17830 KEYBOARD.report[29] +.sym 17831 KEYBOARD.report[24] +.sym 17898 $abc$32112$n1061 +.sym 17899 $abc$32112$n1062 +.sym 17900 $false +.sym 17901 $false +.sym 17904 $abc$32112$n1254 +.sym 17905 $abc$32112$n60 +.sym 17906 KEYBOARD.report[40] +.sym 17907 $abc$32112$n1334_1 +.sym 17910 KEYBOARD.report[60] +.sym 17911 $abc$32112$n66 +.sym 17912 KEYBOARD.report[61] +.sym 17913 $abc$32112$n778 +.sym 17916 KEYBOARD.report[60] +.sym 17917 KEYBOARD.report[61] +.sym 17918 KEYBOARD.report[62] +.sym 17919 KEYBOARD.report[63] +.sym 17922 $abc$32112$n63 +.sym 17923 KEYBOARD.report[58] +.sym 17924 $abc$32112$n70 +.sym 17925 KEYBOARD.report[63] +.sym 17928 KEYBOARD.report[29] +.sym 17929 KEYBOARD.report[61] +.sym 17930 I2C.byte_counter[2] +.sym 17931 I2C.byte_counter[1] +.sym 17934 $abc$32112$n1257_1 +.sym 17935 $abc$32112$n720_1 +.sym 17936 $abc$32112$n1335 +.sym 17937 $abc$32112$n1278_1 +.sym 17940 $abc$32112$n2094 +.sym 17941 $false +.sym 17942 $false +.sym 17943 $false +.sym 17944 $abc$32112$n720$2 +.sym 17945 CLK$2$2 +.sym 17946 $0\KBD_FREEZE[0:0]$2 +.sym 17947 $abc$32112$n748 +.sym 17948 $abc$32112$n1048 +.sym 17949 $abc$32112$n786 +.sym 17950 $abc$32112$n777 +.sym 17951 $abc$32112$n790_1 +.sym 17952 $abc$32112$n1046 +.sym 17953 $abc$32112$n1052 +.sym 17954 KEYBOARD.report[3] +.sym 18021 KEYBOARD.report[45] +.sym 18022 KEYBOARD.report[47] +.sym 18023 $abc$32112$n70 +.sym 18024 $abc$32112$n778 +.sym 18027 $abc$32112$n1333 +.sym 18028 $abc$32112$n777 +.sym 18029 $abc$32112$n786 +.sym 18030 $abc$32112$n1305_1 +.sym 18033 KEYBOARD.report[44] +.sym 18034 KEYBOARD.report[45] +.sym 18035 KEYBOARD.report[46] +.sym 18036 KEYBOARD.report[47] +.sym 18039 KEYBOARD.report[31] +.sym 18040 KEYBOARD.report[63] +.sym 18041 I2C.byte_counter[2] +.sym 18042 I2C.byte_counter[1] +.sym 18045 $abc$32112$n789 +.sym 18046 $abc$32112$n790_1 +.sym 18047 $false +.sym 18048 $false +.sym 18051 $abc$32112$n2092 +.sym 18052 $false +.sym 18053 $false +.sym 18054 $false +.sym 18057 $abc$32112$n2091 +.sym 18058 $false +.sym 18059 $false +.sym 18060 $false +.sym 18063 $abc$32112$n2090 +.sym 18064 $false +.sym 18065 $false +.sym 18066 $false +.sym 18067 $abc$32112$n678 +.sym 18068 CLK$2$2 +.sym 18069 $0\KBD_FREEZE[0:0]$2 +.sym 18070 $abc$32112$n1055 +.sym 18071 $abc$32112$n1054_1 +.sym 18072 $abc$32112$n1047 +.sym 18073 $abc$32112$n1075 +.sym 18074 $abc$32112$n1053_1 +.sym 18076 KEYBOARD.report[14] +.sym 18144 LED1$2 +.sym 18145 $false +.sym 18146 $false +.sym 18147 $false +.sym 18156 KEYBOARD.report[13] +.sym 18157 KEYBOARD.report[45] +.sym 18158 I2C.byte_counter[1] +.sym 18159 I2C.byte_counter[2] +.sym 18162 $abc$32112$n1075 +.sym 18163 $abc$32112$n1076 +.sym 18164 $false +.sym 18165 $false +.sym 18168 $false +.sym 18169 I2C.byte_counter[0] +.sym 18170 $true$2 +.sym 18171 $true$2 +.sym 18174 $abc$32112$n2092 +.sym 18175 $false +.sym 18176 $false +.sym 18177 $false +.sym 18190 $abc$32112$n720$2 +.sym 18191 CLK$2$2 +.sym 18192 $0\KBD_FREEZE[0:0]$2 +.sym 18199 $abc$32112$n23 +.sym 18200 $abc$32112$n10 +.sym 18267 $abc$32112$n1293 +.sym 18268 $abc$32112$n677 +.sym 18269 $false +.sym 18270 $false +.sym 18273 $abc$32112$n682 +.sym 18274 $abc$32112$n1293 +.sym 18275 $abc$32112$n627 +.sym 18276 $false +.sym 18279 $abc$32112$n675 +.sym 18280 $abc$32112$n1292_1 +.sym 18281 $abc$32112$n631_1 +.sym 18282 $false +.sym 18291 $abc$32112$n632 +.sym 18292 $abc$32112$n633 +.sym 18293 $abc$32112$n631_1 +.sym 18294 $abc$32112$n627 +.sym 18297 LED1$2 +.sym 18298 UART_WR +.sym 18299 last_isr +.sym 18300 KEYBOARD.isr +.sym 18303 KEYBOARD.isr +.sym 18304 $false +.sym 18305 $false +.sym 18306 $false +.sym 18313 I2C.FLT_SCL.RESET +.sym 18314 CLK$2$2 +.sym 18315 $false +.sym 18318 $abc$32112$n1558 +.sym 18321 KEYBOARD.report[12] +.sym 18390 $false +.sym 18391 UART.tx_bit_counter[0] +.sym 18392 $false +.sym 18393 $true$2 +.sym 18396 UART.tx_bit_counter[0] +.sym 18397 UART.tx_bit_counter[1] +.sym 18398 $false +.sym 18399 $false +.sym 18402 UART.tx_bit_counter[3] +.sym 18403 $abc$32112$n711 +.sym 18404 $false +.sym 18405 $false +.sym 18408 $abc$32112$n2106 +.sym 18409 $abc$32112$n2107 +.sym 18410 $false +.sym 18411 $false +.sym 18414 $abc$32112$n2143 +.sym 18415 $false +.sym 18416 $false +.sym 18417 $false +.sym 18420 $abc$32112$n2141 +.sym 18421 $false +.sym 18422 $false +.sym 18423 $false +.sym 18426 $abc$32112$n2106 +.sym 18427 $false +.sym 18428 $false +.sym 18429 $false +.sym 18432 $abc$32112$n2107 +.sym 18433 $false +.sym 18434 $false +.sym 18435 $false +.sym 18436 $abc$32112$n595 +.sym 18437 CLK$2$2 +.sym 18438 $abc$32112$n21 +.sym 18475 $true +.sym 18512 UART.tx_bit_counter[0]$2 +.sym 18513 $false +.sym 18514 UART.tx_bit_counter[0] +.sym 18515 $false +.sym 18516 $false +.sym 18518 $auto$alumacc.cc:470:replace_alu$4643.C[2] +.sym 18520 UART.tx_bit_counter[1] +.sym 18521 $true$2 +.sym 18524 $auto$alumacc.cc:470:replace_alu$4643.C[3] +.sym 18525 $false +.sym 18526 UART.tx_bit_counter[2] +.sym 18527 $true$2 +.sym 18528 $auto$alumacc.cc:470:replace_alu$4643.C[2] +.sym 18531 $false +.sym 18532 UART.tx_bit_counter[3] +.sym 18533 $true$2 +.sym 18534 $auto$alumacc.cc:470:replace_alu$4643.C[3] +.sym 18537 UART.tx_bit_counter[0] +.sym 18538 UART.tx_bit_counter[1] +.sym 18539 UART.tx_bit_counter[2] +.sym 18540 UART.tx_activity +.sym 18543 UART.tx_activity +.sym 18544 $false +.sym 18545 $false +.sym 18546 $false +.sym 18549 $abc$32112$n2143 +.sym 18550 $false +.sym 18551 $false +.sym 18552 $false +.sym 18555 $abc$32112$n2141 +.sym 18556 $false +.sym 18557 $false +.sym 18558 $false +.sym 18559 $abc$32112$n595 +.sym 18560 CLK$2$2 +.sym 18561 $abc$32112$n21 +.sym 18736 I2C_INPUT_DATA[4][5] +.sym 18737 I2C_INPUT_DATA[4][7] +.sym 18738 I2C_INPUT_DATA[5][0] +.sym 18739 $abc$32112$n657 +.sym 18742 I2C_INPUT_DATA[5][2] +.sym 18743 I2C_INPUT_DATA[5][5] +.sym 18744 I2C_INPUT_DATA[5][6] +.sym 18745 I2C_INPUT_DATA[4][2] +.sym 18748 I2C.received_byte[5] +.sym 18749 $false +.sym 18750 $false +.sym 18751 $false +.sym 18754 I2C.received_byte[7] +.sym 18755 $false +.sym 18756 $false +.sym 18757 $false +.sym 18760 I2C.received_byte[0] +.sym 18761 $false +.sym 18762 $false +.sym 18763 $false +.sym 18772 I2C.received_byte[2] +.sym 18773 $false +.sym 18774 $false +.sym 18775 $false +.sym 18778 I2C.received_byte[6] +.sym 18779 $false +.sym 18780 $false +.sym 18781 $false +.sym 18782 $abc$32112$n2231 +.sym 18783 CLK$2$2 +.sym 18784 $false +.sym 18899 I2C.received_byte[6] +.sym 18900 $false +.sym 18901 $false +.sym 18902 $false +.sym 18911 I2C.received_byte[4] +.sym 18912 $false +.sym 18913 $false +.sym 18914 $false +.sym 18917 I2C.received_byte[0] +.sym 18918 $false +.sym 18919 $false +.sym 18920 $false +.sym 18929 I2C.received_byte[3] +.sym 18930 $false +.sym 18931 $false +.sym 18932 $false +.sym 18935 I2C.received_byte[1] +.sym 18936 $false +.sym 18937 $false +.sym 18938 $false +.sym 18945 $abc$32112$n2235 +.sym 18946 CLK$2$2 +.sym 18947 $false +.sym 18984 $true +.sym 19021 $abc$32112$n2095$2 +.sym 19022 $false +.sym 19023 $abc$32112$n2095 +.sym 19024 $false +.sym 19025 $false +.sym 19027 $auto$alumacc.cc:470:replace_alu$4608.C[3] +.sym 19029 $false +.sym 19030 $abc$32112$n2145 +.sym 19033 $auto$alumacc.cc:470:replace_alu$4608.C[4] +.sym 19035 $false +.sym 19036 $abc$32112$n2146 +.sym 19039 $auto$alumacc.cc:470:replace_alu$4608.C[5] +.sym 19041 $false +.sym 19042 $abc$32112$n2147 +.sym 19045 $auto$alumacc.cc:470:replace_alu$4608.C[6] +.sym 19047 $false +.sym 19048 $abc$32112$n2148 +.sym 19051 $auto$alumacc.cc:470:replace_alu$4608.C[7] +.sym 19053 $false +.sym 19054 $abc$32112$n2149 +.sym 19057 $abc$32112$n2170$2 +.sym 19059 $false +.sym 19060 $abc$32112$n2150 +.sym 19067 $abc$32112$n2170$2 +.sym 19151 $abc$32112$n826 +.sym 19152 $abc$32112$n10 +.sym 19153 $abc$32112$n585 +.sym 19154 I2C.FLT_SCL.RESET +.sym 19163 $abc$32112$n826 +.sym 19164 $abc$32112$n10 +.sym 19165 $abc$32112$n569 +.sym 19166 I2C.FLT_SCL.RESET +.sym 19181 KEYBOARD.COLS_SHADOW[3] +.sym 19182 $false +.sym 19183 $false +.sym 19184 $false +.sym 19191 $abc$32112$n811 +.sym 19192 CLK$2$2 +.sym 19193 $0\KBD_FREEZE[0:0]$2 +.sym 19268 $abc$32112$n1174 +.sym 19269 $abc$32112$n1175 +.sym 19270 $false +.sym 19271 $false +.sym 19274 KEYBOARD.last_data[11] +.sym 19275 KEYBOARD.last_data[3] +.sym 19276 KEYBOARD.row_counter[1] +.sym 19277 $false +.sym 19280 $abc$32112$n826 +.sym 19281 $abc$32112$n10 +.sym 19282 $abc$32112$n598 +.sym 19283 I2C.FLT_SCL.RESET +.sym 19286 $abc$32112$n1283 +.sym 19287 $abc$32112$n1282 +.sym 19288 KEYBOARD.row_counter[0] +.sym 19289 $false +.sym 19292 $abc$32112$n1174 +.sym 19293 $abc$32112$n1175 +.sym 19294 $false +.sym 19295 $false +.sym 19298 $abc$32112$n1174 +.sym 19299 $abc$32112$n1175 +.sym 19300 $false +.sym 19301 $false +.sym 19304 KEYBOARD.last_data[15] +.sym 19305 KEYBOARD.last_data[7] +.sym 19306 KEYBOARD.row_counter[1] +.sym 19307 $false +.sym 19310 KEYBOARD.COLS_SHADOW[3] +.sym 19311 $false +.sym 19312 $false +.sym 19313 $false +.sym 19314 $abc$32112$n834 +.sym 19315 CLK$2$2 +.sym 19316 $0\KBD_FREEZE[0:0]$2 +.sym 19391 $abc$32112$n1175 +.sym 19392 $abc$32112$n600 +.sym 19393 $abc$32112$n598 +.sym 19394 $abc$32112$n613 +.sym 19397 $abc$32112$n1284 +.sym 19398 KEYBOARD.COLS_SHADOW[3] +.sym 19399 $abc$32112$n575 +.sym 19400 $false +.sym 19403 $abc$32112$n671 +.sym 19404 $abc$32112$n63 +.sym 19405 $false +.sym 19406 $false +.sym 19409 $abc$32112$n1284 +.sym 19410 KEYBOARD.COLS_SHADOW[3] +.sym 19411 $abc$32112$n575 +.sym 19412 $abc$32112$n598 +.sym 19415 $abc$32112$n560 +.sym 19416 $abc$32112$n1287 +.sym 19417 KEYBOARD.COLS_SHADOW[2] +.sym 19418 $abc$32112$n582 +.sym 19421 $abc$32112$n585 +.sym 19422 $abc$32112$n1287 +.sym 19423 KEYBOARD.COLS_SHADOW[2] +.sym 19424 $abc$32112$n582 +.sym 19427 I2C.received_byte[1] +.sym 19428 $false +.sym 19429 $false +.sym 19430 $false +.sym 19433 I2C.received_byte[0] +.sym 19434 $false +.sym 19435 $false +.sym 19436 $false +.sym 19437 $abc$32112$n2227 +.sym 19438 CLK$2$2 +.sym 19439 $false +.sym 19514 $abc$32112$n604 +.sym 19515 $abc$32112$n605_1 +.sym 19516 $abc$32112$n606 +.sym 19517 $abc$32112$n607 +.sym 19520 $abc$32112$n605_1 +.sym 19521 $abc$32112$n614_1 +.sym 19522 $abc$32112$n779_1 +.sym 19523 $false +.sym 19526 $abc$32112$n1175 +.sym 19527 $abc$32112$n1174 +.sym 19528 $abc$32112$n600 +.sym 19529 $abc$32112$n613 +.sym 19532 $abc$32112$n671 +.sym 19533 $abc$32112$n61 +.sym 19534 $false +.sym 19535 $false +.sym 19538 $abc$32112$n605_1 +.sym 19539 $abc$32112$n614_1 +.sym 19540 $abc$32112$n615 +.sym 19541 $abc$32112$n613 +.sym 19544 $abc$32112$n604 +.sym 19545 $abc$32112$n605_1 +.sym 19546 $abc$32112$n606 +.sym 19547 $abc$32112$n607 +.sym 19550 $abc$32112$n598 +.sym 19551 $abc$32112$n613 +.sym 19552 $abc$32112$n615 +.sym 19553 $false +.sym 19556 I2C_INPUT_DATA[4][0] +.sym 19557 I2C_INPUT_DATA[8][0] +.sym 19558 $abc$32112$n664 +.sym 19559 $false +.sym 19560 $abc$32112$n501 +.sym 19561 CLK$2$2 +.sym 19562 $0\KBD_FREEZE[0:0]$2 +.sym 19637 KEYBOARD.report[20] +.sym 19638 KEYBOARD.report[52] +.sym 19639 I2C.byte_counter[2] +.sym 19640 I2C.byte_counter[1] +.sym 19643 $abc$32112$n671 +.sym 19644 $abc$32112$n67 +.sym 19645 $false +.sym 19646 $false +.sym 19649 $abc$32112$n717 +.sym 19650 $abc$32112$n630 +.sym 19651 $false +.sym 19652 $false +.sym 19655 KEYBOARD.report[17] +.sym 19656 $abc$32112$n61 +.sym 19657 KEYBOARD.report[18] +.sym 19658 $abc$32112$n63 +.sym 19661 $abc$32112$n1328 +.sym 19662 $abc$32112$n739 +.sym 19663 $abc$32112$n720_1 +.sym 19664 $false +.sym 19667 $abc$32112$n742 +.sym 19668 $abc$32112$n743_1 +.sym 19669 $false +.sym 19670 $false +.sym 19673 $abc$32112$n29$2 +.sym 19674 KEYBOARD.row_counter[0] +.sym 19675 KEYBOARD.row_counter[1] +.sym 19676 $false +.sym 19679 KEYBOARD.COLS_SHADOW[1] +.sym 19680 $false +.sym 19681 $false +.sym 19682 $false +.sym 19683 $abc$32112$n822 +.sym 19684 CLK$2$2 +.sym 19685 $0\KBD_FREEZE[0:0]$2 +.sym 19760 KEYBOARD.report[57] +.sym 19761 KEYBOARD.report[49] +.sym 19762 $abc$32112$n1628 +.sym 19763 $abc$32112$n882 +.sym 19766 KEYBOARD.report[25] +.sym 19767 KEYBOARD.report[17] +.sym 19768 $abc$32112$n1628 +.sym 19769 $abc$32112$n1035 +.sym 19772 $abc$32112$n1046 +.sym 19773 $abc$32112$n1049 +.sym 19774 $abc$32112$n1050 +.sym 19775 $false +.sym 19778 $abc$32112$n741 +.sym 19779 $abc$32112$n717 +.sym 19780 $abc$32112$n671 +.sym 19781 $false +.sym 19784 $abc$32112$n1032 +.sym 19785 $abc$32112$n1033 +.sym 19786 I2C.byte_counter[1] +.sym 19787 I2C.byte_counter[2] +.sym 19790 KEYBOARD.report[59] +.sym 19791 KEYBOARD.report[51] +.sym 19792 $abc$32112$n1628 +.sym 19793 $abc$32112$n882 +.sym 19796 KEYBOARD.report[27] +.sym 19797 KEYBOARD.report[19] +.sym 19798 $abc$32112$n1628 +.sym 19799 $abc$32112$n1035 +.sym 19802 $abc$32112$n1031 +.sym 19803 $abc$32112$n1034 +.sym 19804 $abc$32112$n1036 +.sym 19805 $false +.sym 19883 $abc$32112$n741 +.sym 19884 $abc$32112$n671 +.sym 19885 $abc$32112$n755 +.sym 19886 I2C.FLT_SCL.RESET +.sym 19889 KEYBOARD.report[4] +.sym 19890 KEYBOARD.report[36] +.sym 19891 I2C.byte_counter[1] +.sym 19892 I2C.byte_counter[2] +.sym 19895 KEYBOARD.report[57] +.sym 19896 $abc$32112$n61 +.sym 19897 KEYBOARD.report[59] +.sym 19898 $abc$32112$n64 +.sym 19901 $abc$32112$n60 +.sym 19902 KEYBOARD.report[56] +.sym 19903 $false +.sym 19904 $false +.sym 19907 KEYBOARD.report[56] +.sym 19908 KEYBOARD.report[57] +.sym 19909 KEYBOARD.report[58] +.sym 19910 KEYBOARD.report[59] +.sym 19913 $abc$32112$n741 +.sym 19914 $abc$32112$n755 +.sym 19915 $false +.sym 19916 $false +.sym 19919 $abc$32112$n671 +.sym 19920 KEYBOARD.report[0] +.sym 19921 $abc$32112$n621 +.sym 19922 $false +.sym 19925 $abc$32112$n671 +.sym 19926 KEYBOARD.report[4] +.sym 19927 $abc$32112$n1167 +.sym 19928 $false +.sym 19929 $abc$32112$n605 +.sym 19930 CLK$2$2 +.sym 19931 $0\KBD_FREEZE[0:0]$2 +.sym 20006 $abc$32112$n746 +.sym 20007 $abc$32112$n1299_1 +.sym 20008 $abc$32112$n754 +.sym 20009 $abc$32112$n720_1 +.sym 20012 KEYBOARD.report[28] +.sym 20013 KEYBOARD.report[29] +.sym 20014 KEYBOARD.report[30] +.sym 20015 KEYBOARD.report[31] +.sym 20018 $abc$32112$n671 +.sym 20019 $abc$32112$n748 +.sym 20020 $abc$32112$n67 +.sym 20021 KEYBOARD.report[29] +.sym 20024 $abc$32112$n756 +.sym 20025 $abc$32112$n757 +.sym 20026 $false +.sym 20027 $false +.sym 20030 $abc$32112$n60 +.sym 20031 KEYBOARD.report[24] +.sym 20032 $abc$32112$n747 +.sym 20033 $abc$32112$n749_1 +.sym 20036 $abc$32112$n2091 +.sym 20037 $false +.sym 20038 $false +.sym 20039 $false +.sym 20042 $abc$32112$n2092 +.sym 20043 $false +.sym 20044 $false +.sym 20045 $false +.sym 20048 $abc$32112$n2087 +.sym 20049 $false +.sym 20050 $false +.sym 20051 $false +.sym 20052 $abc$32112$n631 +.sym 20053 CLK$2$2 +.sym 20054 $0\KBD_FREEZE[0:0]$2 +.sym 20129 $abc$32112$n70 +.sym 20130 KEYBOARD.report[31] +.sym 20131 $false +.sym 20132 $false +.sym 20135 KEYBOARD.report[43] +.sym 20136 KEYBOARD.report[35] +.sym 20137 $abc$32112$n1628 +.sym 20138 $false +.sym 20141 $abc$32112$n61 +.sym 20142 KEYBOARD.report[41] +.sym 20143 $abc$32112$n66 +.sym 20144 KEYBOARD.report[44] +.sym 20147 $abc$32112$n738 +.sym 20148 KEYBOARD.report[43] +.sym 20149 $abc$32112$n69 +.sym 20150 KEYBOARD.report[46] +.sym 20153 KEYBOARD.report[40] +.sym 20154 KEYBOARD.report[41] +.sym 20155 KEYBOARD.report[42] +.sym 20156 KEYBOARD.report[43] +.sym 20159 $abc$32112$n1047 +.sym 20160 $abc$32112$n1048 +.sym 20161 I2C.byte_counter[1] +.sym 20162 I2C.byte_counter[2] +.sym 20165 $abc$32112$n1057 +.sym 20166 $abc$32112$n1056 +.sym 20167 $abc$32112$n1053_1 +.sym 20168 $abc$32112$n1628 +.sym 20171 $abc$32112$n671 +.sym 20172 KEYBOARD.report[3] +.sym 20173 $abc$32112$n1165 +.sym 20174 $false +.sym 20175 $abc$32112$n605 +.sym 20176 CLK$2$2 +.sym 20177 $0\KBD_FREEZE[0:0]$2 +.sym 20252 KEYBOARD.report[28] +.sym 20253 KEYBOARD.report[60] +.sym 20254 I2C.byte_counter[2] +.sym 20255 I2C.byte_counter[1] +.sym 20258 KEYBOARD.report[12] +.sym 20259 KEYBOARD.report[44] +.sym 20260 I2C.byte_counter[1] +.sym 20261 I2C.byte_counter[2] +.sym 20264 KEYBOARD.report[11] +.sym 20265 KEYBOARD.report[3] +.sym 20266 $abc$32112$n1628 +.sym 20267 $false +.sym 20270 KEYBOARD.report[15] +.sym 20271 KEYBOARD.report[47] +.sym 20272 I2C.byte_counter[1] +.sym 20273 I2C.byte_counter[2] +.sym 20276 $abc$32112$n1054_1 +.sym 20277 $abc$32112$n1055 +.sym 20278 $false +.sym 20279 $false +.sym 20288 $false +.sym 20289 $false +.sym 20290 $false +.sym 20291 $false +.sym 20298 $abc$32112$n630 +.sym 20299 CLK$2$2 +.sym 20300 $false +.sym 20411 KEYBOARD.row_counter[0] +.sym 20412 KEYBOARD.row_counter[1] +.sym 20413 $false +.sym 20414 $false +.sym 20417 I2C.FLT_SCL.RESET +.sym 20418 $false +.sym 20419 $false +.sym 20420 $false +.sym 20421 $abc$32112$n419 +.sym 20422 CLK$2$2 +.sym 20423 $false +.sym 20460 $true +.sym 20497 $abc$32112$n2140$3 +.sym 20498 $false +.sym 20499 $abc$32112$n2140 +.sym 20500 $false +.sym 20501 $false +.sym 20503 $auto$alumacc.cc:470:replace_alu$4646.C[2] +.sym 20505 $false +.sym 20506 $abc$32112$n2106 +.sym 20510 $false +.sym 20511 $false +.sym 20512 $abc$32112$n2142 +.sym 20513 $auto$alumacc.cc:470:replace_alu$4646.C[2] +.sym 20528 $false +.sym 20529 $false +.sym 20530 $false +.sym 20531 $false +.sym 20544 $abc$32112$n630 +.sym 20545 CLK$2$2 +.sym 20546 $false +.sym 20715 $false +.sym 20717 KEYBOARD.ROWS_EN[0] +.sym 20718 $false +.sym 20720 KEYBOARD.ROWS_EN[1] +.sym 20776 I2C_INPUT_DATA[4][2] +.sym 20846 $abc$32112$n655 +.sym 20848 $abc$32112$n653 +.sym 20851 $abc$32112$n654 +.sym 20853 I2C_INPUT_DATA[5][1] +.sym 20989 $abc$32112$n2146 +.sym 20990 I2C_INPUT_DATA[8][2] +.sym 21091 $abc$32112$n2171 +.sym 21093 KEYBOARD.last_data[7] +.sym 21193 $abc$32112$n598 +.sym 21195 $abc$32112$n16 +.sym 21290 $abc$32112$n718 +.sym 21292 $abc$32112$n797 +.sym 21293 $abc$32112$n2087 +.sym 21294 $abc$32112$n1043 +.sym 21295 $abc$32112$n796 +.sym 21296 KEYBOARD.report[50] +.sym 21297 KEYBOARD.report[49] +.sym 21392 $abc$32112$n801 +.sym 21393 $abc$32112$n1028 +.sym 21394 $abc$32112$n802 +.sym 21395 $abc$32112$n799 +.sym 21396 $abc$32112$n800_1 +.sym 21397 $abc$32112$n795_1 +.sym 21398 $abc$32112$n803 +.sym 21399 KEYBOARD.report[54] +.sym 21494 $abc$32112$n1294_1 +.sym 21495 $abc$32112$n1327_1 +.sym 21496 $abc$32112$n732_1 +.sym 21497 $abc$32112$n742 +.sym 21498 $abc$32112$n1328 +.sym 21499 $abc$32112$n1064 +.sym 21500 $abc$32112$n743_1 +.sym 21501 KEYBOARD.report[52] +.sym 21596 $abc$32112$n1071 +.sym 21597 $abc$32112$n1066 +.sym 21598 $abc$32112$n772 +.sym 21599 $abc$32112$n1035 +.sym 21600 $abc$32112$n1161 +.sym 21601 $abc$32112$n1070 +.sym 21602 $abc$32112$n1032 +.sym 21603 KEYBOARD.report[1] +.sym 21698 $abc$32112$n1033 +.sym 21699 $abc$32112$n771 +.sym 21700 $abc$32112$n1167 +.sym 21701 $abc$32112$n1027 +.sym 21702 KEYBOARD.report[57] +.sym 21703 KEYBOARD.report[56] +.sym 21704 KEYBOARD.report[59] +.sym 21705 KEYBOARD.report[62] +.sym 21800 $abc$32112$n1067 +.sym 21801 $abc$32112$n1023 +.sym 21802 $abc$32112$n1026 +.sym 21803 $abc$32112$n749_1 +.sym 21804 $abc$32112$n1069 +.sym 21805 $abc$32112$n757 +.sym 21806 $abc$32112$n1024 +.sym 21807 KEYBOARD.report[40] +.sym 21902 $abc$32112$n1038 +.sym 21903 $abc$32112$n1333 +.sym 21904 $abc$32112$n1068 +.sym 21905 $abc$32112$n1165 +.sym 21906 KEYBOARD.report[41] +.sym 21907 KEYBOARD.report[46] +.sym 21908 KEYBOARD.report[47] +.sym 21909 KEYBOARD.report[42] +.sym 22004 $abc$32112$n1039 +.sym 22005 $abc$32112$n1040 +.sym 22006 $abc$32112$n1041 +.sym 22007 $abc$32112$n1025 +.sym 22008 KEYBOARD.report[15] +.sym 22009 KEYBOARD.report[8] +.sym 22010 KEYBOARD.report[9] +.sym 22011 KEYBOARD.report[10] +.sym 22109 KEYBOARD.row_counter[0] +.sym 22110 KEYBOARD.is_pressed +.sym 22111 KEYBOARD.row_counter[1] +.sym 22209 $abc$32112$n2140 +.sym 22210 KEYBOARD.report[11] +.sym 22214 KEYBOARD.report[13] +.sym 22310 $abc$32112$n22 +.sym 22312 KEYBOARD.ROWS_EN[2] +.sym 22315 KEYBOARD.ROWS_EN[3] +.sym 22487 $false +.sym 22489 KEYBOARD.ROWS_EN[2] +.sym 22490 $false +.sym 22492 KEYBOARD.ROWS_EN[3] +.sym 22653 I2C.received_byte[2] +.sym 22654 $false +.sym 22655 $false +.sym 22656 $false +.sym 22663 $abc$32112$n2235 +.sym 22664 CLK$2$2 +.sym 22665 $false +.sym 22675 KEYBOARD.COLS_SHADOW[0] +.sym 22677 I2C.SCLF +.sym 22780 I2C_INPUT_DATA[4][6] +.sym 22781 I2C_INPUT_DATA[5][3] +.sym 22782 I2C_INPUT_DATA[5][4] +.sym 22783 I2C_INPUT_DATA[4][1] +.sym 22792 I2C_INPUT_DATA[4][0] +.sym 22793 I2C_INPUT_DATA[5][7] +.sym 22794 $abc$32112$n654 +.sym 22795 $abc$32112$n656 +.sym 22810 I2C_INPUT_DATA[4][3] +.sym 22811 I2C_INPUT_DATA[4][4] +.sym 22812 I2C_INPUT_DATA[5][1] +.sym 22813 $abc$32112$n655 +.sym 22822 I2C.received_byte[1] +.sym 22823 $false +.sym 22824 $false +.sym 22825 $false +.sym 22826 $abc$32112$n2231 +.sym 22827 CLK$2$2 +.sym 22828 $false +.sym 22830 LED3$2 +.sym 22835 LED4$2 +.sym 22933 $abc$32112$n1387 +.sym 22934 $false +.sym 22935 $false +.sym 22936 $false +.sym 22939 I2C.received_byte[2] +.sym 22940 $false +.sym 22941 $false +.sym 22942 $false +.sym 22949 $abc$32112$n2227 +.sym 22950 CLK$2$2 +.sym 22951 $false +.sym 22952 KEYBOARD.COLS_SHADOW[3] +.sym 22954 KEYBOARD.COLS_SHADOW[1] +.sym 22958 KEYBOARD.COLS_SHADOW[2] +.sym 22959 I2C.SDAF +.sym 22988 $true +.sym 23025 $abc$32112$n64$2 +.sym 23026 $false +.sym 23027 $abc$32112$n64 +.sym 23028 $false +.sym 23029 $false +.sym 23031 $auto$alumacc.cc:470:replace_alu$4541.C[5] +.sym 23033 $abc$32112$n66 +.sym 23034 $true$2 +.sym 23037 $auto$alumacc.cc:470:replace_alu$4541.C[6] +.sym 23039 $abc$32112$n67 +.sym 23040 $false +.sym 23043 $auto$alumacc.cc:470:replace_alu$4541.C[7] +.sym 23045 $abc$32112$n69 +.sym 23046 $false +.sym 23049 $abc$32112$n2171$2 +.sym 23051 $abc$32112$n70 +.sym 23052 $false +.sym 23059 $abc$32112$n2171$2 +.sym 23068 KEYBOARD.COLS_SHADOW[3] +.sym 23069 $false +.sym 23070 $false +.sym 23071 $false +.sym 23072 $abc$32112$n790 +.sym 23073 CLK$2$2 +.sym 23074 $0\KBD_FREEZE[0:0]$2 +.sym 23179 $abc$32112$n1174 +.sym 23180 KEYBOARD.row_counter[1] +.sym 23181 $false +.sym 23182 $false +.sym 23191 I2C.FLT_SDA.out +.sym 23192 $false +.sym 23193 $false +.sym 23194 $false +.sym 23195 $true +.sym 23196 CLK$2$2 +.sym 23197 $false +.sym 23198 $abc$32112$n2172 +.sym 23203 KEYBOARD.report[16] +.sym 23205 KEYBOARD.report[18] +.sym 23272 $abc$32112$n2171 +.sym 23273 $abc$32112$n2172 +.sym 23274 $false +.sym 23275 $false +.sym 23284 $abc$32112$n61 +.sym 23285 KEYBOARD.report[49] +.sym 23286 $false +.sym 23287 $false +.sym 23290 $abc$32112$n671 +.sym 23291 $abc$32112$n60 +.sym 23292 $false +.sym 23293 $false +.sym 23296 KEYBOARD.report[18] +.sym 23297 KEYBOARD.report[50] +.sym 23298 I2C.byte_counter[2] +.sym 23299 I2C.byte_counter[1] +.sym 23302 $abc$32112$n797 +.sym 23303 $abc$32112$n69 +.sym 23304 KEYBOARD.report[54] +.sym 23305 $abc$32112$n798 +.sym 23308 $abc$32112$n2089 +.sym 23309 $false +.sym 23310 $false +.sym 23311 $false +.sym 23314 $abc$32112$n2088 +.sym 23315 $false +.sym 23316 $false +.sym 23317 $false +.sym 23318 $abc$32112$n693$2 +.sym 23319 CLK$2$2 +.sym 23320 $0\KBD_FREEZE[0:0]$2 +.sym 23321 $abc$32112$n2093 +.sym 23322 $abc$32112$n798 +.sym 23323 $abc$32112$n2090 +.sym 23324 KEYBOARD.report[48] +.sym 23325 KEYBOARD.report[51] +.sym 23326 KEYBOARD.report[55] +.sym 23327 KEYBOARD.report[53] +.sym 23395 $abc$32112$n802 +.sym 23396 $abc$32112$n803 +.sym 23397 $false +.sym 23398 $false +.sym 23401 KEYBOARD.report[16] +.sym 23402 KEYBOARD.report[48] +.sym 23403 I2C.byte_counter[2] +.sym 23404 I2C.byte_counter[1] +.sym 23407 KEYBOARD.report[52] +.sym 23408 KEYBOARD.report[53] +.sym 23409 KEYBOARD.report[54] +.sym 23410 KEYBOARD.report[55] +.sym 23413 $abc$32112$n63 +.sym 23414 KEYBOARD.report[50] +.sym 23415 $abc$32112$n64 +.sym 23416 KEYBOARD.report[51] +.sym 23419 $abc$32112$n60 +.sym 23420 KEYBOARD.report[48] +.sym 23421 $abc$32112$n66 +.sym 23422 KEYBOARD.report[52] +.sym 23425 $abc$32112$n799 +.sym 23426 $abc$32112$n800_1 +.sym 23427 $abc$32112$n796 +.sym 23428 $abc$32112$n1254 +.sym 23431 KEYBOARD.report[48] +.sym 23432 KEYBOARD.report[49] +.sym 23433 KEYBOARD.report[50] +.sym 23434 KEYBOARD.report[51] +.sym 23437 $abc$32112$n2093 +.sym 23438 $false +.sym 23439 $false +.sym 23440 $false +.sym 23441 $abc$32112$n693$2 +.sym 23442 CLK$2$2 +.sym 23443 $0\KBD_FREEZE[0:0]$2 +.sym 23444 $abc$32112$n1326 +.sym 23445 $abc$32112$n1078 +.sym 23446 KEYBOARD.report[23] +.sym 23447 KEYBOARD.report[22] +.sym 23448 KEYBOARD.report[19] +.sym 23449 KEYBOARD.report[20] +.sym 23450 KEYBOARD.report[17] +.sym 23451 KEYBOARD.report[21] +.sym 23518 KEYBOARD.report[19] +.sym 23519 KEYBOARD.report[22] +.sym 23520 $abc$32112$n69 +.sym 23521 $abc$32112$n738 +.sym 23524 $abc$32112$n732_1 +.sym 23525 $abc$32112$n1326 +.sym 23526 $abc$32112$n1325 +.sym 23527 $abc$32112$n1294_1 +.sym 23530 $abc$32112$n66 +.sym 23531 KEYBOARD.report[20] +.sym 23532 $false +.sym 23533 $false +.sym 23536 KEYBOARD.report[20] +.sym 23537 KEYBOARD.report[21] +.sym 23538 KEYBOARD.report[22] +.sym 23539 KEYBOARD.report[23] +.sym 23542 $abc$32112$n1327_1 +.sym 23543 KEYBOARD.report[16] +.sym 23544 $abc$32112$n60 +.sym 23545 $abc$32112$n1254 +.sym 23548 KEYBOARD.report[21] +.sym 23549 KEYBOARD.report[53] +.sym 23550 I2C.byte_counter[2] +.sym 23551 I2C.byte_counter[1] +.sym 23554 KEYBOARD.report[16] +.sym 23555 KEYBOARD.report[17] +.sym 23556 KEYBOARD.report[18] +.sym 23557 KEYBOARD.report[19] +.sym 23560 $abc$32112$n2091 +.sym 23561 $false +.sym 23562 $false +.sym 23563 $false +.sym 23564 $abc$32112$n693$2 +.sym 23565 CLK$2$2 +.sym 23566 $0\KBD_FREEZE[0:0]$2 +.sym 23567 $abc$32112$n1331_1 +.sym 23568 $abc$32112$n651 +.sym 23569 $abc$32112$n762 +.sym 23570 $abc$32112$n1330_1 +.sym 23571 $0\KBD_FREEZE[0:0] +.sym 23572 KEYBOARD.report[37] +.sym 23573 KEYBOARD.report[38] +.sym 23574 KEYBOARD.report[39] +.sym 23641 KEYBOARD.report[22] +.sym 23642 KEYBOARD.report[54] +.sym 23643 I2C.byte_counter[2] +.sym 23644 I2C.byte_counter[1] +.sym 23647 $abc$32112$n1071 +.sym 23648 $abc$32112$n1070 +.sym 23649 $abc$32112$n1067 +.sym 23650 $abc$32112$n1628 +.sym 23653 KEYBOARD.report[36] +.sym 23654 KEYBOARD.report[37] +.sym 23655 KEYBOARD.report[38] +.sym 23656 KEYBOARD.report[39] +.sym 23659 I2C.byte_counter[1] +.sym 23660 I2C.byte_counter[2] +.sym 23661 $false +.sym 23662 $false +.sym 23665 $abc$32112$n61 +.sym 23666 $abc$32112$n63 +.sym 23667 $abc$32112$n60 +.sym 23668 $false +.sym 23671 KEYBOARD.report[6] +.sym 23672 KEYBOARD.report[38] +.sym 23673 I2C.byte_counter[1] +.sym 23674 I2C.byte_counter[2] +.sym 23677 KEYBOARD.report[9] +.sym 23678 KEYBOARD.report[1] +.sym 23679 $abc$32112$n1628 +.sym 23680 $false +.sym 23683 $abc$32112$n671 +.sym 23684 KEYBOARD.report[1] +.sym 23685 $abc$32112$n1161 +.sym 23686 $false +.sym 23687 $abc$32112$n605 +.sym 23688 CLK$2$2 +.sym 23689 $0\KBD_FREEZE[0:0]$2 +.sym 23690 $abc$32112$n773 +.sym 23691 $abc$32112$n1329 +.sym 23692 $abc$32112$n1301 +.sym 23694 KEYBOARD.report[35] +.sym 23695 KEYBOARD.report[32] +.sym 23696 KEYBOARD.report[33] +.sym 23697 KEYBOARD.report[34] +.sym 23764 KEYBOARD.report[41] +.sym 23765 KEYBOARD.report[33] +.sym 23766 $abc$32112$n1628 +.sym 23767 $false +.sym 23770 $abc$32112$n772 +.sym 23771 $abc$32112$n773 +.sym 23772 $false +.sym 23773 $false +.sym 23776 $abc$32112$n60 +.sym 23777 $abc$32112$n61 +.sym 23778 $abc$32112$n63 +.sym 23779 $false +.sym 23782 KEYBOARD.report[0] +.sym 23783 KEYBOARD.report[32] +.sym 23784 I2C.byte_counter[1] +.sym 23785 I2C.byte_counter[2] +.sym 23788 $abc$32112$n2088 +.sym 23789 $false +.sym 23790 $false +.sym 23791 $false +.sym 23794 $abc$32112$n2087 +.sym 23795 $false +.sym 23796 $false +.sym 23797 $false +.sym 23800 $abc$32112$n2090 +.sym 23801 $false +.sym 23802 $false +.sym 23803 $false +.sym 23806 $abc$32112$n2093 +.sym 23807 $false +.sym 23808 $false +.sym 23809 $false +.sym 23810 $abc$32112$n720$2 +.sym 23811 CLK$2$2 +.sym 23812 $0\KBD_FREEZE[0:0]$2 +.sym 23813 $abc$32112$n1298 +.sym 23814 $abc$32112$n1299_1 +.sym 23815 $abc$32112$n1042 +.sym 23817 $abc$32112$n1163 +.sym 23818 $abc$32112$n1297 +.sym 23819 $abc$32112$n2091 +.sym 23820 KEYBOARD.report[2] +.sym 23887 $abc$32112$n1068 +.sym 23888 $abc$32112$n1069 +.sym 23889 $false +.sym 23890 $false +.sym 23893 $abc$32112$n1028 +.sym 23894 $abc$32112$n1027 +.sym 23895 $abc$32112$n1024 +.sym 23896 $abc$32112$n1628 +.sym 23899 KEYBOARD.report[24] +.sym 23900 KEYBOARD.report[56] +.sym 23901 I2C.byte_counter[2] +.sym 23902 I2C.byte_counter[1] +.sym 23905 KEYBOARD.report[30] +.sym 23906 $abc$32112$n69 +.sym 23907 KEYBOARD.report[27] +.sym 23908 $abc$32112$n738 +.sym 23911 KEYBOARD.report[30] +.sym 23912 KEYBOARD.report[62] +.sym 23913 I2C.byte_counter[2] +.sym 23914 I2C.byte_counter[1] +.sym 23917 KEYBOARD.report[24] +.sym 23918 KEYBOARD.report[25] +.sym 23919 KEYBOARD.report[26] +.sym 23920 KEYBOARD.report[27] +.sym 23923 $abc$32112$n1025 +.sym 23924 $abc$32112$n1026 +.sym 23925 $false +.sym 23926 $false +.sym 23929 $abc$32112$n2087 +.sym 23930 $false +.sym 23931 $false +.sym 23932 $false +.sym 23933 $abc$32112$n678 +.sym 23934 CLK$2$2 +.sym 23935 $0\KBD_FREEZE[0:0]$2 +.sym 23938 KEYBOARD.report[30] +.sym 23939 KEYBOARD.report[27] +.sym 23941 KEYBOARD.report[25] +.sym 23942 KEYBOARD.report[31] +.sym 23943 KEYBOARD.report[26] +.sym 24010 $abc$32112$n1042 +.sym 24011 $abc$32112$n1043 +.sym 24012 $abc$32112$n1039 +.sym 24013 $abc$32112$n1628 +.sym 24016 KEYBOARD.report[43] +.sym 24017 $abc$32112$n738 +.sym 24018 KEYBOARD.report[42] +.sym 24019 $abc$32112$n63 +.sym 24022 KEYBOARD.report[14] +.sym 24023 KEYBOARD.report[46] +.sym 24024 I2C.byte_counter[1] +.sym 24025 I2C.byte_counter[2] +.sym 24028 $abc$32112$n63 +.sym 24029 $abc$32112$n61 +.sym 24030 $abc$32112$n60 +.sym 24031 $false +.sym 24034 $abc$32112$n2088 +.sym 24035 $false +.sym 24036 $false +.sym 24037 $false +.sym 24040 $abc$32112$n2093 +.sym 24041 $false +.sym 24042 $false +.sym 24043 $false +.sym 24046 $abc$32112$n2094 +.sym 24047 $false +.sym 24048 $false +.sym 24049 $false +.sym 24052 $abc$32112$n2089 +.sym 24053 $false +.sym 24054 $false +.sym 24055 $false +.sym 24056 $abc$32112$n678 +.sym 24057 CLK$2$2 +.sym 24058 $0\KBD_FREEZE[0:0]$2 +.sym 24062 KEYBOARD.report[36] +.sym 24133 $abc$32112$n1040 +.sym 24134 $abc$32112$n1041 +.sym 24135 $false +.sym 24136 $false +.sym 24139 KEYBOARD.report[10] +.sym 24140 KEYBOARD.report[42] +.sym 24141 I2C.byte_counter[1] +.sym 24142 I2C.byte_counter[2] +.sym 24145 KEYBOARD.report[26] +.sym 24146 KEYBOARD.report[58] +.sym 24147 I2C.byte_counter[2] +.sym 24148 I2C.byte_counter[1] +.sym 24151 KEYBOARD.report[8] +.sym 24152 KEYBOARD.report[40] +.sym 24153 I2C.byte_counter[1] +.sym 24154 I2C.byte_counter[2] +.sym 24157 $false +.sym 24158 $false +.sym 24159 $false +.sym 24160 $false +.sym 24163 $false +.sym 24164 $false +.sym 24165 $false +.sym 24166 $false +.sym 24169 $false +.sym 24170 $false +.sym 24171 $false +.sym 24172 $false +.sym 24175 $false +.sym 24176 $false +.sym 24177 $false +.sym 24178 $false +.sym 24179 $abc$32112$n630 +.sym 24180 CLK$2$2 +.sym 24181 $false +.sym 24185 KEYBOARD.report[58] +.sym 24187 KEYBOARD.report[60] +.sym 24274 $abc$32112$n1174 +.sym 24275 $false +.sym 24276 $false +.sym 24277 $false +.sym 24280 $abc$32112$n671 +.sym 24281 $false +.sym 24282 $false +.sym 24283 $false +.sym 24286 $abc$32112$n1175 +.sym 24287 $false +.sym 24288 $false +.sym 24289 $false +.sym 24302 $abc$32112$n839$2 +.sym 24303 CLK$2$2 +.sym 24304 $false +.sym 24385 $abc$32112$n2107 +.sym 24386 $false +.sym 24387 $false +.sym 24388 $false +.sym 24391 $false +.sym 24392 $false +.sym 24393 $false +.sym 24394 $false +.sym 24415 $false +.sym 24416 $false +.sym 24417 $false +.sym 24418 $false +.sym 24425 $abc$32112$n630 +.sym 24426 CLK$2$2 +.sym 24427 $false +.sym 24428 $abc$32112$n2003 +.sym 24432 $abc$32112$n601 +.sym 24433 KEYBOARD.ROWS_EN[0] +.sym 24435 KEYBOARD.ROWS_EN[1] +.sym 24502 $abc$32112$n23 +.sym 24503 $false +.sym 24504 $false +.sym 24505 $false +.sym 24514 KEYBOARD.row_counter[0] +.sym 24515 $false +.sym 24516 $false +.sym 24517 $false +.sym 24532 $abc$32112$n2003 +.sym 24533 $false +.sym 24534 $false +.sym 24535 $false +.sym 24548 $abc$32112$n601 +.sym 24549 CLK$2$2 +.sym 24550 $abc$32112$n23 +.sym 24915 KBD_COLUMNS[0]$2 +.sym 24916 $false +.sym 24917 $false +.sym 24918 $false +.sym 24927 SCL$2 +.sym 24928 $false +.sym 24929 $false +.sym 24930 $false +.sym 24931 $true +.sym 24932 CLK$2$2 +.sym 24933 $false +.sym 24936 KBD_COLUMNS[0]$2 +.sym 25046 I2C_INPUT_DATA[4][1] +.sym 25047 I2C_INPUT_DATA[8][1] +.sym 25048 $abc$32112$n664 +.sym 25049 $false +.sym 25076 I2C_INPUT_DATA[4][2] +.sym 25077 I2C_INPUT_DATA[8][2] +.sym 25078 $abc$32112$n664 +.sym 25079 $false +.sym 25086 $abc$32112$n501 +.sym 25087 CLK$2$2 +.sym 25088 $0\KBD_FREEZE[0:0]$2 +.sym 25089 KBD_COLUMNS[1]$2 +.sym 25091 KBD_COLUMNS[2]$2 +.sym 25195 KBD_COLUMNS[3]$2 +.sym 25196 $false +.sym 25197 $false +.sym 25198 $false +.sym 25207 KBD_COLUMNS[1]$2 +.sym 25208 $false +.sym 25209 $false +.sym 25210 $false +.sym 25231 KBD_COLUMNS[2]$2 +.sym 25232 $false +.sym 25233 $false +.sym 25234 $false +.sym 25237 I2C.SDA_IN +.sym 25238 $false +.sym 25239 $false +.sym 25240 $false +.sym 25241 $true +.sym 25242 CLK$2$2 +.sym 25243 $false +.sym 25312 $true +.sym 25349 $abc$32112$n60$2 +.sym 25350 $false +.sym 25351 $abc$32112$n60 +.sym 25352 $false +.sym 25353 $false +.sym 25355 $auto$alumacc.cc:470:replace_alu$4546.C[2] +.sym 25357 $abc$32112$n61 +.sym 25358 $false +.sym 25361 $auto$alumacc.cc:470:replace_alu$4546.C[3] +.sym 25363 $abc$32112$n63 +.sym 25364 $false +.sym 25367 $auto$alumacc.cc:470:replace_alu$4546.C[4] +.sym 25369 $abc$32112$n64 +.sym 25370 $false +.sym 25373 $auto$alumacc.cc:470:replace_alu$4546.C[5] +.sym 25375 $abc$32112$n66 +.sym 25376 $false +.sym 25379 $auto$alumacc.cc:470:replace_alu$4546.C[6] +.sym 25381 $abc$32112$n67 +.sym 25382 $true$2 +.sym 25385 $auto$alumacc.cc:470:replace_alu$4546.C[7] +.sym 25387 $abc$32112$n69 +.sym 25388 $false +.sym 25391 $abc$32112$n2172$2 +.sym 25393 $abc$32112$n70 +.sym 25394 $false +.sym 25399 KBD_COLUMNS[3]$2 +.sym 25508 $abc$32112$n2172$2 +.sym 25535 $abc$32112$n2087 +.sym 25536 $false +.sym 25537 $false +.sym 25538 $false +.sym 25547 $abc$32112$n2089 +.sym 25548 $false +.sym 25549 $false +.sym 25550 $false +.sym 25551 $abc$32112$n614 +.sym 25552 CLK$2$2 +.sym 25553 $0\KBD_FREEZE[0:0]$2 +.sym 25556 SCL$2 +.sym 25660 $abc$32112$n69 +.sym 25661 $abc$32112$n671 +.sym 25662 $false +.sym 25663 $false +.sym 25666 $abc$32112$n67 +.sym 25667 KEYBOARD.report[53] +.sym 25668 $abc$32112$n70 +.sym 25669 KEYBOARD.report[55] +.sym 25672 $abc$32112$n671 +.sym 25673 $abc$32112$n64 +.sym 25674 $false +.sym 25675 $false +.sym 25678 $abc$32112$n2087 +.sym 25679 $false +.sym 25680 $false +.sym 25681 $false +.sym 25684 $abc$32112$n2090 +.sym 25685 $false +.sym 25686 $false +.sym 25687 $false +.sym 25690 $abc$32112$n2094 +.sym 25691 $false +.sym 25692 $false +.sym 25693 $false +.sym 25696 $abc$32112$n2092 +.sym 25697 $false +.sym 25698 $false +.sym 25699 $false +.sym 25706 $abc$32112$n693$2 +.sym 25707 CLK$2$2 +.sym 25708 $0\KBD_FREEZE[0:0]$2 +.sym 25709 I2C.SDA_IN +.sym 25815 KEYBOARD.report[21] +.sym 25816 $abc$32112$n67 +.sym 25817 KEYBOARD.report[23] +.sym 25818 $abc$32112$n70 +.sym 25821 KEYBOARD.report[23] +.sym 25822 KEYBOARD.report[55] +.sym 25823 I2C.byte_counter[2] +.sym 25824 I2C.byte_counter[1] +.sym 25827 $abc$32112$n2094 +.sym 25828 $false +.sym 25829 $false +.sym 25830 $false +.sym 25833 $abc$32112$n2093 +.sym 25834 $false +.sym 25835 $false +.sym 25836 $false +.sym 25839 $abc$32112$n2090 +.sym 25840 $false +.sym 25841 $false +.sym 25842 $false +.sym 25845 $abc$32112$n2091 +.sym 25846 $false +.sym 25847 $false +.sym 25848 $false +.sym 25851 $abc$32112$n2088 +.sym 25852 $false +.sym 25853 $false +.sym 25854 $false +.sym 25857 $abc$32112$n2092 +.sym 25858 $false +.sym 25859 $false +.sym 25860 $false +.sym 25861 $abc$32112$n614 +.sym 25862 CLK$2$2 +.sym 25863 $0\KBD_FREEZE[0:0]$2 +.sym 25970 $abc$32112$n1329 +.sym 25971 $abc$32112$n1330_1 +.sym 25972 $abc$32112$n762 +.sym 25973 $abc$32112$n1301 +.sym 25976 $abc$32112$n1254 +.sym 25977 $abc$32112$n1331_1 +.sym 25978 $abc$32112$n1255 +.sym 25979 $false +.sym 25982 $abc$32112$n67 +.sym 25983 KEYBOARD.report[37] +.sym 25984 $abc$32112$n70 +.sym 25985 KEYBOARD.report[39] +.sym 25988 KEYBOARD.report[36] +.sym 25989 $abc$32112$n66 +.sym 25990 KEYBOARD.report[38] +.sym 25991 $abc$32112$n69 +.sym 25994 I2C.FLT_SCL.RESET +.sym 25995 $false +.sym 25996 $false +.sym 25997 $false +.sym 26000 $abc$32112$n2092 +.sym 26001 $false +.sym 26002 $false +.sym 26003 $false +.sym 26006 $abc$32112$n2093 +.sym 26007 $false +.sym 26008 $false +.sym 26009 $false +.sym 26012 $abc$32112$n2094 +.sym 26013 $false +.sym 26014 $false +.sym 26015 $false +.sym 26016 $abc$32112$n651 +.sym 26017 CLK$2$2 +.sym 26018 $0\KBD_FREEZE[0:0]$2 +.sym 26125 KEYBOARD.report[32] +.sym 26126 KEYBOARD.report[33] +.sym 26127 KEYBOARD.report[34] +.sym 26128 KEYBOARD.report[35] +.sym 26131 KEYBOARD.report[32] +.sym 26132 $abc$32112$n60 +.sym 26133 KEYBOARD.report[33] +.sym 26134 $abc$32112$n61 +.sym 26137 KEYBOARD.report[34] +.sym 26138 $abc$32112$n63 +.sym 26139 KEYBOARD.report[35] +.sym 26140 $abc$32112$n64 +.sym 26149 $abc$32112$n2090 +.sym 26150 $false +.sym 26151 $false +.sym 26152 $false +.sym 26155 $abc$32112$n2087 +.sym 26156 $false +.sym 26157 $false +.sym 26158 $false +.sym 26161 $abc$32112$n2088 +.sym 26162 $false +.sym 26163 $false +.sym 26164 $false +.sym 26167 $abc$32112$n2089 +.sym 26168 $false +.sym 26169 $false +.sym 26170 $false +.sym 26171 $abc$32112$n651 +.sym 26172 CLK$2$2 +.sym 26173 $0\KBD_FREEZE[0:0]$2 +.sym 26280 $abc$32112$n69 +.sym 26281 KEYBOARD.report[30] +.sym 26282 KEYBOARD.report[28] +.sym 26283 $abc$32112$n66 +.sym 26286 KEYBOARD.report[25] +.sym 26287 $abc$32112$n61 +.sym 26288 $abc$32112$n1298 +.sym 26289 $abc$32112$n1297 +.sym 26292 KEYBOARD.report[2] +.sym 26293 KEYBOARD.report[34] +.sym 26294 I2C.byte_counter[1] +.sym 26295 I2C.byte_counter[2] +.sym 26304 $abc$32112$n60 +.sym 26305 $abc$32112$n63 +.sym 26306 $abc$32112$n61 +.sym 26307 $false +.sym 26310 KEYBOARD.report[27] +.sym 26311 $abc$32112$n64 +.sym 26312 KEYBOARD.report[26] +.sym 26313 $abc$32112$n63 +.sym 26316 $abc$32112$n671 +.sym 26317 $abc$32112$n66 +.sym 26318 $false +.sym 26319 $false +.sym 26322 $abc$32112$n671 +.sym 26323 KEYBOARD.report[2] +.sym 26324 $abc$32112$n1163 +.sym 26325 $false +.sym 26326 $abc$32112$n605 +.sym 26327 CLK$2$2 +.sym 26328 $0\KBD_FREEZE[0:0]$2 +.sym 26447 $abc$32112$n2093 +.sym 26448 $false +.sym 26449 $false +.sym 26450 $false +.sym 26453 $abc$32112$n2090 +.sym 26454 $false +.sym 26455 $false +.sym 26456 $false +.sym 26465 $abc$32112$n2088 +.sym 26466 $false +.sym 26467 $false +.sym 26468 $false +.sym 26471 $abc$32112$n2094 +.sym 26472 $false +.sym 26473 $false +.sym 26474 $false +.sym 26477 $abc$32112$n2089 +.sym 26478 $false +.sym 26479 $false +.sym 26480 $false +.sym 26481 $abc$32112$n631 +.sym 26482 CLK$2$2 +.sym 26483 $0\KBD_FREEZE[0:0]$2 +.sym 26608 $abc$32112$n2091 +.sym 26609 $false +.sym 26610 $false +.sym 26611 $false +.sym 26636 $abc$32112$n651 +.sym 26637 CLK$2$2 +.sym 26638 $0\KBD_FREEZE[0:0]$2 +.sym 26763 $abc$32112$n2089 +.sym 26764 $false +.sym 26765 $false +.sym 26766 $false +.sym 26775 $abc$32112$n2091 +.sym 26776 $false +.sym 26777 $false +.sym 26778 $false +.sym 26791 $abc$32112$n720$2 +.sym 26792 CLK$2$2 +.sym 26793 $0\KBD_FREEZE[0:0]$2 +.sym 27055 KEYBOARD.row_counter[0] +.sym 27056 $false +.sym 27057 $false +.sym 27058 $false +.sym 27079 $abc$32112$n29$2 +.sym 27080 $abc$32112$n839$2 +.sym 27081 $false +.sym 27082 $false +.sym 27085 KEYBOARD.row_counter[0] +.sym 27086 $false +.sym 27087 $false +.sym 27088 $false +.sym 27097 $abc$32112$n2003 +.sym 27098 $false +.sym 27099 $false +.sym 27100 $false +.sym 27101 $abc$32112$n601 +.sym 27102 CLK$2$2 +.sym 27103 $abc$32112$n22 +.sym 27400 COM_DCD$2 +.sym 27429 $abc$32112$n693 +.sym 27430 $false +.sym 27432 I2C.SDA_DIR +.sym 27459 $0\KBD_FREEZE[0:0] +.sym 27463 I2C_TRANS +.sym 27519 LED4$2 +.sym 27522 LED3$2 +.sym 27549 LED2$2 +.sym 27552 LED1$2 diff --git a/i2c_keyboard/hardware.bin b/i2c_keyboard/hardware.bin new file mode 100644 index 0000000000000000000000000000000000000000..a239755bfed1070eef2ec132a9c2b3a0afb0ca56 GIT binary patch literal 32220 zcmeHv4}4X{mH(N!_x(xolH6#(L_!=OVAO~YL5yt*L!gwlXk+VI{D1jVib&na&$ggQ zCm{;DDxug4wZ+G3w|1**tk%+9*Ioz$D%P}iw^X+FA=ZDj8q`*7{j=XQ_uiKmz_s1| zZ9n_@$l>#5&c8Wx=FGWs=gz(1U7~lF|Ks}QXOc*KmDC*Z$;H&&NmNBb&|d|F`KxsE z7kA=@ExfSOTovOX`!6fs&!qgz%>6mqhV-AKMwF$#q~OcLYA zG?OCA%sbD-0$ZyRR61fQLa2o?XyA@KHWzWZ%F|R@+Z-|^%^@%Cn3DBGs)8CCW)~>} zZO-g0Fcw)iJk!9O*8BQ6RWh;EFPLNwOrn&T{%Wcp`Lbr#08|c`B=#6^Y}N!c=F9y+ z;dKOaX>B&f*(BpK^E%Ol<7Vjr0kGy`laWiOW)+F~5A_u(21F-qvH=X4tGTL?Gy7et z|Kb;zP+VA$e;f!UQNIoAD0XMmo%X2(qQnI^fpb#_^Oom!0VbT>ecF#a~SmgKvCYfe9HcLS|b-%GhTqNfWHpwfJocXFt z`U*<>u@=`O4{Z{XCaWe2YlJ1EqME~6vK})|bo=La>u}%|EHy<@#oQ^LVb6@pdUVv5 zm4+9bC>Qf0A6erZrRp#*VX}fI&epCCZKO~<>Ir1KVUrqj7K&h%A+Tjs2t9Odv*W>m zOn-)&!jNM)3}`hW#JH4A6+4AY*jSR7X9XPiG>K^eQPLS_Stxv%B!ddXeuu##E47z&;U&GvhdBI zFaRuhTe=F7l>Q{1G$JFB>6Ccow$Mbl>PW5Nn6|8z6BanEh|Y8=$;o%Kvr%4>++vsA zHn8$Ee=KQd71iw$>H3OEm!89l1(>vmz2(v3B0k9{>cF1EfDlttYq`CLAA^|A3v&$9 z*$cZ#z_>;Q%(l70h=e~eOi;c>dr$`C!V}2jSfU=dQ6x1PDAgEw1cIIn?4k&53npH6 zK{ZU)2v1EnLV_-xiMCm&hB7r^Qj+!Z0<%QSQYO++QH?5E$$_~_GrP@cY0#LCfC)e$ zP_dVuq)Tr+i%AkQ*Hhhmw)`!}d!70F0+?L7; z7}3luhAcvc+)1lK4A;1{*nr6|z)LvOCcGg<%_%Fb;Q>7waYXe2#t`59vL@f@-L^?$6qY5aG!MjB0_L%7bY#TE ztDd|&AW};rHUz+ClGLa|aHR&4s`}w8FTu+IxP@8P%LP>5W1^`O&;A1>xOkdzx1CYv zUTHuo{G0&n^PP($J@g?1n_wveBck`I9>ku(7MR`U+R9d7Lj#w`J zLc&WoQps=oNey_}j*B?7ZKB3v{?~d>Mrx(|NMpfDylnR#2J1}=9f1oxi1fGz#knZN zoz$RQ=P}bCCRjkifzYM13fF}KRcdN?zt)GX0a|Kn#Os$lou@7+Q9M};GDv%*`a8bd zNSzmBEm&o_<9@?>UeS74{hSpz=8b@4shjc>mag<>vH4D=P-)BRvL$spUjibwG~|8M zAENf#I8Vj)qQ%Y0kwHJl?4D|HpKc1*bzcc zkB6;9p4U}OPnH+IMT>o2Af2#Fhh{d%>!qYI0w&Q-ISl91(8VQ1GQpVG`mf} zSN5-X#uZ`_0k4-T#F01{xYz5~fuXAV`c243$sNZg(eF{Wv!rB`K!YyfyGZ+Z<<%k5 z2nGo)FXg)ZF(iySf7E?UFmzC~&A`AdNE&)uma$D77xX|HKSSQRxJH1+tGc9WYTPMi zQ2T1bek<1|k()pW2d|fsd;yb!h8rodZpNUf+va6Fjkc%Koe*F4BNQgq1Gd({87G+6 zMTJdYJvU3&W69;|33ZV{U>a%}IRlCjG}sx=3clUQx!Q7Z7jR(md1wBm8~Q`Nypzw^ z{bk3jR$U>^SP-&duZ-JNPg?e_7wxm^IBBKHu4t-ORI(*h#G|B>+era!n~4I=FdUUk zuE@Hkr0k9H0ao?@H>(|7fuHjl`!~V|%8wGfWLYqU`Ix4Pb2K zqdj0MToak`EIsWKBEtxvA*^n@>j9#iTk%>*p7Z1HUFqK8DQ#xi(o7g>lG??&9W+tAJNHUXtarQI@{#&!1F zeyB5O%s0SoE5EP0!)WZHS6K$7OqQ>CCPH^a{=~0=s4N+eaR?r}h4Qj1R&0rIsVy?r zS>yw$x&gN**FGGBLS8cDrA8U9M9(<+>7G}%%G9lseCH8=YPYhe2)8vhRa8bTgq-@` zHFhMYzQa0Ibmq-VW{?)!CP3yeD-h4!m7}zwl0FPd)I0fdLNwFUC za_l8L3@7YE#v>h!nv}!62c0eXwy{IQ`W=BxT@Fl_84RIy1YP{Q)iv6R?loMA=h=#d z%7zwya>H;BC_vM(VXFWsQvjrVi1sX%2atZqC zge^>CV*QUF_;kpyrE^^$Zw6bC15qGg>=m9Ky63rIUTiRK!FOX$Zw5_LQNkl(`lqs= zic9g-^xZoqC=9fTOc(2jYdJ3|HI3IH2*~=2s=TB}^RPx>93jl& z%57JOwDk#zV4;1b&H2QWo%Y7|^kFUbID;f3>|5XZr9SSs8@O3 ztUGjOdX|I1w)=Z0N2QevBJ=6H=Dvef`z@car@3r;}t%BNXuj{`tpt zp_*DUOKSvwHqys{7UW`a(?b!+*w4&}(;;Z2YdLf{dt#FeDO^IX2$Ei}B@uI;D)3Fa zX3lagl|^Wlrz#QMKUwAf2=IvidJy%-!2)P5igBj@Qg}a;NVNBc|Mk)T zFLdC)_izSD%pmH&AaKJf0>j6CCO?-Hi#Uu5JgRB(lQgYJAazqu z5ouB5+{?AhTPiM;GuzQ7rfj_=p?<>1V3Q;p;ANCNk-k@kC5__6C}L7wg1s~`Y3mMa z(m$KM#Pu11)G%pc%GQ;p%z8O`_aL^C2Mv6Q$iYjWj>Y<21e#t7ib#thmH?$~$pyoh z;}%$UNQI>xxR~!3JFoG~zB7ol+_1*3=3W9WV?MLp47m%&8%77f6BxMWTcR0YqG4yg zm}H!{9Dv5aR$)!QM#B*G}}MWwPnLqF4&>tmjh2g`|vV8K*|BPg7%_gHo+5_9Fe z&e1Aacgt%5j5YjLD4R8rg+>~HdAP@OsH4Lq(~Yw@2bRV*PJk?!$Wd?8MhG5F|tha_*QO9iACuHJwNr zk6E?v{LDZ);!>B~fwm9LQ}g*3`WT3jiTcM>ieb=~)c?~q(pBts9y6yvZ{ zbEK(N-iSGx=@`NeLkc*&M9(+wl2|7+2Vnrr07^N=wWg(iGFjK> zEjfwO_-8>mflDSNl;t?a@t%j0~V{8RE$Gj>k-+8wh>+O@k-88YYW_ zhLQJU9Z`~s2adc1Xj_IjpSuh<9z9c?#|mdpf~=W_BiT!1`&Cw-%#l`N#+S>L*Zq#9E_aLoXXM~AD(F)UplS2Zw($-S3juPWjO;%0D<2T7{qcvA&^~`vv_tX ztgrv==a_o#AMRr=V1;cLj9nL!kild(Cj7>8J2mW3OZ`)=Pmote}%- zKcz=72a}jQc?#CcO`CpkpVBYN&p&uOxIBR0Gjim%cQID>1Br5Mz*SXx2}(mJTx+JIaS$+#_AWd8Wd^16=c=NfN%>50~+d2EU9P^*cKm zI$@BP;DFM66#^!Wno_EX&biC5p!F;-%UtT?R41u%LGthbV)_F=RyapIdUtO?)G$sn zlcQ2((sH!A^r_dhq>g`ky&0e4;L%BQj|uu0Hc9ZelVlrXVoTHA++F5=jnbSPpadvE zoFErkFHtaj@#R-F_C((r3EcIku}OeuOY!XWL|qm|(bAX0bY%WH(3Gqm^(bs%%NK>k zy$l12pkm~n5)Hw67)+CYp|zDI!2{PcO2>M7%F`Qq2-8#B$G+E(K7%DNTaW%-s^C1Z z;EJ)A?UCqg{X>RL=(7m3!_a}BEAtcbch-QOUFO^J~CEfQ(d-x{-4?`iANyaf>^4P=x37yfoI5HP7Vbqc?nYI1T!Lr>*Pz7 zC?Rp&TktEn?WZ|=C8YB#mqD^Go*t+7QxAZZxc;je30{5tmEp7{I#>}eL$F3h88!5C zpMnalyV=Do9O+Nn%L)MA47$`BSb~dm^%$<4$|rW>V}j}veCR`mFe=aL0<|D2vw^Ef zt-JM34X@_&p*&}Bf~A3S%Xfe_z%|LUNUxA9W{h`0wPZDzBy3)y@8t3ECdn1ma|4(V zKYeq*BHFVpW7|Wy;~5mR_v^3)6PS82w+R;Xs2l#->BM(r@Z~vKXC>wK*O~g^mr-E(H0ptpPk1HNWn~JGqJxPX%z1jaqSi>?DRd zeEAoc+5Gr2g&W1(t9}B*1#p4_N{){wH9U}>`=_MQM58aZd!GS$0n=D|BuOK7`){FF zc^^GpkOATKGNR?Q%y)Q7OTT|jr_NQMkdOGbauo)kjl~6Ba(X|4IqvQI-oh)$&);4! z!Qx^s#Wc9(N`2jjwZ`~QIK6OHSVKj{#8G({JNWjuT{u8;Cz~8AQCM(pUlXCO*n49x z3+8p_1p(#ioU5`Jl@5~=^DZx}R>%|#^q(qRtVDR@GQ7)`*uYfc?q39|2&dGuxWGik zJF5d+kj_giQd}_9V}*#|_RQkP@8)?tY6+fF$ZvsG+%su%hir>AX}X&OUgCY`@xY^o z(Rnx&%dlIz^5M3aYWiZpl8$Se7C>iuHS7$4^RaKkWYluhPdGcv=`+|mXcCX~4Cuk+ zn1wLeXj#=sAIW3ZiPHka^4X!c#Y?}HT5E_h!0COx2eO1Ys+YT+^S6^Z>=78e+bO`9 zF`C;g*?2pmkxyQ*CI_lY3dH{Z5SYKVFSuG56c@!fMRAnT)Uo`1Ae@oGW)5jGvLnp< z82q!vanJAH;Lq;zpkcpfOZEP}{*N`HFk({ViFX`eZSdgx^H$6ac6)sXs2)Jpg|wW2 zrKuhRo=MTm-Gc@XMZQktML2~3HaY-tNQfLYl&ZsS-$M3~icxyud0q5Jc%jzOQ2P`P&z4YQM>=5#f z;zqo+DEcl99TZD-AvFlG?1nKx4Tmr}h=o`dH5(*4fY6oR0h|JPCQc`A-Vl+v&sAYS z{H=t-s+`5Hx&W9?Ib&&GOzSf0=6%*wjhjK{^?_5W6fvISF3CxTF* zZPgr6O!RYh2&Y$*PoM4Ohu;DChdxp~+$8QOe6!1lopw;taH146afiK%f|%C)$yUxe z&6=rc>i;{0tT-=gY&)E)*nxZ2q`>#hSK3ow+bS%2Y{gA=hP`l3CCR;%2hr0(sD^=e zSWKyqVG=vLtAToSINY8q{U;m0Kx8j5lB5wQ^Isiax}y0y0UrjXC2!cX?a zagrJ&z;D$MCBA081T7;Ufrg;*O$jheANOu*YPTjsL1B8VHy9mK#lqMvwz|uxoJB-n ztAf)w47Ch}OO#5Fzy3TUVT*b8Q&R*Z58Q&#VLg}YmNz!iStev~X{S9RVX_o4uuHi- zzO!DKA}M48t(-vE6lnxYHMl*YWFakL#DtVBHOd+xbIE|{`clK!F%Q8%+mOImqlkkO z4Lq5!CTpsDih7eQR$Ks-vlXS3IjLalXq^#V%nktojzM9p)Q8bb7reQ4t4HT4$1{+_ z1!eF=My5V=6`CQalwJbi)&=`J;H2vI9HR+t(mh3i$l7W2w+DDWbZ@zKV0km@G8map{Dl=IU)E6g&{F3qt1eASMq8(btfEQ`U}j5iJeIbxBsQc=w*zx;;H2^GpU zL^fjf`;>$MuB39Mug%eBPXJ-YzUbA~D`6?s zT{O#*(w4PrwvrFQLEvoO-Tr--*^ZSZ($T;r?BTjzX}Q*k2(y)>^7fdn=9w)3!Z;X) zbmOTbf+WvEOlXTJwhcb+9Ls09h0BH{W;p_%>uyV&kms4M&fB}MQ1PM>Jzsz}WWmGt zh?ovjf*S><95b#tKnQt`=UueZG~6L=>?YVHQsl0~DJc>dKY+on4Gp8cT7}@-l1AXM z1k)X^fgoHjt91o+TFW+mVh>)H^5d1DY3NmV!G=dmtR;JMKtnj-(vCX#wQs}y{Kt{U zKS}ol5NO<~Q32RT%wihL;&6CAcedtofG&>}z~MDtZWVg=FpFgVG7 z?Y8jHRv6ehK8Ct3pV_<*5G|tPafWQJY zQ9{H0!ru$Ix|G&%UXBQ81oHA85ARd~`-IOGyfcqc8sb1M|nyXX6mWiIXOqX38n zMFC$i+7ft+UlIiPZ1c`JV92ZYaWmKgVCvH1dxe>#U;P~~&(5zK6oe!G7O{+BF_Nid z;0Z>2{G1%DlxLseE9!3^8XoD%R2Ne2$5B#2CR1x_@IqzZtJ8f+bi(s%;@GulC7ow4 z3g_FPdEyfKIjPEVAKAV_6aC?bp;rnLfE=Df5{4j$^e~bhzqJ{$8-78@7B*k{mzmJC&g!28acNQd8!YD}M4J77DQ$y+9R#|1`EBX)NU$G7LY2a5s>_aML4puo7^qyM8+^ z0W&Om*1+uyiujAMn$27z6q@R5a=@H#PeZdtw*!AyX9ck8QD*1AUBA%>jRVAw{NgTD zU4)J$wFZ@jxeaMgwld54)f&9vv2f(uzuQj%rsInNZjG7Iw_2{s!C!;H{;yj+te4Favoa0I7IZ=4oI0A1KSx$< zkMdG+LJjZ9rB%}JmoANXLF{1*X}bUCdNKN0T5CYUB3A8lm-MsmxelvZh{<7Qf!G11TmO8r;RBD6`2EJKVJQ=Hj3k^3>zw!izisBHer= zUo8u^r_@yMl<&d&9AUbXM4v%!Y+o1Ms0`8fHfS6MueiKDn?<{BqRpuBdc9F*DJb8B ze!<3T0#o+WM_@$E-=^7F=USL>V3Ovn^6=XKp8Acf2jiLF-;xoCv@_qw^yv%Mg|mSf zN46>XmiSsc4f6c zhm;()2C{P)%roHXLFqj6Lb{(x!$lc&XiAo=EeY(^hxAlfrcWY|nQ$kqOJi6Jx<>TW z*U=HVlp*Q+K`macFdvIs3o2(usK#ixoFvOH4$y@wt~LT(j%Di6)>cEc;e!@f2EUDI znY$)Ou3~H9!3t)!xea-l?$6ojX{QDZD6zv&miyY?#{>IGU6m8BP>W|S9-CB=B$fK&M|gkm${?U=W8491rK4U@KA zSn$9Byyg{vzehY66w(h|EluPR2tx}(#X~g+CGS&4-Vc~Ow0;}}&g}OCo7|)icBzA( zh6)2NGJ`wLvv`A9c+3l(QlW+^QY1wpKo|y|91FtI0tQ4=l_vw{NG-a#E`q{!2_D9! zSl#}@Gp*=27ynrUxP!rYhiRchZYMX=ahip^uoRREfa#Eu2#5*?KCm?oMMMtj1<)xx0Rl70R{?C_~Ba%t_A_Zm3esfbntW$A8&n;dk7fM zcQog~#=nlq#5W#6ckm&p~H!PI$k9B@w`)fp!RCECWl7 zI$5I*3|%~3;}}b!eQ}gH)njlil1=e7fhIV2u!t?Ny|d}hgw?|+XnueR!u21X2eN1o z{06Fi>97=Tr96`-XjE6b~ z>w(0>kMY#o@jCzrN5l$IV&F<@qU_gyP9If{^YQfSg&_zPY6f9N)I<2fCOyhejf87! zzn2@7mxX4bwjlHGJ8>~en$Z@w$75OI~LC zadVB9^f!5{CG4Kv zj7~JHkV?zf7EG49eWlv68xR_CQCl8i38B56zWV5T(-DN^+UL*g7mQ1j!UdBTBAg%@ zAo0^;W2glOCSCATe9@2Nks#})r88@P5QSV(LdEmV+RlrYaK$O86_9t=!xGb3l@JNL zY(&n~oPz5eVRsNCPvMY>7b=VlE0IyW5*hqsDDA9ATsJC2PA8QChgc%0WmLd<`Rmp3 zaxgg&49At{IcGJrX{GUEUqBa&TfNIOF8aACzbt6I_od7Fq*9dfK^v=v`16b>Nx&p+ zWC0+N`7t&tc)o35N|)f%E*zd*1H7H%EvgLjd4-v~usKgW*d$0}S9Kl@Pp87j9v@hl zCcaUJON^XpDgl%6-U)5n1+SMKk(-dcxaJ?R0jDccQx#0wtpR(Pg;}Xi>G$G+XSQz# zi{3mtnA4{*a~@M+UcybP5IJTr%y?;29+%f$FMJF-uGm%2|IHqbh_HesskE*m`r<%g zeg0PE##uNLSw@~uat5yHt-RhK80dfPuh86(g~uPQx+!f$$sgE+Qr$RyizE@RSNfpBPVSOke9TkR@W7tKt$t1 z>xWr2PviTA->w2)b<{VNW%c!wYY|&yc5K>X7;D9^=8t)tMz5U52GPZOTO||o(0Zgx z+i`Ld3hXAE^AdY?9ByZ_Sp48_?i$mqRSgMa$XHEG6~HJ;B0h9I|Ib@2G2|;;$v6|V zv%oqo-3-Ah@g>X+Id37V0AUpV*D(pK?94nfkWnz%&9~vyB2J=7U&v!I15X^+o@a%z zZxj|cmpaPP)tf)W!6^O@j3wjnVFNVAe`8`6o2jY4!fCq&w-E`|ouSIxE^WosIf+&L zJd0k-8b)b!@wr#Lnotw}ljT0^@zJeW%ZV-*-FXHc2b>odw3$dzm(MbJ?C{3*ObwXj zgpmNoqZ!(?c@=Xlh<&SdfIqif)DF^I)x%7-o}8y>RR8jBj~NW6=FOkgrA6wME!vft zQ`iD7NV#IsmV^T@e`;S4+P2BwG6b7;Pixnn`1nLW;`hl* zn#nbp$C~8xcuo1(51OkprWsfC;=SE?`*q4|--H^2q@#LydA{q@^B{J1KjQU+(rM?S z%a^n-miK;QQ@04L9!!TH@A`){l{K|2J|iB>l%X*PIbo( zm>A6PNW&b@*uOy=|Jh~e0vA;?Pb(lz6L&3rhOy7}!YeT9>~x?hrX7j*1ZR(hnjtqZ zE{@olrF1sl(|1}~BNjK2BV&nDb2NH0wBq(880q*S+nQtxxx#LvraH$LWcG+4iviO` z?I3LE&Op^@30tI(@8tJeN~C?i4TGVTp-j-2EqFiZQh&J0GgrJ_NBAExQ`a{zRkkjV znIMVP2+m-=^upXg&gwGL&nj4X2>+i8?ue`>sL}PWJT*G zEjDrnQ0Rv+^~rnsK%^(-!S>X1F`rr+@t^Y4v2v5yPZZo$%qSK4QS4H$om zUTo9|szU}C%b}f*of+Wo$;4$STQY@X~qfPyOF#3fVaCa$Kgep7NGPcXRg7>H~)sI_UNz+urTa zR#Lv~V~mLYv|>7e5sco9^*Mdpt4)}1O|>=s;42!x+h5#4%9IcL8Y?o$nm4;qeYgQH z&t#fb4A*vDb@p#1gk`9y!Nta)Xvp4G0a%V%G#d191PfGp{4iYLiu7Am{X9Jktw&ek zO=u@AYXy-OxikijcL<`%5gtu;bA=6zSouVSK`b}8&1h@N@gL!#g{M1{n`p7@`D0BL z=cR;7^+m6~4(y}jxAC_LV&k{U5m~C-w_pnzh`S$;X55hI+B+Qjw|(~hWkgzh!OIb? zH1y8*$6%Id$jb&oKo&FpYq1rMpL*FAyeyFAH`v*jLz5Sisp9R4{Vxrz^5Noe1P({w zgBXEM+ySxF9)`maI2?fwZUm~t;r+k|clHmD{BQ)K2prxI98QJ95%|DIph_Oz4}9R0 z;BWvAN8s>&;BXEcj=%>#0#(l8{lEu42@VI~a0CwT2M*`J;Rt--BQUb&bo{qP{|Rb3 Bzt;c& literal 0 HcmV?d00001 diff --git a/i2c_keyboard/hardware.blif b/i2c_keyboard/hardware.blif new file mode 100644 index 0000000..3b9c183 --- /dev/null +++ b/i2c_keyboard/hardware.blif @@ -0,0 +1,3378 @@ +# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) + +.model top +.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] +.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] +.names $false +.names $true +1 +.names $undef +.gate SB_LUT4 I0=$abc$32112$n533 I1=$abc$32112$n2002 I2=$abc$32112$n538 I3=UART.tx_activity O=$abc$32112$n3 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000111111111 +.gate SB_LUT4 I0=$abc$32112$n534 I1=$abc$32112$n537 I2=$abc$32112$n1572 I3=$abc$32112$n1558 O=$abc$32112$n533 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110000000101 +.gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$32112$n535 I3=$false O=$abc$32112$n534 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n2106 I1=$abc$32112$n2107 I2=$false I3=$false O=$abc$32112$n535 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$32112$n2106 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$32112$n535 I3=$false O=$abc$32112$n537 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n539_1 I1=$abc$32112$n540 I2=$abc$32112$n1558 I3=$abc$32112$n1572 O=$abc$32112$n538 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010001100000000 +.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$32112$n535 I3=$false O=$abc$32112$n539_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$32112$n535 I3=$false O=$abc$32112$n540 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n542 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n5 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$32112$n542 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=$false I3=$false O=$abc$32112$n19 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$32112$n23 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$32112$n25 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n549 I1=$abc$32112$n550 I2=$abc$32112$n551 I3=$abc$32112$n552 O=$abc$32112$n29 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[11] I3=KEYBOARD.row_time[7] O=$abc$32112$n549 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$32112$n550 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[14] I1=KEYBOARD.row_time[15] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[10] O=$abc$32112$n551 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[8] I2=KEYBOARD.row_time[12] I3=KEYBOARD.row_time[13] O=$abc$32112$n552 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n554 I1=$abc$32112$n568 I2=$abc$32112$n576 I3=$abc$32112$n584 O=$abc$32112$n60 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111111110 +.gate SB_LUT4 I0=$abc$32112$n560 I1=$abc$32112$n1281_1 I2=KEYBOARD.COLS_SHADOW[1] I3=$abc$32112$n563 O=$abc$32112$n554 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n560 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$abc$32112$n1174 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1175 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=$abc$32112$n564 I3=$abc$32112$n566 O=$abc$32112$n563 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=$abc$32112$n565 I2=KEYBOARD.row_time[1] I3=$false O=$abc$32112$n564 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[7] I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$32112$n565 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n551 I1=$abc$32112$n567 I2=$false I3=$false O=$abc$32112$n566 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.row_time[11] I1=KEYBOARD.row_time[13] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[12] O=$abc$32112$n567 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n569 O=$abc$32112$n568 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=$abc$32112$n1174 I2=$false I3=$false O=$abc$32112$n569 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n566 I2=$abc$32112$n564 I3=KEYBOARD.row_time[2] O=$abc$32112$n575 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n576 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n583 I2=$abc$32112$n566 I3=KEYBOARD.row_time[2] O=$abc$32112$n582 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$abc$32112$n565 I3=$false O=$abc$32112$n583 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n584 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n585 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=$abc$32112$n566 I3=$abc$32112$n583 O=$abc$32112$n591 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n593 I1=$abc$32112$n594 I2=$abc$32112$n595_1 I3=$abc$32112$n597 O=$abc$32112$n61 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111111110 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n585 O=$abc$32112$n593 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n560 O=$abc$32112$n594 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n596 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n595_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n596 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$abc$32112$n598 O=$abc$32112$n597 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1174 I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$32112$n598 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n600 I1=$abc$32112$n560 I2=$abc$32112$n602 I3=$abc$32112$n601_1 O=$abc$32112$n63 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111111000 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$false O=$abc$32112$n600 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$abc$32112$n585 O=$abc$32112$n601_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n1174 O=$abc$32112$n602 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n604 I1=$abc$32112$n605_1 I2=$abc$32112$n606 I3=$abc$32112$n607 O=$abc$32112$n64 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111111111110 +.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n604 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n569 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n605_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n1174 O=$abc$32112$n606 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n598 O=$abc$32112$n607 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n609 I1=$abc$32112$n610 I2=$abc$32112$n611 I3=$abc$32112$n595_1 O=$abc$32112$n66 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100001110 +.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$false O=$abc$32112$n609 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n598 O=$abc$32112$n610 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n582 I2=$false I3=$false O=$abc$32112$n611 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n605_1 I1=$abc$32112$n614_1 I2=$abc$32112$n615 I3=$abc$32112$n613 O=$abc$32112$n67 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$false O=$abc$32112$n613 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$32112$n560 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n614_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n596 O=$abc$32112$n615 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1175 I1=$abc$32112$n600 I2=$abc$32112$n598 I3=$abc$32112$n613 O=$abc$32112$n617 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011101110110000 +.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n613 I2=$abc$32112$n615 I3=$false O=$abc$32112$n70 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11110001 +.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n61 I2=$abc$32112$n63 I3=$false O=$abc$32112$n621 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n624 I2=I2C.wr I3=$false O=$abc$32112$n376 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$32112$n624 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$32112$n635 I2=$abc$32112$n634 I3=$abc$32112$n626 O=$abc$32112$n396 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$32112$n632 I1=$abc$32112$n633 I2=$abc$32112$n631_1 I3=$abc$32112$n627 O=$abc$32112$n626 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n628 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n627 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$abc$32112$n25 I2=$false I3=$false O=$abc$32112$n628 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$0\uart_double_ff[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$32112$n630_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n542 I1=$abc$32112$n630_1 I2=I2C.FLT_SCL.RESET I3=$abc$32112$n628 O=$abc$32112$n631_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$32112$n632 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=LED1 I1=UART_WR I2=last_isr I3=KEYBOARD.isr O=$abc$32112$n633 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n5 I1=I2C.wr I2=last_wr I3=$false O=$abc$32112$n634 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000001 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=$abc$32112$n2169 I2=I2C_OUTPUT_TYPE[0] I3=I2C_OUTPUT_TYPE[1] O=$abc$32112$n635 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000001 +.gate SB_LUT4 I0=$abc$32112$n637 I1=I2C.is_read I2=$false I3=$false O=$abc$32112$n403 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n630_1 I2=$false I3=$false O=$abc$32112$n637 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n634 I1=$abc$32112$n637 I2=$false I3=$false O=$abc$32112$n405 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=I2C.FLT_SCL.RESET I2=$abc$32112$n640 I3=$false O=$abc$32112$n409 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n631_1 I1=$abc$32112$n632 I2=$abc$32112$n634 I3=$false O=$abc$32112$n640 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$32112$n1293 I1=$abc$32112$n677 I2=$false I3=$false O=$abc$32112$n419 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n646 I1=$abc$32112$n658 I2=I2C_INPUT_DATA[3][0] I3=$false O=$abc$32112$n645 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n647 I1=$abc$32112$n653 I2=$false I3=$false O=$abc$32112$n646 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][3] I1=I2C_INPUT_DATA[0][0] I2=I2C_INPUT_DATA[0][2] I3=$abc$32112$n648 O=$abc$32112$n647 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][1] I1=$abc$32112$n652 I2=$abc$32112$n649 I3=$false O=$abc$32112$n648 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n650 I1=$abc$32112$n651_1 I2=$false I3=$false O=$abc$32112$n649 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[1][1] I1=I2C_INPUT_DATA[1][2] I2=I2C_INPUT_DATA[1][4] I3=I2C_INPUT_DATA[1][7] O=$abc$32112$n650 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[1][0] I1=I2C_INPUT_DATA[1][3] I2=I2C_INPUT_DATA[1][5] I3=I2C_INPUT_DATA[1][6] O=$abc$32112$n651_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][4] I1=I2C_INPUT_DATA[0][5] I2=I2C_INPUT_DATA[0][6] I3=I2C_INPUT_DATA[0][7] O=$abc$32112$n652 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[5][7] I2=$abc$32112$n654 I3=$abc$32112$n656 O=$abc$32112$n653 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][3] I1=I2C_INPUT_DATA[4][4] I2=I2C_INPUT_DATA[5][1] I3=$abc$32112$n655 O=$abc$32112$n654 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][6] I1=I2C_INPUT_DATA[5][3] I2=I2C_INPUT_DATA[5][4] I3=I2C_INPUT_DATA[4][1] O=$abc$32112$n655 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][5] I1=I2C_INPUT_DATA[4][7] I2=I2C_INPUT_DATA[5][0] I3=$abc$32112$n657 O=$abc$32112$n656 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[5][2] I1=I2C_INPUT_DATA[5][5] I2=I2C_INPUT_DATA[5][6] I3=I2C_INPUT_DATA[4][2] O=$abc$32112$n657 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][4] I1=I2C_INPUT_DATA[2][5] I2=$abc$32112$n659 I3=I2C_INPUT_DATA[3][1] O=$abc$32112$n658 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n660 I1=$abc$32112$n661 I2=$abc$32112$n662 I3=$abc$32112$n663 O=$abc$32112$n659 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][1] I1=I2C_INPUT_DATA[2][0] I2=I2C_INPUT_DATA[2][2] I3=I2C_INPUT_DATA[2][3] O=$abc$32112$n660 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][4] I1=I2C_INPUT_DATA[3][5] I2=I2C_INPUT_DATA[3][6] I3=I2C_INPUT_DATA[3][7] O=$abc$32112$n661 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][6] I1=I2C_INPUT_DATA[2][7] I2=$false I3=$false O=$abc$32112$n662 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][2] I1=I2C_INPUT_DATA[3][3] I2=$false I3=$false O=$abc$32112$n663 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n665 I3=$false O=$abc$32112$n664 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n666 I1=I2C_INPUT_LEN[2] I2=$false I3=$false O=$abc$32112$n665 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$32112$n667 I2=$false I3=$false O=$abc$32112$n666 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$32112$n667 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n648 I1=$abc$32112$n670 I2=$abc$32112$n671_1 I3=$abc$32112$n673 O=$abc$32112$n669 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[2][4] I2=$abc$32112$n661 I3=$abc$32112$n662 O=$abc$32112$n670 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][2] I1=I2C_INPUT_DATA[2][3] I2=$abc$32112$n663 I3=$abc$32112$n672 O=$abc$32112$n671_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][0] I1=I2C_INPUT_DATA[0][3] I2=I2C_INPUT_DATA[2][1] I3=I2C_INPUT_DATA[0][2] O=$abc$32112$n672 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][0] I1=I2C_INPUT_DATA[3][1] I2=I2C_INPUT_DATA[2][0] I3=$false O=$abc$32112$n673 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$32112$n666 I2=I2C_INPUT_LEN[1] I3=$false O=$abc$32112$n674 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n676 I1=I2C.is_read I2=$abc$32112$n634 I3=$false O=$abc$32112$n675 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$32112$n666 O=$abc$32112$n676 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=I2C.wr I2=last_wr I3=$abc$32112$n678_1 O=$abc$32112$n677 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011010111 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$32112$n674 I2=$abc$32112$n634 I3=$false O=$abc$32112$n678_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n628 I2=I2C.FLT_SCL.RESET I3=$abc$32112$n640 O=$abc$32112$n424 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$32112$n634 I1=I2C.is_read I2=$abc$32112$n626 I3=$false O=$abc$32112$n435 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$32112$n676 I1=I2C.is_read I2=$abc$32112$n634 I3=$false O=$abc$32112$n682 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$32112$n409 I1=$abc$32112$n25 I2=$false I3=$false O=$abc$32112$n505 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n692 I2=$false I3=$false O=$abc$32112$n518 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1053 I1=$abc$32112$n686 I2=$false I3=$false O=$abc$32112$n685 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1054 I1=$abc$32112$n1065 I2=$abc$32112$n687 I3=$abc$32112$n2097 O=$abc$32112$n686 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n506 I1=I2C.FLT_SCL.out I2=$abc$32112$n8 I3=$abc$32112$n688 O=$abc$32112$n687 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n689 I1=$abc$32112$n691 I2=$false I3=$false O=$abc$32112$n688 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$32112$n1817 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$32112$n689 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$32112$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$32112$n1817 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.i2c_state_machine O=$abc$32112$n691 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111101 +.gate SB_LUT4 I0=$abc$32112$n1051 I1=$abc$32112$n693_1 I2=$false I3=$false O=$abc$32112$n692 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$32112$n1817 I3=$false O=$abc$32112$n693_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n693_1 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n525 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n696 I2=$false I3=$false O=$abc$32112$n532 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n1051 I2=$false I3=$false O=$abc$32112$n696 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n685 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n539 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n692 I2=$false I3=$false O=$abc$32112$n546 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n686 I1=$abc$32112$n1053 I2=$false I3=$false O=$abc$32112$n699 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n693_1 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n555 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n696 I2=$false I3=$false O=$abc$32112$n562 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n699 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n577 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$32112$n1817 I3=$false O=$abc$32112$n580 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n705 I2=$false I3=$false O=$abc$32112$n592 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$32112$n705 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$32112$n707 I1=$abc$32112$n710 I2=$abc$32112$n705 I3=I2C.FLT_SCL.RESET O=$abc$32112$n595 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n708 I1=UART.tx_activity I2=$false I3=$false O=$abc$32112$n707 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$32112$n709 I3=$false O=$abc$32112$n708 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$32112$n1404 I1=$abc$32112$n1407 I2=$abc$32112$n1408 I3=$false O=$abc$32112$n709 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$32112$n711 I2=$false I3=$false O=$abc$32112$n710 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$32112$n711 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n29 I1=$abc$32112$n839 I2=$false I3=$false O=$abc$32112$n601 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n10 I2=$false I3=$false O=$abc$32112$n839 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n717 I1=$abc$32112$n630 I2=$false I3=$false O=$abc$32112$n605 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1252_1 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n630 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n718 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n717 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n2171 I1=$abc$32112$n2172 I2=$false I3=$false O=$abc$32112$n718 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n718 I1=$abc$32112$n1252_1 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n720_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$32112$n724 I1=$abc$32112$n727 I2=$abc$32112$n729 I3=$abc$32112$n730 O=$abc$32112$n723 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=$abc$32112$n563 I1=$abc$32112$n582 I2=$abc$32112$n725 I3=$abc$32112$n726 O=$abc$32112$n724 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011111110 +.gate SB_LUT4 I0=$abc$32112$n1284 I1=$abc$32112$n575 I2=KEYBOARD.COLS_SHADOW[3] I3=KEYBOARD.is_pressed O=$abc$32112$n725 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111011 +.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$32112$n582 O=$abc$32112$n726 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000100000000 +.gate SB_LUT4 I0=$abc$32112$n728 I1=$abc$32112$n591 I2=$false I3=$false O=$abc$32112$n727 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$32112$n563 O=$abc$32112$n728 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000100000000 +.gate SB_LUT4 I0=$abc$32112$n1290 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n729 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011001000000000 +.gate SB_LUT4 I0=$abc$32112$n718 I1=$abc$32112$n839 I2=$false I3=$false O=$abc$32112$n730 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n66 I1=KEYBOARD.report[20] I2=$false I3=$false O=$abc$32112$n732_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n604 I1=$abc$32112$n605_1 I2=$abc$32112$n606 I3=$abc$32112$n607 O=$abc$32112$n738 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n717 I2=$abc$32112$n671 I3=$false O=$abc$32112$n739 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n724 I1=$abc$32112$n727 I2=$abc$32112$n729 I3=$false O=$abc$32112$n671 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$32112$n742 I1=$abc$32112$n743_1 I2=$false I3=$false O=$abc$32112$n741 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[21] I2=KEYBOARD.report[22] I3=KEYBOARD.report[23] O=$abc$32112$n742 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[17] I2=KEYBOARD.report[18] I3=KEYBOARD.report[19] O=$abc$32112$n743_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[24] I2=$abc$32112$n747 I3=$abc$32112$n749_1 O=$abc$32112$n746 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n748 I2=$abc$32112$n67 I3=KEYBOARD.report[29] O=$abc$32112$n747 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000001 +.gate SB_LUT4 I0=$abc$32112$n70 I1=KEYBOARD.report[31] I2=$false I3=$false O=$abc$32112$n748 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=KEYBOARD.report[30] I1=$abc$32112$n69 I2=KEYBOARD.report[27] I3=$abc$32112$n738 O=$abc$32112$n749_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n671 I2=$abc$32112$n755 I3=I2C.FLT_SCL.RESET O=$abc$32112$n754 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$32112$n756 I1=$abc$32112$n757 I2=$false I3=$false O=$abc$32112$n755 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[29] I2=KEYBOARD.report[30] I3=KEYBOARD.report[31] O=$abc$32112$n756 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[25] I2=KEYBOARD.report[26] I3=KEYBOARD.report[27] O=$abc$32112$n757 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n67 I1=KEYBOARD.report[37] I2=$abc$32112$n70 I3=KEYBOARD.report[39] O=$abc$32112$n762 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n769 I1=$abc$32112$n671 I2=$abc$32112$n717 I3=$false O=$abc$32112$n768 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n755 I2=$false I3=$false O=$abc$32112$n769 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n771 I1=$abc$32112$n769 I2=$abc$32112$n717 I3=$abc$32112$n671 O=$abc$32112$n770_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n772 I1=$abc$32112$n773 I2=$false I3=$false O=$abc$32112$n771 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[36] I1=KEYBOARD.report[37] I2=KEYBOARD.report[38] I3=KEYBOARD.report[39] O=$abc$32112$n772 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[32] I1=KEYBOARD.report[33] I2=KEYBOARD.report[34] I3=KEYBOARD.report[35] O=$abc$32112$n773 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n738 I1=KEYBOARD.report[43] I2=$abc$32112$n69 I3=KEYBOARD.report[46] O=$abc$32112$n777 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000111 +.gate SB_LUT4 I0=$abc$32112$n605_1 I1=$abc$32112$n614_1 I2=$abc$32112$n779_1 I3=$false O=$abc$32112$n778 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1175 I1=$abc$32112$n1174 I2=$abc$32112$n600 I3=$abc$32112$n613 O=$abc$32112$n779_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$32112$n61 I1=KEYBOARD.report[41] I2=$abc$32112$n66 I3=KEYBOARD.report[44] O=$abc$32112$n786 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n789 I1=$abc$32112$n790_1 I2=$false I3=$false O=$abc$32112$n788 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[44] I1=KEYBOARD.report[45] I2=KEYBOARD.report[46] I3=KEYBOARD.report[47] O=$abc$32112$n789 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[40] I1=KEYBOARD.report[41] I2=KEYBOARD.report[42] I3=KEYBOARD.report[43] O=$abc$32112$n790_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n794 I1=$abc$32112$n1259 I2=$false I3=$false O=$abc$32112$n693 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n801 I2=$abc$32112$n795_1 I3=$abc$32112$n720_1 O=$abc$32112$n794 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$32112$n799 I1=$abc$32112$n800_1 I2=$abc$32112$n796 I3=$abc$32112$n1254 O=$abc$32112$n795_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$32112$n797 I1=$abc$32112$n69 I2=KEYBOARD.report[54] I3=$abc$32112$n798 O=$abc$32112$n796 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n61 I1=KEYBOARD.report[49] I2=$false I3=$false O=$abc$32112$n797 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n67 I1=KEYBOARD.report[53] I2=$abc$32112$n70 I3=KEYBOARD.report[55] O=$abc$32112$n798 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n63 I1=KEYBOARD.report[50] I2=$abc$32112$n64 I3=KEYBOARD.report[51] O=$abc$32112$n799 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[48] I2=$abc$32112$n66 I3=KEYBOARD.report[52] O=$abc$32112$n800_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n802 I1=$abc$32112$n803 I2=$false I3=$false O=$abc$32112$n801 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[52] I1=KEYBOARD.report[53] I2=KEYBOARD.report[54] I3=KEYBOARD.report[55] O=$abc$32112$n802 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[48] I1=KEYBOARD.report[49] I2=KEYBOARD.report[50] I3=KEYBOARD.report[51] O=$abc$32112$n803 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n1259 I1=$abc$32112$n806_1 I2=$false I3=$false O=$abc$32112$n720 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n816_1 I2=$abc$32112$n1310 I3=$abc$32112$n720_1 O=$abc$32112$n806_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$32112$n809 I1=$abc$32112$n69 I2=KEYBOARD.report[62] I3=$abc$32112$n810 O=$abc$32112$n808 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[56] I2=$false I3=$false O=$abc$32112$n809 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$32112$n63 I1=KEYBOARD.report[58] I2=$abc$32112$n70 I3=KEYBOARD.report[63] O=$abc$32112$n810 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n801 I1=$abc$32112$n817 I2=$abc$32112$n818 I3=$false O=$abc$32112$n816_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.report[60] I1=KEYBOARD.report[61] I2=KEYBOARD.report[62] I3=KEYBOARD.report[63] O=$abc$32112$n817 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[56] I1=KEYBOARD.report[57] I2=KEYBOARD.report[58] I3=KEYBOARD.report[59] O=$abc$32112$n818 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n596 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n732 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n10 I1=$abc$32112$n563 I2=$false I3=$false O=$abc$32112$n823 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n596 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n749 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n596 I3=I2C.FLT_SCL.RESET O=$abc$32112$n765 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n566 I2=$abc$32112$n564 I3=KEYBOARD.row_time[2] O=$abc$32112$n826 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n569 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n770 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n569 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n785 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n569 I3=I2C.FLT_SCL.RESET O=$abc$32112$n790 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n795 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n585 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n806 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n585 I3=I2C.FLT_SCL.RESET O=$abc$32112$n811 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n816 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n611 I1=$abc$32112$n10 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n827 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n598 I3=I2C.FLT_SCL.RESET O=$abc$32112$n834 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000011111111 +.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_time[0] I2=$abc$32112$n839 I3=$false O=$abc$32112$n838 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$32112$n1518 I1=$abc$32112$n842 I2=$abc$32112$n1517 I3=$abc$32112$n1327 O=$abc$32112$n848 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[2] I1=I2C.FLT_SDA.counter[0] I2=I2C.FLT_SDA.counter[1] I3=$abc$32112$n1330 O=$abc$32112$n1518 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$false I3=$false O=$abc$32112$n842 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$32112$n1517 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$32112$n842 O=$abc$32112$n849 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$32112$n1519 I1=$abc$32112$n848_1 I2=$false I3=$false O=$abc$32112$n858 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n847 I1=$abc$32112$n1331 I2=$false I3=$false O=$abc$32112$n1519 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$32112$n847 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$32112$n1520 I1=$abc$32112$n1334 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$32112$n848_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$32112$n1520 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$32112$n847 I3=$false O=$abc$32112$n859 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$32112$n992 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10111111 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n1383 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n1384 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n1386 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$32112$n1387 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$32112$n1389 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$32112$n1390 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$32112$n1392 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$32112$n1393 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n941_1 I1=$abc$32112$n934 I2=$abc$32112$n932 I3=$abc$32112$n861_1 O=$abc$32112$n1496 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=$abc$32112$n900 I1=$abc$32112$n931_1 I2=$abc$32112$n864_1 I3=$abc$32112$n19 O=$abc$32112$n861_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=$abc$32112$n899 I1=$abc$32112$n891 I2=$abc$32112$n897 I3=$abc$32112$n865 O=$abc$32112$n864_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n866 I1=$abc$32112$n886_1 I2=$false I3=$false O=$abc$32112$n865 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n880 I1=$abc$32112$n885_1 I2=$abc$32112$n867_1 I3=$abc$32112$n875 O=$abc$32112$n866 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n872 I2=$false I3=$false O=$abc$32112$n867_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n868_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n870 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$32112$n869 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[6] I1=I2C.byte_counter[7] I2=I2C.byte_counter[5] I3=$false O=$abc$32112$n870 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$32112$n871 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n874 I2=$false I3=$false O=$abc$32112$n872 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$32112$n873 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n874 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n869 I2=$abc$32112$n878_1 I3=$abc$32112$n879 O=$abc$32112$n875 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n877 I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n876 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n877 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[6] I2=I2C.byte_counter[7] I3=I2C.byte_counter[4] O=$abc$32112$n878_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[2] O=$abc$32112$n879 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n883 I2=$false I3=$false O=$abc$32112$n880 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[0] I2=$abc$32112$n882 I3=$false O=$abc$32112$n881 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n882 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n884 I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n883 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n884 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$abc$32112$n870 I2=$false I3=$false O=$abc$32112$n885_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n888 I1=$abc$32112$n869 I2=$abc$32112$n889 I3=$abc$32112$n887 O=$abc$32112$n886_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n888 I2=$abc$32112$n871 I3=$false O=$abc$32112$n887 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n873 I3=$false O=$abc$32112$n888 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n878_1 I1=$abc$32112$n890 I2=$abc$32112$n884 I3=$false O=$abc$32112$n889 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n890 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n892_1 I1=$abc$32112$n894 I2=$false I3=$false O=$abc$32112$n891 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n893 I2=$abc$32112$n876 I3=$abc$32112$n885_1 O=$abc$32112$n892_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n890 I3=$false O=$abc$32112$n893 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n895 I2=$abc$32112$n885_1 I3=$abc$32112$n896 O=$abc$32112$n894 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n877 I2=$false I3=$false O=$abc$32112$n895 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[2] O=$abc$32112$n896 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$32112$n893 I1=$abc$32112$n876 I2=$abc$32112$n878_1 I3=$abc$32112$n898_1 O=$abc$32112$n897 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000011111 +.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n877 I2=$abc$32112$n890 I3=$false O=$abc$32112$n898_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n890 I1=$abc$32112$n874 I2=$abc$32112$n883 I3=$abc$32112$n869 O=$abc$32112$n899 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=$abc$32112$n911 I1=$abc$32112$n905 I2=$abc$32112$n901 I3=$false O=$abc$32112$n900 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n903 I1=$abc$32112$n885_1 I2=$abc$32112$n902 I3=$false O=$abc$32112$n901 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$32112$n896 I1=$abc$32112$n903 I2=$abc$32112$n878_1 I3=$abc$32112$n904_1 O=$abc$32112$n902 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$32112$n877 I1=$abc$32112$n890 I2=$abc$32112$n888 I3=$false O=$abc$32112$n903 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$32112$n895 I1=$abc$32112$n885_1 I2=$abc$32112$n869 I3=$abc$32112$n879 O=$abc$32112$n904_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$32112$n909 I1=$abc$32112$n910 I2=$abc$32112$n885_1 I3=$abc$32112$n906 O=$abc$32112$n905 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n907 I2=$abc$32112$n908_1 I3=$abc$32112$n878_1 O=$abc$32112$n906 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n884 I2=$false I3=$false O=$abc$32112$n907 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[0] O=$abc$32112$n908_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n874 I3=$false O=$abc$32112$n909 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$32112$n910 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110011111111111 +.gate SB_LUT4 I0=$abc$32112$n885_1 I1=$abc$32112$n890 I2=I2C.byte_counter[0] I3=$false O=$abc$32112$n911 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n913 I1=$abc$32112$n918 I2=$false I3=$false O=$abc$32112$n912 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n896 I2=$abc$32112$n917 I3=$abc$32112$n914_1 O=$abc$32112$n913 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$32112$n879 I1=$abc$32112$n893 I2=$abc$32112$n885_1 I3=$abc$32112$n915 O=$abc$32112$n914_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n869 I2=$abc$32112$n916 I3=$false O=$abc$32112$n915 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$32112$n882 I2=$abc$32112$n878_1 I3=$false O=$abc$32112$n916 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n877 I2=$abc$32112$n890 I3=$false O=$abc$32112$n917 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n909 I2=$abc$32112$n919 I3=$false O=$abc$32112$n918 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n874 I2=$abc$32112$n871 I3=I2C.byte_counter[2] O=$abc$32112$n919 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n923 I1=$abc$32112$n924 I2=$false I3=$false O=$abc$32112$n922 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n923 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n874 I2=$abc$32112$n890 I3=$false O=$abc$32112$n924 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n878_1 I1=$abc$32112$n910 I2=$abc$32112$n926 I3=$abc$32112$n927_1 O=$abc$32112$n925_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n896 I2=$false I3=$false O=$abc$32112$n926 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n884 I3=$abc$32112$n870 O=$abc$32112$n927_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n883 I1=$abc$32112$n878_1 I2=$abc$32112$n872 I3=$abc$32112$n885_1 O=$abc$32112$n928_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$32112$n926 I1=$abc$32112$n922 I2=$abc$32112$n913 I3=$false O=$abc$32112$n931_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n933 I1=$abc$32112$n19 I2=$false I3=$false O=$abc$32112$n932 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n934 I1=$abc$32112$n936 I2=$abc$32112$n938 I3=$abc$32112$n940 O=$abc$32112$n933 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n878_1 I2=$abc$32112$n935 I3=$false O=$abc$32112$n934 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$32112$n895 I1=$abc$32112$n871 I2=$abc$32112$n879 I3=$abc$32112$n878_1 O=$abc$32112$n935 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$32112$n883 I1=$abc$32112$n878_1 I2=$abc$32112$n937_1 I3=$abc$32112$n917 O=$abc$32112$n936 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n896 I2=$abc$32112$n878_1 I3=$false O=$abc$32112$n937_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$32112$n939 I1=$abc$32112$n923 I2=$abc$32112$n887 I3=$false O=$abc$32112$n938 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n893 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n939 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n877 I1=$abc$32112$n878_1 I2=$abc$32112$n873 I3=$abc$32112$n919 O=$abc$32112$n940 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=$abc$32112$n923 I1=$abc$32112$n942 I2=$abc$32112$n940 I3=$false O=$abc$32112$n941_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n878_1 I2=$false I3=$false O=$abc$32112$n942 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n867_1 I1=$abc$32112$n945 I2=$abc$32112$n19 I3=$abc$32112$n944 O=$abc$32112$n1499 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$abc$32112$n937_1 I1=$abc$32112$n938 I2=$abc$32112$n935 I3=$abc$32112$n932 O=$abc$32112$n944 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$32112$n911 I1=$abc$32112$n924 I2=$abc$32112$n928_1 I3=$abc$32112$n918 O=$abc$32112$n945 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n865 I1=$abc$32112$n912 I2=$abc$32112$n902 I3=$abc$32112$n948 O=$abc$32112$n947 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$32112$n899 I1=$abc$32112$n924 I2=$false I3=$false O=$abc$32112$n948 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n878_1 I2=$abc$32112$n936 I3=$abc$32112$n950 O=$abc$32112$n949 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$32112$n951 I1=$abc$32112$n923 I2=$false I3=$false O=$abc$32112$n950 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n951 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n925_1 I1=$abc$32112$n891 I2=$abc$32112$n19 I3=$abc$32112$n953_1 O=$abc$32112$n1505 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011111111111 +.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n939 I2=$abc$32112$n950 I3=$abc$32112$n932 O=$abc$32112$n953_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000011111111 +.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n950 I2=$abc$32112$n932 I3=$abc$32112$n955_1 O=$abc$32112$n1508 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111110110000 +.gate SB_LUT4 I0=$abc$32112$n913 I1=$abc$32112$n894 I2=$abc$32112$n901 I3=$abc$32112$n19 O=$abc$32112$n955_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=$abc$32112$n901 I1=$abc$32112$n897 I2=$abc$32112$n922 I3=$abc$32112$n1312 O=$abc$32112$n960 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[2] I1=I2C.FLT_SDA.counter[0] I2=I2C.FLT_SDA.counter[1] I3=$abc$32112$n1327 O=$abc$32112$n1516 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=$abc$32112$n847 I1=$abc$32112$n1334 I2=$false I3=$false O=$abc$32112$n1521 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$32112$n914_1 I1=$abc$32112$n948 I2=$abc$32112$n1312 I3=$false O=$abc$32112$n1665 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01111111 +.gate SB_LUT4 I0=$abc$32112$n978 I1=$abc$32112$n1271_1 I2=$abc$32112$n966 I3=$abc$32112$n542 O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$32112$n967 I2=$abc$32112$n971_1 I3=$abc$32112$n969_1 O=$abc$32112$n966 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$32112$n1270 I1=$abc$32112$n970 I2=$abc$32112$n969_1 I3=$false O=$abc$32112$n967 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n666 I3=I2C_INPUT_LEN[1] O=$abc$32112$n969_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[3][0] I2=$abc$32112$n659 I3=I2C_INPUT_DATA[2][4] O=$abc$32112$n970 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n972_1 I1=I2C_OUTPUT_TYPE[1] I2=$abc$32112$n676 I3=$abc$32112$n974_1 O=$abc$32112$n971_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110000011101110 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$abc$32112$n973_1 I3=$false O=$abc$32112$n972_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n649 I1=$abc$32112$n652 I2=I2C_INPUT_DATA[0][1] I3=$false O=$abc$32112$n973_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$abc$32112$n648 I3=I2C_INPUT_DATA[0][0] O=$abc$32112$n974_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n972_1 I1=I2C_INPUT_DATA[0][0] I2=$abc$32112$n974_1 I3=$abc$32112$n969_1 O=$abc$32112$n977_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$32112$n967 I1=$abc$32112$n979 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$32112$n978 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$32112$n972_1 I1=$abc$32112$n974_1 I2=$abc$32112$n969_1 I3=$false O=$abc$32112$n979 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1271_1 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$32112$n966 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n978 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n632 I1=$abc$32112$n628 I2=$false I3=$false O=$2\UART_WR[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n985 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$abc$32112$n1695 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=I2C_TX_REPORT[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$32112$n985 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n987 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$abc$32112$n1696 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=I2C_TX_REPORT[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$32112$n987 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n989 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$abc$32112$n1697 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=I2C_TX_REPORT[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$32112$n989 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n991_1 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$abc$32112$n1699 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=I2C_TX_REPORT[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$32112$n991_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n993 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$abc$32112$n1700 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=I2C_TX_REPORT[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$32112$n993 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n995 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$abc$32112$n1702 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=I2C_TX_REPORT[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$32112$n995 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n997 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$abc$32112$n1704 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=I2C_TX_REPORT[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$32112$n997 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n999 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$abc$32112$n1705 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=I2C_TX_REPORT[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$32112$n999 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1706 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n630_1 I3=$false O=$2\I2C_INPUT_LEN[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1710 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1712 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1714 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1716 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1718 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1720 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[8][0] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1723 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][1] I1=I2C_INPUT_DATA[8][1] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1726 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=I2C_INPUT_DATA[4][2] I1=I2C_INPUT_DATA[8][2] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1729 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1730 I2=$false I3=$false O=$0\rststate[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n675 I1=$abc$32112$n647 I2=$abc$32112$n665 I3=$abc$32112$n1013 O=$abc$32112$n1012 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$32112$n670 I1=$abc$32112$n1014_1 I2=$abc$32112$n660 I3=$false O=$abc$32112$n1013 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C_INPUT_DATA[3][1] I1=$abc$32112$n1015_1 I2=$abc$32112$n663 I3=$false O=$abc$32112$n1014_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_DATA[3][0] I3=$false O=$abc$32112$n1015_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1732 I2=$false I3=$false O=$0\rststate[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1734 I2=$false I3=$false O=$0\rststate[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1736 I2=$false I3=$false O=$0\rststate[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1023 I2=$false I3=$false O=$abc$32112$n1807 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n951 I1=$abc$32112$n1272_1 I2=$false I3=$false O=$abc$32112$n1020 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n907 I3=$false O=$abc$32112$n1022_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1028 I1=$abc$32112$n1027 I2=$abc$32112$n1024 I3=$abc$32112$n1628 O=$abc$32112$n1023 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111111101110 +.gate SB_LUT4 I0=$abc$32112$n1025 I1=$abc$32112$n1026 I2=$false I3=$false O=$abc$32112$n1024 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[8] I1=KEYBOARD.report[40] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1025 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[56] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1026 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[0] I1=KEYBOARD.report[32] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1027 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[48] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1028 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1030 I1=$abc$32112$n1272_1 I2=$abc$32112$n951 I3=$abc$32112$n2168 O=$abc$32112$n1808 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010001000100 +.gate SB_LUT4 I0=$abc$32112$n1031 I1=$abc$32112$n1034 I2=$abc$32112$n1036 I3=$false O=$abc$32112$n1030 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$32112$n1032 I1=$abc$32112$n1033 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1031 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000010100000011 +.gate SB_LUT4 I0=KEYBOARD.report[9] I1=KEYBOARD.report[1] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1032 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[41] I1=KEYBOARD.report[33] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1033 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[25] I1=KEYBOARD.report[17] I2=$abc$32112$n1628 I3=$abc$32112$n1035 O=$abc$32112$n1034 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n1035 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[57] I1=KEYBOARD.report[49] I2=$abc$32112$n1628 I3=$abc$32112$n882 O=$abc$32112$n1036 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1038 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1809 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1042 I1=$abc$32112$n1043 I2=$abc$32112$n1039 I3=$abc$32112$n1628 O=$abc$32112$n1038 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$32112$n1040 I1=$abc$32112$n1041 I2=$false I3=$false O=$abc$32112$n1039 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[10] I1=KEYBOARD.report[42] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1040 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[26] I1=KEYBOARD.report[58] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1041 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[2] I1=KEYBOARD.report[34] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1042 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[18] I1=KEYBOARD.report[50] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1043 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$32112$n1045 I1=$abc$32112$n1272_1 I2=$abc$32112$n951 I3=$abc$32112$n2168 O=$abc$32112$n1810 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010001000100 +.gate SB_LUT4 I0=$abc$32112$n1046 I1=$abc$32112$n1049 I2=$abc$32112$n1050 I3=$false O=$abc$32112$n1045 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$32112$n1047 I1=$abc$32112$n1048 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1046 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000010100000011 +.gate SB_LUT4 I0=KEYBOARD.report[11] I1=KEYBOARD.report[3] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1047 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[43] I1=KEYBOARD.report[35] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1048 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[27] I1=KEYBOARD.report[19] I2=$abc$32112$n1628 I3=$abc$32112$n1035 O=$abc$32112$n1049 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=KEYBOARD.report[59] I1=KEYBOARD.report[51] I2=$abc$32112$n1628 I3=$abc$32112$n882 O=$abc$32112$n1050 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1052 I2=$false I3=$false O=$abc$32112$n1811 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1057 I1=$abc$32112$n1056 I2=$abc$32112$n1053_1 I3=$abc$32112$n1628 O=$abc$32112$n1052 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111111101110 +.gate SB_LUT4 I0=$abc$32112$n1054_1 I1=$abc$32112$n1055 I2=$false I3=$false O=$abc$32112$n1053_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[12] I1=KEYBOARD.report[44] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1054_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[60] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1055 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[4] I1=KEYBOARD.report[36] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1056 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[52] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1057 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1059 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1812 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1063 I1=$abc$32112$n1064 I2=$abc$32112$n1060 I3=$abc$32112$n1628 O=$abc$32112$n1059 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$32112$n1061 I1=$abc$32112$n1062 I2=$false I3=$false O=$abc$32112$n1060 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[13] I1=KEYBOARD.report[45] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1061 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[29] I1=KEYBOARD.report[61] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1062 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[5] I1=KEYBOARD.report[37] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1063 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[21] I1=KEYBOARD.report[53] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1064 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1066 I2=$false I3=$false O=$abc$32112$n1813 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1071 I1=$abc$32112$n1070 I2=$abc$32112$n1067 I3=$abc$32112$n1628 O=$abc$32112$n1066 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111111101110 +.gate SB_LUT4 I0=$abc$32112$n1068 I1=$abc$32112$n1069 I2=$false I3=$false O=$abc$32112$n1067 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[14] I1=KEYBOARD.report[46] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1068 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[30] I1=KEYBOARD.report[62] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1069 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[6] I1=KEYBOARD.report[38] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1070 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[22] I1=KEYBOARD.report[54] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1071 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1073 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1814 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1077 I1=$abc$32112$n1078 I2=$abc$32112$n1074 I3=$abc$32112$n1628 O=$abc$32112$n1073 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$32112$n1075 I1=$abc$32112$n1076 I2=$false I3=$false O=$abc$32112$n1074 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report[15] I1=KEYBOARD.report[47] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1075 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[31] I1=KEYBOARD.report[63] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1076 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=KEYBOARD.report[7] I1=KEYBOARD.report[39] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1077 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.report[23] I1=KEYBOARD.report[55] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1078 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$32112$n1819 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$32112$n1821 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$32112$n1823 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$32112$n1817 I2=$false I3=$false O=$abc$32112$n2139 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1317_1 I1=$abc$32112$n1105 I2=$abc$32112$n1097 I3=$false O=$abc$32112$n1833 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$32112$n985 I1=$abc$32112$n993 I2=$abc$32112$n1053 I3=$abc$32112$n692 O=$abc$32112$n1086 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$32112$n989 I1=$abc$32112$n997 I2=$abc$32112$n1053 I3=$abc$32112$n696 O=$abc$32112$n1087 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$32112$n987 I1=$abc$32112$n991_1 I2=$abc$32112$n693_1 I3=$abc$32112$n1051 O=$abc$32112$n1091 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$32112$n8 I2=$false I3=$false O=$abc$32112$n1093_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1093_1 I2=$false I3=$false O=$abc$32112$n1094 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.is_ack I2=$false I3=$false O=$abc$32112$n1095 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.SDA_DIR O=$abc$32112$n1096 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=$abc$32112$n1096 I1=I2C.i2c_state_machine I2=$abc$32112$n1098 I3=$false O=$abc$32112$n1097 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$32112$n1104 I1=$abc$32112$n1096 I2=$abc$32112$n1099_1 I3=$abc$32112$n688 O=$abc$32112$n1098 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$32112$n1273 I1=$abc$32112$n1103 I2=$false I3=$false O=$abc$32112$n1099_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1102 I1=I2C.received_byte[3] I2=I2C.received_byte[5] I3=I2C.received_byte[6] O=$abc$32112$n1101 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[4] I3=I2C.received_byte[7] O=$abc$32112$n1102 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1104 I2=$false I3=$false O=$abc$32112$n1103 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1093_1 I1=$abc$32112$n506 I2=$false I3=$false O=$abc$32112$n1104 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n689 I2=$false I3=$false O=$abc$32112$n1105 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1103 I1=$abc$32112$n1273 I2=$abc$32112$n688 I3=$abc$32112$n1107 O=$abc$32112$n1835 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=$abc$32112$n1109 I1=$abc$32112$n506 I2=$abc$32112$n1108 I3=$abc$32112$n1105 O=$abc$32112$n1107 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=I2C.FLT_SCL.out I2=$abc$32112$n8 I3=$false O=$abc$32112$n1108 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$32112$n16 I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$32112$n1109 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$32112$n1274_1 I1=I2C.received_byte[0] I2=$abc$32112$n1103 I3=$abc$32112$n689 O=$abc$32112$n1837 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111110000000 +.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1819 I2=$abc$32112$n1116 I3=$abc$32112$n1051 O=$abc$32112$n1839 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$32112$n1115 I1=$abc$32112$n1114 I2=$false I3=$false O=$abc$32112$n1113 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1104 I2=$abc$32112$n688 I3=$abc$32112$n687 O=$abc$32112$n1114 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=$abc$32112$n1108 I1=$abc$32112$n1093_1 I2=$abc$32112$n506 I3=$abc$32112$n1105 O=$abc$32112$n1115 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$32112$n1117 I1=$abc$32112$n506 I2=$false I3=$false O=$abc$32112$n1116 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n689 I2=I2C.FLT_SCL.out I3=$abc$32112$n8 O=$abc$32112$n1117 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111111111011 +.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1821 I2=$abc$32112$n693_1 I3=$abc$32112$n1116 O=$abc$32112$n1841 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1823 I2=$abc$32112$n1116 I3=$abc$32112$n1053 O=$abc$32112$n1843 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$32112$n1984 I1=$abc$32112$n1128 I2=$abc$32112$n1383 I3=$abc$32112$n1126 O=$abc$32112$n1847 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000011101110 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n1127 I2=$false I3=$false O=$abc$32112$n1126 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n1094 I1=$abc$32112$n1108 I2=$abc$32112$n689 I3=$abc$32112$n506 O=$abc$32112$n1127 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=$abc$32112$n1129 I1=$abc$32112$n1392 I2=$false I3=$false O=$abc$32112$n1128 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n1384 I1=$abc$32112$n890 I2=$abc$32112$n1130 I3=I2C.byte_counter[0] O=$abc$32112$n1129 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[7] I3=$false O=$abc$32112$n1130 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n1384 I1=$abc$32112$n1275_1 I2=$abc$32112$n1126 I3=$false O=$abc$32112$n1849 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$32112$n1986 I1=$abc$32112$n1386 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1851 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n1135 I2=$false I3=$false O=$abc$32112$n1134 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1128 I1=$abc$32112$n1127 I2=$false I3=$false O=$abc$32112$n1135 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n1137 I1=I2C.byte_counter[3] I2=$abc$32112$n691 I3=$false O=$abc$32112$n1853 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$32112$n1987 I1=$abc$32112$n1387 I2=$abc$32112$n1135 I3=$false O=$abc$32112$n1137 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1139 I1=I2C.byte_counter[4] I2=$abc$32112$n691 I3=$false O=$abc$32112$n1855 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$32112$n1988 I1=$abc$32112$n1128 I2=$abc$32112$n1389 I3=$abc$32112$n1127 O=$abc$32112$n1139 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$32112$n1989 I1=$abc$32112$n1390 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1857 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1127 I1=$abc$32112$n1392 I2=$abc$32112$n1142 I3=$false O=$abc$32112$n1859 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$32112$n1990 I1=$abc$32112$n1135 I2=I2C.byte_counter[6] I3=$abc$32112$n691 O=$abc$32112$n1142 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$32112$n1991 I1=$abc$32112$n1393 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1861 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1145 I1=$abc$32112$n1146 I2=I2C.is_ack I3=$abc$32112$n691 O=$abc$32112$n1863 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000010111011 +.gate SB_LUT4 I0=$abc$32112$n506 I1=$abc$32112$n1095 I2=$abc$32112$n1099_1 I3=$abc$32112$n689 O=$abc$32112$n1145 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000011110100 +.gate SB_LUT4 I0=$abc$32112$n506 I1=$abc$32112$n689 I2=$abc$32112$n1095 I3=$abc$32112$n1093_1 O=$abc$32112$n1146 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111011100001111 +.gate SB_LUT4 I0=$abc$32112$n1108 I1=$abc$32112$n506 I2=$abc$32112$n689 I3=$abc$32112$n1150 O=$abc$32112$n1149 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n689 I2=$abc$32112$n1093_1 I3=$abc$32112$n506 O=$abc$32112$n1150 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110110011000000 +.gate SB_LUT4 I0=$abc$32112$n1109 I1=$abc$32112$n2170 I2=$abc$32112$n689 I3=$abc$32112$n1108 O=$abc$32112$n1151 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.wr O=$abc$32112$n1153 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=$abc$32112$n1404 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1993 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$32112$n1995 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$32112$n1407 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1997 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n1408 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1999 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n708 I1=$abc$32112$n710 I2=$abc$32112$n705 I3=$false O=$abc$32112$n2000 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[0] I2=$abc$32112$n621 I3=$false O=$abc$32112$n2065 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[1] I2=$abc$32112$n1161 I3=$false O=$abc$32112$n2068 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n61 I1=$abc$32112$n63 I2=$abc$32112$n60 I3=$false O=$abc$32112$n1161 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[2] I2=$abc$32112$n1163 I3=$false O=$abc$32112$n2071 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n63 I2=$abc$32112$n61 I3=$false O=$abc$32112$n1163 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[3] I2=$abc$32112$n1165 I3=$false O=$abc$32112$n2074 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n63 I1=$abc$32112$n61 I2=$abc$32112$n60 I3=$false O=$abc$32112$n1165 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[4] I2=$abc$32112$n1167 I3=$false O=$abc$32112$n2077 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n61 I2=$abc$32112$n63 I3=$false O=$abc$32112$n1167 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[5] I2=$abc$32112$n601_1 I3=$false O=$abc$32112$n2080 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[6] I2=$abc$32112$n593 I3=$false O=$abc$32112$n2083 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[7] I2=$abc$32112$n568 I3=$false O=$abc$32112$n2086 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n60 I2=$false I3=$false O=$abc$32112$n2087 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n61 I2=$false I3=$false O=$abc$32112$n2088 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n63 I2=$false I3=$false O=$abc$32112$n2089 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n64 I2=$false I3=$false O=$abc$32112$n2090 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n66 I2=$false I3=$false O=$abc$32112$n2091 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n67 I2=$false I3=$false O=$abc$32112$n2092 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n671 I2=$false I3=$false O=$abc$32112$n2093 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n70 I2=$false I3=$false O=$abc$32112$n2094 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n882 I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I3=$abc$32112$n1180 O=$abc$32112$n2227 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n939 I1=I2C.is_read I2=$abc$32112$n637 I3=$abc$32112$n2178 O=$abc$32112$n1180 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$32112$n1182 I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] O=$abc$32112$n2231 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I1=$abc$32112$n1180 I2=$false I3=$false O=$abc$32112$n1182 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$abc$32112$n1182 O=$abc$32112$n2235 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n1180 I1=$abc$32112$n1185 I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I3=$false O=$abc$32112$n2237 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n1185 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n1185 I2=$abc$32112$n1180 I3=$false O=$abc$32112$n2241 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[1] I2=$abc$32112$n1182 I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] O=$abc$32112$n2244 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n882 I2=$abc$32112$n1182 I3=$false O=$abc$32112$n2246 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$32112$n1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$32112$n7 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n10 I1=$false I2=$false I3=$false O=COM_DSR +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n12 I1=$false I2=$false I3=$false O=COM_TX +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$32112$n21 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n23 I1=$false I2=$false I3=$false O=$abc$32112$n22 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$0\KBD_FREEZE[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$abc$32112$n994 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$abc$32112$n1009 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$abc$32112$n1011 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$abc$32112$n1014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$abc$32112$n1015 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$abc$32112$n1017 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$abc$32112$n1018 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2139 I1=$false I2=$false I3=$false O=$abc$32112$n1825 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$false I2=$false I3=$false O=$abc$32112$n2003 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1384 I1=$false I2=$false I3=$false O=$abc$32112$n2095 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1821 I1=$false I2=$false I3=$false O=$abc$32112$n2096 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$32112$n2100 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2106 I1=$false I2=$false I3=$false O=$abc$32112$n2105 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$32112$n2130 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$32112$n2131 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$32112$n2132 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$32112$n2133 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$32112$n2134 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$32112$n2135 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$32112$n2136 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1819 I1=$false I2=$false I3=$false O=$abc$32112$n2137 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1823 I1=$false I2=$false I3=$false O=$abc$32112$n2138 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2107 I1=$false I2=$false I3=$false O=$abc$32112$n2140 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2141 I1=$false I2=$false I3=$false O=$abc$32112$n2142 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n2143 I1=$false I2=$false I3=$false O=$abc$32112$n2144 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1386 I1=$false I2=$false I3=$false O=$abc$32112$n2145 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1387 I1=$false I2=$false I3=$false O=$abc$32112$n2146 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1389 I1=$false I2=$false I3=$false O=$abc$32112$n2147 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1390 I1=$false I2=$false I3=$false O=$abc$32112$n2148 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1392 I1=$false I2=$false I3=$false O=$abc$32112$n2149 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n1393 I1=$false I2=$false I3=$false O=$abc$32112$n2150 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$32112$n584 I1=$abc$32112$n609 I2=$abc$32112$n569 I3=$abc$32112$n617 O=$abc$32112$n69 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110000011111111 +.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$false I3=$false O=$abc$32112$n74 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$32112$n682 I1=$abc$32112$n1293 I2=$abc$32112$n627 I3=$false O=$abc$32112$n501 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$abc$32112$n10 I3=$false O=$abc$32112$n1252_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$32112$n1328 I1=$abc$32112$n739 I2=$abc$32112$n720_1 I3=$false O=$abc$32112$n614 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$abc$32112$n723 I3=$false O=$abc$32112$n1254 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$32112$n768 I1=$abc$32112$n770_1 I2=$abc$32112$n720_1 I3=$false O=$abc$32112$n1255 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n788 I1=$abc$32112$n770_1 I2=$false I3=$false O=$abc$32112$n1257_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$32112$n770_1 I1=$abc$32112$n788 I2=$abc$32112$n1278_1 I3=$false O=$abc$32112$n1259 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n596 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n743 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n569 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n779 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n585 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n800 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n598 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n822 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$32112$n949 I1=$abc$32112$n947 I2=$abc$32112$n19 I3=$false O=$abc$32112$n1502 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n960 I2=$abc$32112$n19 I3=$false O=$abc$32112$n1514 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$32112$n646 I1=$abc$32112$n674 I2=I2C_INPUT_DATA[3][1] I3=$false O=$abc$32112$n1270 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$32112$n967 I1=$abc$32112$n972_1 I2=I2C_OUTPUT_TYPE[0] I3=$abc$32112$n977_1 O=$abc$32112$n1271_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1100111100001010 +.gate SB_LUT4 I0=$abc$32112$n1022_1 I1=$abc$32112$n2175 I2=$abc$32112$n2168 I3=$false O=$abc$32112$n1272_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$32112$n1101 I1=$abc$32112$n879 I2=$abc$32112$n871 I3=$false O=$abc$32112$n1273 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n879 I2=$abc$32112$n871 I3=$false O=$abc$32112$n1274_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$32112$n1128 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$false O=$abc$32112$n1275_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01000001 +.gate SB_LUT4 I0=$abc$32112$n1277_1 I1=$abc$32112$n1153 I2=$abc$32112$n691 I3=$false O=$abc$32112$n1865 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$32112$n1149 I1=$abc$32112$n1153 I2=$abc$32112$n1151 I3=$abc$32112$n506 O=$abc$32112$n1277_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$32112$n739 I1=$abc$32112$n771 I2=$abc$32112$n768 I3=$false O=$abc$32112$n1278_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=KEYBOARD.last_data[9] I1=KEYBOARD.last_data[1] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1279 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.last_data[13] I1=KEYBOARD.last_data[5] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1280_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1280_1 I1=$abc$32112$n1279 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1281_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.last_data[11] I1=KEYBOARD.last_data[3] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1282 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.last_data[15] I1=KEYBOARD.last_data[7] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1283 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1283 I1=$abc$32112$n1282 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1284 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.last_data[10] I1=KEYBOARD.last_data[2] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1285_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.last_data[14] I1=KEYBOARD.last_data[6] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1286_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1286_1 I1=$abc$32112$n1285_1 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1287 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=KEYBOARD.last_data[8] I1=KEYBOARD.last_data[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1288_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.last_data[12] I1=KEYBOARD.last_data[4] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1289_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$32112$n1289_1 I1=$abc$32112$n1288_1 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1290 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$32112$n645 I1=$abc$32112$n669 I2=I2C_INPUT_LEN[2] I3=I2C_INPUT_LEN[3] O=$abc$32112$n1291_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000101011000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n1291_1 I3=$abc$32112$n667 O=$abc$32112$n1292_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011111111111111 +.gate SB_LUT4 I0=$abc$32112$n675 I1=$abc$32112$n1292_1 I2=$abc$32112$n631_1 I3=$false O=$abc$32112$n1293 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=KEYBOARD.report[19] I1=KEYBOARD.report[22] I2=$abc$32112$n69 I3=$abc$32112$n738 O=$abc$32112$n1294_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000110000010 +.gate SB_LUT4 I0=KEYBOARD.report[27] I1=$abc$32112$n64 I2=KEYBOARD.report[26] I3=$abc$32112$n63 O=$abc$32112$n1297 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000000001011 +.gate SB_LUT4 I0=$abc$32112$n69 I1=KEYBOARD.report[30] I2=KEYBOARD.report[28] I3=$abc$32112$n66 O=$abc$32112$n1298 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1011000000001011 +.gate SB_LUT4 I0=KEYBOARD.report[25] I1=$abc$32112$n61 I2=$abc$32112$n1298 I3=$abc$32112$n1297 O=$abc$32112$n1299_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$32112$n746 I1=$abc$32112$n1299_1 I2=$abc$32112$n754 I3=$abc$32112$n720_1 O=$abc$32112$n631 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=KEYBOARD.report[34] I1=$abc$32112$n63 I2=KEYBOARD.report[35] I3=$abc$32112$n64 O=$abc$32112$n1301 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[45] I1=KEYBOARD.report[47] I2=$abc$32112$n70 I3=$abc$32112$n778 O=$abc$32112$n1305_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000110000010 +.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n720_1 I2=$abc$32112$n1335 I3=$abc$32112$n1278_1 O=$abc$32112$n678 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.report[57] I1=$abc$32112$n61 I2=KEYBOARD.report[59] I3=$abc$32112$n64 O=$abc$32112$n1308_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[60] I1=$abc$32112$n66 I2=KEYBOARD.report[61] I3=$abc$32112$n778 O=$abc$32112$n1309_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000100110010000 +.gate SB_LUT4 I0=$abc$32112$n1309_1 I1=$abc$32112$n808 I2=$abc$32112$n1308_1 I3=$abc$32112$n1254 O=$abc$32112$n1310 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n884 I2=$abc$32112$n879 I3=I2C.byte_counter[6] O=$abc$32112$n1311 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[7] I3=$abc$32112$n1311 O=$abc$32112$n1312 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$32112$n999 I1=$abc$32112$n995 I2=$abc$32112$n693_1 I3=$abc$32112$n1051 O=$abc$32112$n1313_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$32112$n1091 I1=$abc$32112$n1313_1 I2=$abc$32112$n1087 I3=$abc$32112$n1053 O=$abc$32112$n1314_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000001100001010 +.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1096 I2=$false I3=$false O=$abc$32112$n1315 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$32112$n1086 I1=$abc$32112$n1314_1 I2=$abc$32112$n1315 I3=$abc$32112$n506 O=$abc$32112$n1316_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000010111011 +.gate SB_LUT4 I0=$abc$32112$n1096 I1=$abc$32112$n1316_1 I2=$abc$32112$n1093_1 I3=$false O=$abc$32112$n1317_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$32112$n2139 I1=$abc$32112$n1054 I2=$abc$32112$n1117 I3=$false O=$abc$32112$n1318 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$32112$n1093_1 I1=I2C.is_ack I2=$abc$32112$n1108 I3=$abc$32112$n689 O=$abc$32112$n1319_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$32112$n1117 I1=$abc$32112$n1319_1 I2=$abc$32112$n691 I3=$abc$32112$n2139 O=$abc$32112$n1320_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000110010101111 +.gate SB_LUT4 I0=$abc$32112$n1318 I1=$abc$32112$n1320_1 I2=$abc$32112$n506 I3=$false O=$abc$32112$n1845 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$32112$n907 I1=$abc$32112$n873 I2=$abc$32112$n899 I3=$abc$32112$n924 O=$abc$32112$n1322_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$32112$n1322_1 I1=$abc$32112$n875 I2=$abc$32112$n892_1 I3=$abc$32112$n914_1 O=$abc$32112$n1323_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111111111111 +.gate SB_LUT4 I0=$abc$32112$n19 I1=$abc$32112$n1323_1 I2=$abc$32112$n923 I3=$false O=$abc$32112$n1511 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=KEYBOARD.report[17] I1=$abc$32112$n61 I2=KEYBOARD.report[18] I3=$abc$32112$n63 O=$abc$32112$n1325 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[21] I1=$abc$32112$n67 I2=KEYBOARD.report[23] I3=$abc$32112$n70 O=$abc$32112$n1326 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n732_1 I1=$abc$32112$n1326 I2=$abc$32112$n1325 I3=$abc$32112$n1294_1 O=$abc$32112$n1327_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$32112$n1327_1 I1=KEYBOARD.report[16] I2=$abc$32112$n60 I3=$abc$32112$n1254 O=$abc$32112$n1328 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=KEYBOARD.report[32] I1=$abc$32112$n60 I2=KEYBOARD.report[33] I3=$abc$32112$n61 O=$abc$32112$n1329 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[36] I1=$abc$32112$n66 I2=KEYBOARD.report[38] I3=$abc$32112$n69 O=$abc$32112$n1330_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$32112$n1329 I1=$abc$32112$n1330_1 I2=$abc$32112$n762 I3=$abc$32112$n1301 O=$abc$32112$n1331_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 0111111111111111 +.gate SB_LUT4 I0=$abc$32112$n1254 I1=$abc$32112$n1331_1 I2=$abc$32112$n1255 I3=$false O=$abc$32112$n651 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=KEYBOARD.report[43] I1=$abc$32112$n738 I2=KEYBOARD.report[42] I3=$abc$32112$n63 O=$abc$32112$n1333 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1110000000001110 +.gate SB_LUT4 I0=$abc$32112$n1333 I1=$abc$32112$n777 I2=$abc$32112$n786 I3=$abc$32112$n1305_1 O=$abc$32112$n1334_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$32112$n1254 I1=$abc$32112$n60 I2=KEYBOARD.report[40] I3=$abc$32112$n1334_1 O=$abc$32112$n1335 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.param LUT_INIT 1101011101010101 +.gate SB_CARRY CI=$abc$32112$n2140 CO=$auto$alumacc.cc:470:replace_alu$4536.C[2] I0=$false I1=$abc$32112$n2106 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4536.C[2] CO=$auto$alumacc.cc:470:replace_alu$4536.C[3] I0=$false I1=$abc$32112$n2142 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4536.C[3] CO=$abc$32112$n2002 I0=$false I1=$abc$32112$n2144 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n64 CO=$auto$alumacc.cc:470:replace_alu$4541.C[5] I0=$abc$32112$n66 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[5] CO=$auto$alumacc.cc:470:replace_alu$4541.C[6] I0=$abc$32112$n67 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[6] CO=$auto$alumacc.cc:470:replace_alu$4541.C[7] I0=$abc$32112$n69 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[7] CO=$abc$32112$n2171 I0=$abc$32112$n70 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n60 CO=$auto$alumacc.cc:470:replace_alu$4546.C[2] I0=$abc$32112$n61 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[2] CO=$auto$alumacc.cc:470:replace_alu$4546.C[3] I0=$abc$32112$n63 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[3] CO=$auto$alumacc.cc:470:replace_alu$4546.C[4] I0=$abc$32112$n64 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[4] CO=$auto$alumacc.cc:470:replace_alu$4546.C[5] I0=$abc$32112$n66 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[5] CO=$auto$alumacc.cc:470:replace_alu$4546.C[6] I0=$abc$32112$n67 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[6] CO=$auto$alumacc.cc:470:replace_alu$4546.C[7] I0=$abc$32112$n69 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[7] CO=$abc$32112$n2172 I0=$abc$32112$n70 I1=$false +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n2137 CO=$auto$alumacc.cc:470:replace_alu$4557.C[2] I0=$false I1=$abc$32112$n2096 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4557.C[2] CO=$auto$alumacc.cc:470:replace_alu$4557.C[3] I0=$false I1=$abc$32112$n2138 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4557.C[3] CO=$abc$32112$n506 I0=$false I1=$abc$32112$n2139 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n1011 CO=$auto$alumacc.cc:470:replace_alu$4562.C[2] I0=$true I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[2] CO=$auto$alumacc.cc:470:replace_alu$4562.C[3] I0=$false I1=$abc$32112$n994 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[3] CO=$auto$alumacc.cc:470:replace_alu$4562.C[4] I0=$false I1=$abc$32112$n1009 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[4] CO=$auto$alumacc.cc:470:replace_alu$4562.C[5] I0=$false I1=$abc$32112$n1018 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[5] CO=$auto$alumacc.cc:470:replace_alu$4562.C[6] I0=$false I1=$abc$32112$n1017 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[6] CO=$auto$alumacc.cc:470:replace_alu$4562.C[7] I0=$false I1=$abc$32112$n1015 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[7] CO=$abc$32112$n2175 I0=$false I1=$abc$32112$n1014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n1011 CO=$auto$alumacc.cc:470:replace_alu$4573.C[2] I0=$false I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[2] CO=$auto$alumacc.cc:470:replace_alu$4573.C[3] I0=$true I1=$abc$32112$n994 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[3] CO=$auto$alumacc.cc:470:replace_alu$4573.C[4] I0=$true I1=$abc$32112$n1009 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[4] CO=$auto$alumacc.cc:470:replace_alu$4573.C[5] I0=$false I1=$abc$32112$n1018 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[5] CO=$auto$alumacc.cc:470:replace_alu$4573.C[6] I0=$false I1=$abc$32112$n1017 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[6] CO=$auto$alumacc.cc:470:replace_alu$4573.C[7] I0=$false I1=$abc$32112$n1015 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[7] CO=$abc$32112$n2178 I0=$false I1=$abc$32112$n1014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n994 CO=$auto$alumacc.cc:470:replace_alu$4584.C[4] I0=$true I1=$abc$32112$n1009 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[4] CO=$auto$alumacc.cc:470:replace_alu$4584.C[5] I0=$false I1=$abc$32112$n1018 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[5] CO=$auto$alumacc.cc:470:replace_alu$4584.C[6] I0=$false I1=$abc$32112$n1017 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[6] CO=$auto$alumacc.cc:470:replace_alu$4584.C[7] I0=$false I1=$abc$32112$n1015 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[7] CO=$abc$32112$n2168 I0=$false I1=$abc$32112$n1014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$32112$n2130 CO=$auto$alumacc.cc:470:replace_alu$4591.C[3] I0=$false I1=$abc$32112$n2131 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[3] CO=$auto$alumacc.cc:470:replace_alu$4591.C[4] I0=$false I1=$abc$32112$n2132 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[4] CO=$auto$alumacc.cc:470:replace_alu$4591.C[5] I0=$false I1=$abc$32112$n2133 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[5] CO=$auto$alumacc.cc:470:replace_alu$4591.C[6] I0=$false I1=$abc$32112$n2134 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[6] CO=$auto$alumacc.cc:470:replace_alu$4591.C[7] I0=$false I1=$abc$32112$n2135 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[7] CO=$abc$32112$n2169 I0=$false I1=$abc$32112$n2136 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$0\KBD_FREEZE[0:0] I2=rststate[0] I3=$false O=$abc$32112$n1730 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$4596.C[1] I0=$0\KBD_FREEZE[0:0] I1=rststate[0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$4596.C[1] O=$abc$32112$n1732 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4596.C[1] CO=$auto$alumacc.cc:470:replace_alu$4596.C[2] I0=$false I1=rststate[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$4596.C[2] O=$abc$32112$n1734 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4596.C[2] CO=$auto$alumacc.cc:470:replace_alu$4596.C[3] I0=$false I1=rststate[2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$4596.C[3] O=$abc$32112$n1736 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$abc$32112$n1706 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4599.C[2] I0=I2C.byte_counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[2] O=$abc$32112$n1710 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[2] CO=$auto$alumacc.cc:470:replace_alu$4599.C[3] I0=I2C.byte_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[3] O=$abc$32112$n1712 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[3] CO=$auto$alumacc.cc:470:replace_alu$4599.C[4] I0=I2C.byte_counter[3] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[4] O=$abc$32112$n1714 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[4] CO=$auto$alumacc.cc:470:replace_alu$4599.C[5] I0=I2C.byte_counter[4] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[5] O=$abc$32112$n1716 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[5] CO=$auto$alumacc.cc:470:replace_alu$4599.C[6] I0=I2C.byte_counter[5] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[6] O=$abc$32112$n1718 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[6] CO=$auto$alumacc.cc:470:replace_alu$4599.C[7] I0=I2C.byte_counter[6] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[7] O=$abc$32112$n1720 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.byte_counter[1] CO=$auto$alumacc.cc:470:replace_alu$4602.C[3] I0=I2C.byte_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4602.C[3] O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$abc$32112$n1628 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$32112$n2095 CO=$auto$alumacc.cc:470:replace_alu$4608.C[3] I0=$false I1=$abc$32112$n2145 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[3] CO=$auto$alumacc.cc:470:replace_alu$4608.C[4] I0=$false I1=$abc$32112$n2146 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[4] CO=$auto$alumacc.cc:470:replace_alu$4608.C[5] I0=$false I1=$abc$32112$n2147 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[5] CO=$auto$alumacc.cc:470:replace_alu$4608.C[6] I0=$false I1=$abc$32112$n2148 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[6] CO=$auto$alumacc.cc:470:replace_alu$4608.C[7] I0=$false I1=$abc$32112$n2149 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[7] CO=$abc$32112$n2170 I0=$false I1=$abc$32112$n2150 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=$abc$32112$n1383 I3=$false O=$abc$32112$n1984 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$32112$n1383 CO=$auto$alumacc.cc:470:replace_alu$4613.C[2] I0=$false I1=$abc$32112$n1384 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1386 I3=$auto$alumacc.cc:470:replace_alu$4613.C[2] O=$abc$32112$n1986 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[2] CO=$auto$alumacc.cc:470:replace_alu$4613.C[3] I0=$false I1=$abc$32112$n1386 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1387 I3=$auto$alumacc.cc:470:replace_alu$4613.C[3] O=$abc$32112$n1987 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[3] CO=$auto$alumacc.cc:470:replace_alu$4613.C[4] I0=$false I1=$abc$32112$n1387 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1389 I3=$auto$alumacc.cc:470:replace_alu$4613.C[4] O=$abc$32112$n1988 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[4] CO=$auto$alumacc.cc:470:replace_alu$4613.C[5] I0=$false I1=$abc$32112$n1389 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1390 I3=$auto$alumacc.cc:470:replace_alu$4613.C[5] O=$abc$32112$n1989 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[5] CO=$auto$alumacc.cc:470:replace_alu$4613.C[6] I0=$false I1=$abc$32112$n1390 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1392 I3=$auto$alumacc.cc:470:replace_alu$4613.C[6] O=$abc$32112$n1990 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[6] CO=$auto$alumacc.cc:470:replace_alu$4613.C[7] I0=$false I1=$abc$32112$n1392 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1393 I3=$auto$alumacc.cc:470:replace_alu$4613.C[7] O=$abc$32112$n1991 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$abc$32112$n1819 I2=$false I3=$true O=$abc$32112$n1051 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$32112$n1819 CO=$auto$alumacc.cc:470:replace_alu$4616.C[2] I0=$abc$32112$n1821 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$32112$n1823 I2=$true I3=$auto$alumacc.cc:470:replace_alu$4616.C[2] O=$abc$32112$n1053 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4616.C[2] CO=$auto$alumacc.cc:470:replace_alu$4616.C[3] I0=$abc$32112$n1823 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$32112$n1825 I2=$true I3=$auto$alumacc.cc:470:replace_alu$4616.C[3] O=$abc$32112$n1054 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4616.C[3] CO=$abc$32112$n2097 I0=$abc$32112$n1825 I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$32112$n2097 O=$abc$32112$n1065 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$32112$n1331 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$4619.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4619.C[2] O=$abc$32112$n1334 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$32112$n1327 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$4622.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4622.C[2] O=$abc$32112$n1330 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$auto$wreduce.cc:347:run$4460[0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$4628.C[10] O=$auto$wreduce.cc:347:run$4460[10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[10] CO=$auto$alumacc.cc:470:replace_alu$4628.C[11] I0=$false I1=KEYBOARD.row_time[10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$4628.C[11] O=$auto$wreduce.cc:347:run$4460[11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[11] CO=$auto$alumacc.cc:470:replace_alu$4628.C[12] I0=$false I1=KEYBOARD.row_time[11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$4628.C[12] O=$auto$wreduce.cc:347:run$4460[12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[12] CO=$auto$alumacc.cc:470:replace_alu$4628.C[13] I0=$false I1=KEYBOARD.row_time[12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$4628.C[13] O=$auto$wreduce.cc:347:run$4460[13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[13] CO=$auto$alumacc.cc:470:replace_alu$4628.C[14] I0=$false I1=KEYBOARD.row_time[13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$4628.C[14] O=$auto$wreduce.cc:347:run$4460[14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[14] CO=$auto$alumacc.cc:470:replace_alu$4628.C[15] I0=$false I1=KEYBOARD.row_time[14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$4628.C[15] O=$auto$wreduce.cc:347:run$4460[15] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$4628.C[2] I0=$false I1=KEYBOARD.row_time[1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$4628.C[2] O=$auto$wreduce.cc:347:run$4460[2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[2] CO=$auto$alumacc.cc:470:replace_alu$4628.C[3] I0=$false I1=KEYBOARD.row_time[2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$4628.C[3] O=$auto$wreduce.cc:347:run$4460[3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[3] CO=$auto$alumacc.cc:470:replace_alu$4628.C[4] I0=$false I1=KEYBOARD.row_time[3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$4628.C[4] O=$auto$wreduce.cc:347:run$4460[4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[4] CO=$auto$alumacc.cc:470:replace_alu$4628.C[5] I0=$false I1=KEYBOARD.row_time[4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$4628.C[5] O=$auto$wreduce.cc:347:run$4460[5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[5] CO=$auto$alumacc.cc:470:replace_alu$4628.C[6] I0=$false I1=KEYBOARD.row_time[5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$4628.C[6] O=$auto$wreduce.cc:347:run$4460[6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[6] CO=$auto$alumacc.cc:470:replace_alu$4628.C[7] I0=$false I1=KEYBOARD.row_time[6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$4628.C[7] O=$auto$wreduce.cc:347:run$4460[7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[7] CO=$auto$alumacc.cc:470:replace_alu$4628.C[8] I0=$false I1=KEYBOARD.row_time[7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$4628.C[8] O=$auto$wreduce.cc:347:run$4460[8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[8] CO=$auto$alumacc.cc:470:replace_alu$4628.C[9] I0=$false I1=KEYBOARD.row_time[8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$4628.C[9] O=$auto$wreduce.cc:347:run$4460[9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[9] CO=$auto$alumacc.cc:470:replace_alu$4628.C[10] I0=$false I1=KEYBOARD.row_time[9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$32112$n1404 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4640.C[2] I0=UART.tx_clk_counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4640.C[2] O=$abc$32112$n1407 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4640.C[2] CO=$auto$alumacc.cc:470:replace_alu$4640.C[3] I0=UART.tx_clk_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4640.C[3] O=$abc$32112$n1408 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$32112$n2107 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4643.C[2] I0=UART.tx_bit_counter[1] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4643.C[2] O=$abc$32112$n2141 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4643.C[2] CO=$auto$alumacc.cc:470:replace_alu$4643.C[3] I0=UART.tx_bit_counter[2] I1=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4643.C[3] O=$abc$32112$n2143 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n2140 I3=$true O=$abc$32112$n1572 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$32112$n2140 CO=$auto$alumacc.cc:470:replace_alu$4646.C[2] I0=$false I1=$abc$32112$n2106 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n2142 I3=$auto$alumacc.cc:470:replace_alu$4646.C[2] O=$abc$32112$n1558 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1496 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[0] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1499 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[1] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1502 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[2] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1505 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[3] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1508 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[4] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1511 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[5] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1665 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[6] R=$abc$32112$n19 +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1514 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[7] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$0\KBD_FREEZE[0:0] +.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n5 E=$abc$32112$n396 Q=LED1 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1807 E=$abc$32112$n403 Q=I2C_TX_REPORT[0] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1808 E=$abc$32112$n403 Q=I2C_TX_REPORT[1] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1809 E=$abc$32112$n403 Q=I2C_TX_REPORT[2] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1810 E=$abc$32112$n403 Q=I2C_TX_REPORT[3] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1811 E=$abc$32112$n403 Q=I2C_TX_REPORT[4] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1812 E=$abc$32112$n403 Q=I2C_TX_REPORT[5] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1813 E=$abc$32112$n403 Q=I2C_TX_REPORT[6] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1814 E=$abc$32112$n403 Q=I2C_TX_REPORT[7] R=$abc$32112$n992 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$32112$n405 Q=UART_WR R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1695 E=$abc$32112$n409 Q=UART_TX_DATA[0] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1696 E=$abc$32112$n409 Q=UART_TX_DATA[1] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1697 E=$abc$32112$n409 Q=UART_TX_DATA[2] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1699 E=$abc$32112$n409 Q=UART_TX_DATA[3] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1700 E=$abc$32112$n409 Q=UART_TX_DATA[4] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1702 E=$abc$32112$n409 Q=UART_TX_DATA[5] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1704 E=$abc$32112$n409 Q=UART_TX_DATA[6] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n1705 E=$abc$32112$n409 Q=UART_TX_DATA[7] S=$abc$32112$n25 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SCL.RESET E=$abc$32112$n419 Q=$abc$32112$n10 +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$32112$n424 Q=I2C_INPUT_LEN[0] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$32112$n424 Q=I2C_INPUT_LEN[1] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$32112$n424 Q=I2C_INPUT_LEN[2] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$32112$n424 Q=I2C_INPUT_LEN[3] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$32112$n424 Q=I2C_INPUT_LEN[4] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$32112$n424 Q=I2C_INPUT_LEN[5] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$32112$n424 Q=I2C_INPUT_LEN[6] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$32112$n424 Q=I2C_INPUT_LEN[7] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[0] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[1] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[2] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[0] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[1] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[2] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[3] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[4] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[5] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[6] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[7] R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1723 E=$abc$32112$n501 Q=LED2 R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1726 E=$abc$32112$n501 Q=LED3 R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1729 E=$abc$32112$n501 Q=LED4 R=$0\KBD_FREEZE[0:0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.wr E=I2C.FLT_SCL.RESET Q=last_wr +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C_TRANS E=I2C.FLT_SCL.RESET Q=last_trans +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART.tx_activity E=I2C.FLT_SCL.RESET Q=last_uart_active +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=KEYBOARD.isr E=I2C.FLT_SCL.RESET Q=last_isr +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$abc$32112$n505 Q=uart_double_ff +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] +.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF +.attr src "i2c_slave.v:47|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF +.attr src "i2c_slave.v:47|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFF C=CLK D=$abc$32112$n1865 Q=I2C.wr +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1863 Q=I2C.is_ack +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1847 Q=I2C.byte_counter[0] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1849 Q=I2C.byte_counter[1] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1851 Q=I2C.byte_counter[2] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1853 Q=I2C.byte_counter[3] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1855 Q=I2C.byte_counter[4] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1857 Q=I2C.byte_counter[5] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1859 Q=I2C.byte_counter[6] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1861 Q=I2C.byte_counter[7] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n518 Q=I2C.received_byte[0] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n525 Q=I2C.received_byte[1] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n532 Q=I2C.received_byte[2] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n539 Q=I2C.received_byte[3] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n546 Q=I2C.received_byte[4] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n555 Q=I2C.received_byte[5] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n562 Q=I2C.received_byte[6] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n577 Q=I2C.received_byte[7] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$32112$n1839 Q=I2C.i2c_bit_counter[0] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1841 Q=I2C.i2c_bit_counter[1] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1843 Q=I2C.i2c_bit_counter[2] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1845 Q=I2C.i2c_bit_counter[3] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1837 Q=I2C.is_read +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$32112$n1817 E=$abc$32112$n580 Q=I2C.i2c_start_latency +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$32112$n7 Q=$abc$32112$n16 +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1 Q=$abc$32112$n8 +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$32112$n1833 Q=I2C.SDA_DIR +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$32112$n2000 E=I2C.FLT_SCL.RESET Q=UART.tx_activity +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n3 E=$abc$32112$n595 Q=$abc$32112$n12 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1993 E=$abc$32112$n592 Q=UART.tx_clk_counter[0] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1995 E=$abc$32112$n592 Q=UART.tx_clk_counter[1] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1997 E=$abc$32112$n592 Q=UART.tx_clk_counter[2] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n1999 E=$abc$32112$n592 Q=UART.tx_clk_counter[3] R=$0\KBD_FREEZE[0:0] +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n2107 E=$abc$32112$n595 Q=UART.tx_bit_counter[0] S=$abc$32112$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2105 E=$abc$32112$n595 Q=UART.tx_bit_counter[1] R=$abc$32112$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2141 E=$abc$32112$n595 Q=UART.tx_bit_counter[2] R=$abc$32112$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$32112$n2143 E=$abc$32112$n595 Q=UART.tx_bit_counter[3] S=$abc$32112$n21 +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last +.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n671 E=$abc$32112$n839 Q=KEYBOARD.is_pressed +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] +.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] +.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] +.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] +.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[0] R=$abc$32112$n22 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2003 E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[1] R=$abc$32112$n22 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[2] R=$abc$32112$n23 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2003 E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[3] R=$abc$32112$n23 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n74 E=$abc$32112$n839 Q=KEYBOARD.isr +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2065 E=$abc$32112$n605 Q=KEYBOARD.report[0] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2068 E=$abc$32112$n605 Q=KEYBOARD.report[1] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2071 E=$abc$32112$n605 Q=KEYBOARD.report[2] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2074 E=$abc$32112$n605 Q=KEYBOARD.report[3] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2077 E=$abc$32112$n605 Q=KEYBOARD.report[4] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2080 E=$abc$32112$n605 Q=KEYBOARD.report[5] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2083 E=$abc$32112$n605 Q=KEYBOARD.report[6] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2086 E=$abc$32112$n605 Q=KEYBOARD.report[7] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[8] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[9] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[10] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[11] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[12] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[13] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[14] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[15] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n614 Q=KEYBOARD.report[16] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n614 Q=KEYBOARD.report[17] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n614 Q=KEYBOARD.report[18] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n614 Q=KEYBOARD.report[19] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n614 Q=KEYBOARD.report[20] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n614 Q=KEYBOARD.report[21] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n614 Q=KEYBOARD.report[22] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n614 Q=KEYBOARD.report[23] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n631 Q=KEYBOARD.report[24] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n631 Q=KEYBOARD.report[25] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n631 Q=KEYBOARD.report[26] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n631 Q=KEYBOARD.report[27] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n631 Q=KEYBOARD.report[28] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n631 Q=KEYBOARD.report[29] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n631 Q=KEYBOARD.report[30] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n631 Q=KEYBOARD.report[31] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n651 Q=KEYBOARD.report[32] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n651 Q=KEYBOARD.report[33] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n651 Q=KEYBOARD.report[34] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n651 Q=KEYBOARD.report[35] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n651 Q=KEYBOARD.report[36] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n651 Q=KEYBOARD.report[37] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n651 Q=KEYBOARD.report[38] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n651 Q=KEYBOARD.report[39] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n678 Q=KEYBOARD.report[40] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n678 Q=KEYBOARD.report[41] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n678 Q=KEYBOARD.report[42] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n678 Q=KEYBOARD.report[43] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n678 Q=KEYBOARD.report[44] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n678 Q=KEYBOARD.report[45] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n678 Q=KEYBOARD.report[46] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n678 Q=KEYBOARD.report[47] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n693 Q=KEYBOARD.report[48] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n693 Q=KEYBOARD.report[49] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n693 Q=KEYBOARD.report[50] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n693 Q=KEYBOARD.report[51] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n693 Q=KEYBOARD.report[52] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n693 Q=KEYBOARD.report[53] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n693 Q=KEYBOARD.report[54] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n693 Q=KEYBOARD.report[55] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n720 Q=KEYBOARD.report[56] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n720 Q=KEYBOARD.report[57] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n720 Q=KEYBOARD.report[58] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n720 Q=KEYBOARD.report[59] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n720 Q=KEYBOARD.report[60] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n720 Q=KEYBOARD.report[61] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n720 Q=KEYBOARD.report[62] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n720 Q=KEYBOARD.report[63] R=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n732 Q=KEYBOARD.last_data[0] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n743 Q=KEYBOARD.last_data[1] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n749 Q=KEYBOARD.last_data[2] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n765 Q=KEYBOARD.last_data[3] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n770 Q=KEYBOARD.last_data[4] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n779 Q=KEYBOARD.last_data[5] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n785 Q=KEYBOARD.last_data[6] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n790 Q=KEYBOARD.last_data[7] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n795 Q=KEYBOARD.last_data[8] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n800 Q=KEYBOARD.last_data[9] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n806 Q=KEYBOARD.last_data[10] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n811 Q=KEYBOARD.last_data[11] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n816 Q=KEYBOARD.last_data[12] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n822 Q=KEYBOARD.last_data[13] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n827 Q=KEYBOARD.last_data[14] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n834 Q=KEYBOARD.last_data[15] S=$0\KBD_FREEZE[0:0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1174 E=$abc$32112$n839 Q=KEYBOARD.row_counter[0] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$32112$n1175 E=$abc$32112$n839 Q=KEYBOARD.row_counter[1] +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[0] E=$abc$32112$n839 Q=KEYBOARD.row_time[0] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$32112$n2100 E=$abc$32112$n838 Q=KEYBOARD.row_time[1] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[2] E=$abc$32112$n839 Q=KEYBOARD.row_time[2] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[3] E=$abc$32112$n839 Q=KEYBOARD.row_time[3] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[4] E=$abc$32112$n839 Q=KEYBOARD.row_time[4] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[5] E=$abc$32112$n839 Q=KEYBOARD.row_time[5] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[6] E=$abc$32112$n839 Q=KEYBOARD.row_time[6] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[7] E=$abc$32112$n839 Q=KEYBOARD.row_time[7] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[8] E=$abc$32112$n839 Q=KEYBOARD.row_time[8] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[9] E=$abc$32112$n839 Q=KEYBOARD.row_time[9] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[10] E=$abc$32112$n839 Q=KEYBOARD.row_time[10] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[11] E=$abc$32112$n839 Q=KEYBOARD.row_time[11] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[12] E=$abc$32112$n839 Q=KEYBOARD.row_time[12] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[13] E=$abc$32112$n839 Q=KEYBOARD.row_time[13] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[14] E=$abc$32112$n839 Q=KEYBOARD.row_time[14] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[15] E=$abc$32112$n839 Q=KEYBOARD.row_time[15] R=$abc$32112$n29 +.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$32112$n848 Q=I2C.FLT_SDA.out S=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$32112$n1516 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[0] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$32112$n1517 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[1] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$32112$n1518 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[2] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$32112$n858 Q=I2C.FLT_SCL.out S=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$32112$n1519 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[0] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$32112$n1520 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[1] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$32112$n1521 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[2] R=$0\KBD_FREEZE[0:0] +.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFR C=CLK D=$abc$32112$n1835 Q=I2C.i2c_state_machine R=$0\KBD_FREEZE[0:0] +.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" +.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA +.attr src "i2c_slave.v:177" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] +.attr src "matrix_kbd.v:179" +.param PIN_TYPE 101001 +.param PULLUP 0 +.names I2C.is_read COM_RTS +1 1 +.names CLK I2C.CLK +1 1 +.names I2C.byte_counter[0] I2C.COUNTER[0] +1 1 +.names I2C.byte_counter[1] I2C.COUNTER[1] +1 1 +.names I2C.byte_counter[2] I2C.COUNTER[2] +1 1 +.names I2C.byte_counter[3] I2C.COUNTER[3] +1 1 +.names I2C.byte_counter[4] I2C.COUNTER[4] +1 1 +.names I2C.byte_counter[5] I2C.COUNTER[5] +1 1 +.names I2C.byte_counter[6] I2C.COUNTER[6] +1 1 +.names I2C.byte_counter[7] I2C.COUNTER[7] +1 1 +.names CLK I2C.FLT_SCL.CLK +1 1 +.names I2C.SCLF I2C.FLT_SCL.IN +1 1 +.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT +1 1 +.names CLK I2C.FLT_SDA.CLK +1 1 +.names I2C.SDAF I2C.FLT_SDA.IN +1 1 +.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT +1 1 +.names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET +1 1 +.names I2C.is_ack I2C.IS_ACK +1 1 +.names I2C.is_read I2C.IS_READ +1 1 +.names I2C_TRANS I2C.IS_TRANSMISSION +1 1 +.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0] +1 1 +.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1] +1 1 +.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2] +1 1 +.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3] +1 1 +.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4] +1 1 +.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5] +1 1 +.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6] +1 1 +.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] +1 1 +.names I2C.FLT_SCL.RESET I2C.RESET +1 1 +.names SCL I2C.SCL +1 1 +.names I2C.FLT_SCL.out I2C.SCLD +1 1 +.names SDA I2C.SDA +1 1 +.names I2C.FLT_SDA.out I2C.SDAD +1 1 +.names I2C.wr I2C.WR +1 1 +.names I2C.is_ack I2C_ACK +1 1 +.names I2C.byte_counter[0] I2C_COUNTER[0] +1 1 +.names I2C.byte_counter[1] I2C_COUNTER[1] +1 1 +.names I2C.byte_counter[2] I2C_COUNTER[2] +1 1 +.names I2C.byte_counter[3] I2C_COUNTER[3] +1 1 +.names I2C.byte_counter[4] I2C_COUNTER[4] +1 1 +.names I2C.byte_counter[5] I2C_COUNTER[5] +1 1 +.names I2C.byte_counter[6] I2C_COUNTER[6] +1 1 +.names I2C.byte_counter[7] I2C_COUNTER[7] +1 1 +.names $false I2C_COUNTER[8] +1 1 +.names $false I2C_COUNTER[9] +1 1 +.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0] +1 1 +.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1] +1 1 +.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2] +1 1 +.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3] +1 1 +.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4] +1 1 +.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5] +1 1 +.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6] +1 1 +.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7] +1 1 +.names CLK I2C_HID_DESC.CLK +1 1 +.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0] +1 1 +.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1] +1 1 +.names I2C.wr I2C_HID_DESC.RD_REQUEST +1 1 +.names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET +1 1 +.names $undef I2C_INPUT_DATA[8][3] +1 1 +.names $undef I2C_INPUT_DATA[8][4] +1 1 +.names $undef I2C_INPUT_DATA[8][5] +1 1 +.names $undef I2C_INPUT_DATA[8][6] +1 1 +.names $undef I2C_INPUT_DATA[8][7] +1 1 +.names I2C.is_read I2C_READ +1 1 +.names I2C.received_byte[0] I2C_RX[0] +1 1 +.names I2C.received_byte[1] I2C_RX[1] +1 1 +.names I2C.received_byte[2] I2C_RX[2] +1 1 +.names I2C.received_byte[3] I2C_RX[3] +1 1 +.names I2C.received_byte[4] I2C_RX[4] +1 1 +.names I2C.received_byte[5] I2C_RX[5] +1 1 +.names I2C.received_byte[6] I2C_RX[6] +1 1 +.names I2C.received_byte[7] I2C_RX[7] +1 1 +.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0] +1 1 +.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1] +1 1 +.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] +1 1 +.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] +1 1 +.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] +1 1 +.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] +1 1 +.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] +1 1 +.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] +1 1 +.names I2C.wr I2C_WR +1 1 +.names COM_DCD INT +1 1 +.names COM_DCD INTERRUPT +1 1 +.names KEYBOARD.isr ISR +1 1 +.names COM_DSR KBD_FREEZE +1 1 +.names LED2 KBD_LED_STATUS[0] +1 1 +.names LED3 KBD_LED_STATUS[1] +1 1 +.names LED4 KBD_LED_STATUS[2] +1 1 +.names $undef KBD_LED_STATUS[3] +1 1 +.names $undef KBD_LED_STATUS[4] +1 1 +.names $undef KBD_LED_STATUS[5] +1 1 +.names $undef KBD_LED_STATUS[6] +1 1 +.names $undef KBD_LED_STATUS[7] +1 1 +.names CLK KEYBOARD.CLK +1 1 +.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] +1 1 +.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1] +1 1 +.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2] +1 1 +.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] +1 1 +.names COM_DSR KEYBOARD.FREEZE +1 1 +.names KEYBOARD.isr KEYBOARD.INT +1 1 +.names I2C.FLT_SCL.RESET KEYBOARD.RESET +1 1 +.names KBD_ROWS[0] KEYBOARD.ROWS[0] +1 1 +.names KBD_ROWS[1] KEYBOARD.ROWS[1] +1 1 +.names KBD_ROWS[2] KEYBOARD.ROWS[2] +1 1 +.names KBD_ROWS[3] KEYBOARD.ROWS[3] +1 1 +.names KEYBOARD.report[0] KEYBOARD.kbd_report[0] +1 1 +.names KEYBOARD.report[1] KEYBOARD.kbd_report[1] +1 1 +.names KEYBOARD.report[2] KEYBOARD.kbd_report[2] +1 1 +.names KEYBOARD.report[3] KEYBOARD.kbd_report[3] +1 1 +.names KEYBOARD.report[4] KEYBOARD.kbd_report[4] +1 1 +.names KEYBOARD.report[5] KEYBOARD.kbd_report[5] +1 1 +.names KEYBOARD.report[6] KEYBOARD.kbd_report[6] +1 1 +.names KEYBOARD.report[7] KEYBOARD.kbd_report[7] +1 1 +.names KEYBOARD.report[8] KEYBOARD.kbd_report[8] +1 1 +.names KEYBOARD.report[9] KEYBOARD.kbd_report[9] +1 1 +.names KEYBOARD.report[10] KEYBOARD.kbd_report[10] +1 1 +.names KEYBOARD.report[11] KEYBOARD.kbd_report[11] +1 1 +.names KEYBOARD.report[12] KEYBOARD.kbd_report[12] +1 1 +.names KEYBOARD.report[13] KEYBOARD.kbd_report[13] +1 1 +.names KEYBOARD.report[14] KEYBOARD.kbd_report[14] +1 1 +.names KEYBOARD.report[15] KEYBOARD.kbd_report[15] +1 1 +.names KEYBOARD.report[16] KEYBOARD.kbd_report[16] +1 1 +.names KEYBOARD.report[17] KEYBOARD.kbd_report[17] +1 1 +.names KEYBOARD.report[18] KEYBOARD.kbd_report[18] +1 1 +.names KEYBOARD.report[19] KEYBOARD.kbd_report[19] +1 1 +.names KEYBOARD.report[20] KEYBOARD.kbd_report[20] +1 1 +.names KEYBOARD.report[21] KEYBOARD.kbd_report[21] +1 1 +.names KEYBOARD.report[22] KEYBOARD.kbd_report[22] +1 1 +.names KEYBOARD.report[23] KEYBOARD.kbd_report[23] +1 1 +.names KEYBOARD.report[24] KEYBOARD.kbd_report[24] +1 1 +.names KEYBOARD.report[25] KEYBOARD.kbd_report[25] +1 1 +.names KEYBOARD.report[26] KEYBOARD.kbd_report[26] +1 1 +.names KEYBOARD.report[27] KEYBOARD.kbd_report[27] +1 1 +.names KEYBOARD.report[28] KEYBOARD.kbd_report[28] +1 1 +.names KEYBOARD.report[29] KEYBOARD.kbd_report[29] +1 1 +.names KEYBOARD.report[30] KEYBOARD.kbd_report[30] +1 1 +.names KEYBOARD.report[31] KEYBOARD.kbd_report[31] +1 1 +.names KEYBOARD.report[32] KEYBOARD.kbd_report[32] +1 1 +.names KEYBOARD.report[33] KEYBOARD.kbd_report[33] +1 1 +.names KEYBOARD.report[34] KEYBOARD.kbd_report[34] +1 1 +.names KEYBOARD.report[35] KEYBOARD.kbd_report[35] +1 1 +.names KEYBOARD.report[36] KEYBOARD.kbd_report[36] +1 1 +.names KEYBOARD.report[37] KEYBOARD.kbd_report[37] +1 1 +.names KEYBOARD.report[38] KEYBOARD.kbd_report[38] +1 1 +.names KEYBOARD.report[39] KEYBOARD.kbd_report[39] +1 1 +.names KEYBOARD.report[40] KEYBOARD.kbd_report[40] +1 1 +.names KEYBOARD.report[41] KEYBOARD.kbd_report[41] +1 1 +.names KEYBOARD.report[42] KEYBOARD.kbd_report[42] +1 1 +.names KEYBOARD.report[43] KEYBOARD.kbd_report[43] +1 1 +.names KEYBOARD.report[44] KEYBOARD.kbd_report[44] +1 1 +.names KEYBOARD.report[45] KEYBOARD.kbd_report[45] +1 1 +.names KEYBOARD.report[46] KEYBOARD.kbd_report[46] +1 1 +.names KEYBOARD.report[47] KEYBOARD.kbd_report[47] +1 1 +.names KEYBOARD.report[48] KEYBOARD.kbd_report[48] +1 1 +.names KEYBOARD.report[49] KEYBOARD.kbd_report[49] +1 1 +.names KEYBOARD.report[50] KEYBOARD.kbd_report[50] +1 1 +.names KEYBOARD.report[51] KEYBOARD.kbd_report[51] +1 1 +.names KEYBOARD.report[52] KEYBOARD.kbd_report[52] +1 1 +.names KEYBOARD.report[53] KEYBOARD.kbd_report[53] +1 1 +.names KEYBOARD.report[54] KEYBOARD.kbd_report[54] +1 1 +.names KEYBOARD.report[55] KEYBOARD.kbd_report[55] +1 1 +.names KEYBOARD.report[56] KEYBOARD.kbd_report[56] +1 1 +.names KEYBOARD.report[57] KEYBOARD.kbd_report[57] +1 1 +.names KEYBOARD.report[58] KEYBOARD.kbd_report[58] +1 1 +.names KEYBOARD.report[59] KEYBOARD.kbd_report[59] +1 1 +.names KEYBOARD.report[60] KEYBOARD.kbd_report[60] +1 1 +.names KEYBOARD.report[61] KEYBOARD.kbd_report[61] +1 1 +.names KEYBOARD.report[62] KEYBOARD.kbd_report[62] +1 1 +.names KEYBOARD.report[63] KEYBOARD.kbd_report[63] +1 1 +.names I2C_TRANS LED5 +1 1 +.names I2C.FLT_SCL.RESET RESET +1 1 +.names CLK UART.CLK +1 1 +.names I2C.FLT_SCL.RESET UART.RESET +1 1 +.names UART.tx_activity UART.TX_ACTIVITY +1 1 +.names UART_TX_DATA[0] UART.TX_BYTE[0] +1 1 +.names UART_TX_DATA[1] UART.TX_BYTE[1] +1 1 +.names UART_TX_DATA[2] UART.TX_BYTE[2] +1 1 +.names UART_TX_DATA[3] UART.TX_BYTE[3] +1 1 +.names UART_TX_DATA[4] UART.TX_BYTE[4] +1 1 +.names UART_TX_DATA[5] UART.TX_BYTE[5] +1 1 +.names UART_TX_DATA[6] UART.TX_BYTE[6] +1 1 +.names UART_TX_DATA[7] UART.TX_BYTE[7] +1 1 +.names COM_TX UART.TX_LINE +1 1 +.names UART_WR UART.TX_SIGNAL +1 1 +.names COM_TX UART.tx_line +1 1 +.names UART.tx_activity UART_ACTIVE +1 1 +.names COM_TX UART_TX_LINE +1 1 +.names KEYBOARD.report[0] kbd_report[0] +1 1 +.names KEYBOARD.report[1] kbd_report[1] +1 1 +.names KEYBOARD.report[2] kbd_report[2] +1 1 +.names KEYBOARD.report[3] kbd_report[3] +1 1 +.names KEYBOARD.report[4] kbd_report[4] +1 1 +.names KEYBOARD.report[5] kbd_report[5] +1 1 +.names KEYBOARD.report[6] kbd_report[6] +1 1 +.names KEYBOARD.report[7] kbd_report[7] +1 1 +.names KEYBOARD.report[8] kbd_report[8] +1 1 +.names KEYBOARD.report[9] kbd_report[9] +1 1 +.names KEYBOARD.report[10] kbd_report[10] +1 1 +.names KEYBOARD.report[11] kbd_report[11] +1 1 +.names KEYBOARD.report[12] kbd_report[12] +1 1 +.names KEYBOARD.report[13] kbd_report[13] +1 1 +.names KEYBOARD.report[14] kbd_report[14] +1 1 +.names KEYBOARD.report[15] kbd_report[15] +1 1 +.names KEYBOARD.report[16] kbd_report[16] +1 1 +.names KEYBOARD.report[17] kbd_report[17] +1 1 +.names KEYBOARD.report[18] kbd_report[18] +1 1 +.names KEYBOARD.report[19] kbd_report[19] +1 1 +.names KEYBOARD.report[20] kbd_report[20] +1 1 +.names KEYBOARD.report[21] kbd_report[21] +1 1 +.names KEYBOARD.report[22] kbd_report[22] +1 1 +.names KEYBOARD.report[23] kbd_report[23] +1 1 +.names KEYBOARD.report[24] kbd_report[24] +1 1 +.names KEYBOARD.report[25] kbd_report[25] +1 1 +.names KEYBOARD.report[26] kbd_report[26] +1 1 +.names KEYBOARD.report[27] kbd_report[27] +1 1 +.names KEYBOARD.report[28] kbd_report[28] +1 1 +.names KEYBOARD.report[29] kbd_report[29] +1 1 +.names KEYBOARD.report[30] kbd_report[30] +1 1 +.names KEYBOARD.report[31] kbd_report[31] +1 1 +.names KEYBOARD.report[32] kbd_report[32] +1 1 +.names KEYBOARD.report[33] kbd_report[33] +1 1 +.names KEYBOARD.report[34] kbd_report[34] +1 1 +.names KEYBOARD.report[35] kbd_report[35] +1 1 +.names KEYBOARD.report[36] kbd_report[36] +1 1 +.names KEYBOARD.report[37] kbd_report[37] +1 1 +.names KEYBOARD.report[38] kbd_report[38] +1 1 +.names KEYBOARD.report[39] kbd_report[39] +1 1 +.names KEYBOARD.report[40] kbd_report[40] +1 1 +.names KEYBOARD.report[41] kbd_report[41] +1 1 +.names KEYBOARD.report[42] kbd_report[42] +1 1 +.names KEYBOARD.report[43] kbd_report[43] +1 1 +.names KEYBOARD.report[44] kbd_report[44] +1 1 +.names KEYBOARD.report[45] kbd_report[45] +1 1 +.names KEYBOARD.report[46] kbd_report[46] +1 1 +.names KEYBOARD.report[47] kbd_report[47] +1 1 +.names KEYBOARD.report[48] kbd_report[48] +1 1 +.names KEYBOARD.report[49] kbd_report[49] +1 1 +.names KEYBOARD.report[50] kbd_report[50] +1 1 +.names KEYBOARD.report[51] kbd_report[51] +1 1 +.names KEYBOARD.report[52] kbd_report[52] +1 1 +.names KEYBOARD.report[53] kbd_report[53] +1 1 +.names KEYBOARD.report[54] kbd_report[54] +1 1 +.names KEYBOARD.report[55] kbd_report[55] +1 1 +.names KEYBOARD.report[56] kbd_report[56] +1 1 +.names KEYBOARD.report[57] kbd_report[57] +1 1 +.names KEYBOARD.report[58] kbd_report[58] +1 1 +.names KEYBOARD.report[59] kbd_report[59] +1 1 +.names KEYBOARD.report[60] kbd_report[60] +1 1 +.names KEYBOARD.report[61] kbd_report[61] +1 1 +.names KEYBOARD.report[62] kbd_report[62] +1 1 +.names KEYBOARD.report[63] kbd_report[63] +1 1 +.end diff --git a/i2c_keyboard/i2c_kbd_alt.bin b/i2c_keyboard/i2c_kbd_alt.bin new file mode 100644 index 0000000000000000000000000000000000000000..501267a2f09a9d2d14c30893036a4ce9227b0cd0 GIT binary patch literal 32216 zcmeHv3z$^JweG6gySw+y^z`h(5oTZ*YM_PDAT}~0-~cr<9L0!c^yDhytA#m;M$M?i z1BQ#$0}2?__Go;>SDQfOBu2+ae4OJKHw+3I)bZqndQ4jIfzccXHA;@&c>h&<_b_O3 zliYjm_nq&P#n)AW>?=+b73KCNIkbcn(F~!< z462b7pri*;fEw~>K@o_;FN9(V8JyR4Xa%N4+~PAJY`HK%O`{X1E$A5_M4h^BH0oi6 z7|xwaayRZHQd8g!YRPCBjYm49H^>v!?h_#HKAd6|iV8omuSoRom1Ks>!f56LK;&Nn zt%y=2SW93bw3Lv+c~e+0gK7Jy8&*IC7Rg|28YXPx=O7bO%)S+h_B9;l$QH^{3gmrQ z1$?;=f%?e_AWR1PkVO%+&w+$E24mAdpWMw=iXeLs9C*&Jp;iP5!-ycC-J!_ahf{WO z7(}qd=AMJh744>qW)qbnUIah+5!Xk}Jbj@;uJ1B-k74 z3#mx0k*;4Fo|;m{9`b!M@l#Di$Vki5RH~0UA*!T|pc?1fR(4eD6XK;Ll844Z$DFX+ zfeKKsgvJ1?1?B?dfN_}^(zM0*CiQzo!ZcTq2vCX1=6j-9 zCN$okQK&fznpp6qhWr^#72Cox(zfLmjlnJ$5T}xN)6Yo~N3Z(MH+);K$+?kQqd0`s zO_x<#I>vqykkrI;PYKJ=Q5K0Z)Mv{@YBmLs;2KiTk#crE@-LU#zEF)2X|-JB>qZ@7 zq^n4>$f1+YJ4NL};`k#2izK*ZnYK8ZW1ybn!@#(!zF{m4HEAod+!h#ILMQKZ> zVk7GpEwO@`LQR}Z>3SEbBJElQrciAGkw{|qJtgt zCfJwq(2}w6HCjdMU5r^;u7nO0;cgBfE0>mF7>n-c>AK|cGy8duA%lIIJ`(@ zUXy=ybDD|MAFx&4+(8@`E$@bw#;1hWBMmGS&p;j9?PoZpu4&V3=*?nEnv~daSTUNr zF*M%?qD4V?eXSa>6wN}YEg_}cjAyK=?Da>}G$C2z!k-MTP1zYGOk_dzNYoF1{3N2I zqk3a=EW#7D2S)>749-W&qq3^A15&%fl?KGxXaDnd9nL-G0~aSQk8)RQ2W&g zEaXFFTAT&>X6o%1`-%$g^43a^+R>go9u3lz~AwZDYnyu?PpkuhYJp~`J+ z9Gg!LM0zyktz9VQ+L&meVAD8V-x5w)5^rwaL@OylK3c}j;(zxV(XFv;oOpXhX3j*> zr|S&4ik#(^N1E-|e}Ho>I3d!4l+dypXzg|+`tb#4`ksL`vGSV~2v%fxmeMUVp(WsX zo>+aGPF`nA)KalLL?9BD0mLRnu77|LQ-oG7U4$vCi42)f4I9h8t)sQg+Vy!E6ZPIpR>qN9<-eCG)#~;ytoMT z-}Q!vLd3|(Jw#tt2%>0sG*LZ^Y&4fG!(pSd)SkRcBn)q5!wnNXN;(kP5$lR!jt3P1 z6WJxXE7)*ANhFR5!&VBks9U0qpoS?~TTNv!+~ZxU6zagm~6t!DMwsySVL3Hpf%e5II z?mh2Sb_-Dz%QH0A2~g1Z3A!Qk99Fv6lvmd!iIyC*xDbl$BOI4@kc@wCqE&&4l#Tlx zjybL2ku{h*aWO|)?^KY4FkP>`Z3+Sznh(98OwsVUYl={zV$<4CA}Syu6tGAwv*a3LkXVDcx7g|-8+`Dhl(iLP z$2xhzfJhpz?WIJf?EU~EbiEx$<{cAg!eWb9ZsKAfdW(4OX`)dl??Q+!gGhMF0xv9* zwG?4lo~=EFRe>ORcLsmUe4iBX4pET`R!Q{>0jHE#t`Th%$^KQ zW?Wc`*@MSFEN*}#)lbDJvt0}`zBw8~yen{W!@BWMF^^aR*)hxz*dYOeqtA{H`wa3_ zSDT@=>f$Wq?gcQzx)55XKVy-KmNX_zFu=!JS^&i`BDO5VfcVE;)6uF?1UZWgl1icm z5clHaS>v+G$J40q-9|afNWqwZ%0(7!(HP)U@7RJNCs%gU(^&M6RxDC(Wy3Mzda#yp zX@^cc*3-eqN0$r>U{LtQ&E5r3CZT2Hsx^5SZn+RP3>XQDlO8ZUPR-B~e*O`BiR*{) zR?7@~h6fa@qsz?zL#XN@ZKxV@uwK?JgD|tSxK&e^? z7Wm&C*gyZDAaZ2XgXUfa<7I!fdki_~4ESr3oe>N35q?C0?1R>hJo1r+ z7u3WhmO7BI3SpE=V~Inft6i~f+W^yEgiR`eoRHr4YQsq9mD_EJFA%&GZ zp>5q@NpKU>jO@i#CmuP==LPK5P8?MX%8s!u_9o~LV0>Eo>TAkq(RTSQVI^AwkO7h= z#x#Bbet2GBC%PGnt5DPC~2L?NAMzADoQlLo@rwY$p zp`eIOXfikqvY*hC;sT%}L5;}#W?GF*VYT8e`vDN@#sNu(jvPdLu zk`~VEL#O6PU(&eGdgH#=Pr{=G*q&7NNZp~)n7}1z_a#(RT|2{@MTo#Z#910P&P=<3 zyOw6*He*Tk(_ZWYM^D)Yh)b7_3<{P^SFm$&3R$};ER*vF8_r<2+Amo~MItxUH6Xg> zk(Rgs{LFpq zHn;>A<>N@i&QRo z9VZyqJLewiC|KEcz)6lwlt*_$r02#H-$UORtq^3V+XF2+Z0V`#Jusk-Mi`jb(3xc--Hsl_~dGuG2c2IW3_h{?{Zg613`+kf@j4v%tG4;Nfg&7FVbhij~t zut`D8xU-olgwErKV?x&MPP8N*s@Ac^0v^jw<1Y1|(z6{Eo*_4w#19aepHJe(qP4PBC}W@;}F zEh!eDkdm%b#DhP#O1k{IyS2+~FY-QKqqXe`RSu0t@3YdS&{t9?$*eV}_)lL^N;`LgX)n7?O^yZXMEcL0Vrq7 z$pPvrygr9yRs2h{tI$k|6**fyW68(S>_G^AvlYIf%qZh$cQ|e5`+ayY8e+UFFy`9n z{jlJNeP=gq9@!Xzl;Ad+d}E9u7F>Dcchg`$mmlgBafqk0;x2qi(D$KH^e?HLzNO5B=2JemiX2B-P9( z(wSUrD~!^<^Eky(;Zf#p1?ShmOSy0$6%A=7L)QuFkhnx5QS?a14aG(bMMq>7K@4&p z7g|m<8(H|6w*`0OAfq5!Ff4L2iwPmuyY4QZyt3LMnxux8Ad&#y9wbQw@!sIS zRP9d4fee>L$|mge?_yVQ^e_V|gYvR7^YEFoHp7#^od}T_9*>4U!sFL)_^(lB5*^g( zEC(W%9B5RdFQ583KlGB9$>%^+vUg!0a{)3Hz1QZTa=m=~ZpWAs-zF3BXkxe~%sSU%wp{HV1-e!LpjN7YYuDbhpUn9M{5`wo>7 zi&^Io+Sb_zmV6##2!{opDb1cCbL?!n_pMb}q?RJ{gDlzX#m z5b==GCfs**BdmvKBx1dO+F6nImG5UL-x^;6sfPJ5`uJ&#E{FOv@FgEyU_nP&rvfz? z`GIdohiO)PmB~w-&yz>I%m-DR+-ZbH)<~b`N<$vUY{}A)KNML@r#S1oVBlNSUlcNA z4t%Y?0DgmCHy!=EJ~ACt_VIOyzdH{3PY?m(>W(&y@@-vbvpWDuO)Z~dq4X$r&nyaJ z?RQ`GOF#V3CB5LyQ@*_$WI-?7zYMFiqtgWwPBXaUOo(^Zl+{2R>5e`FeB>A! zIWG~UD1C`WQQ?Q(k_TrBz3^hz^@_6Xh&MpRsK0PO=XbTmZ>H5QqvbU2^cOHxm9;NX zz=l67*kJ7pXT#li?6LqoT5w07&ZqghH{CQk{b+K@A+c|qj#-nfv9V}5$9%$VTKU3B z&}3XHhXUyOx3)Lp7!$@6Psj%7(Aq`IFybnZ8s|&PdMfkZG5U@A{yYM}!kGKMtH`;N z-kYWA%QQNA?OHY*m6hiY{bsSeba5RU{UWp^dha7^b}R zoy{7V6L_IPED~=g=rW8eFB?P?zJ7tHFvg$$4vyLY0z~2^s-FM{(b!?HVO}$aF&}3z zs}fh|fzQS)C|xmjargC0x8UvK4u+y)_s$K3= z`tJ#dM+NKU{D$j%C(~6o9Mh3p{pB)H@dE0Op>t?_*$G`APf|=kT}>JzJ|sr3E>;xG z-_lGSOgFW>{1LssG9MV*yGJ`}0z;%Keu9*mXPn!Lf|IWlbFol}lgH{P0#a@6p=Tm4 zV<$fQkkZAc7HoMmRKKpWpmUzHV{DJ6@QI?LEslxaRJGa$bVR3N-a&hnfzu?rCiC;1EM z@YSeniWm};O+G>wZhF>aTzOYL9EG!iIMxnNR4Ha1yfL;YEF>RaHv8|PTJDEE3cWuy zgB{2HLrcSkF@S`fW6Mn&Tgf^5_8T|zNE~@VbcG4r7dZMck5yb8J@cJ3$?-jJ{@ADB z+dDUh?fE`Iu}kn&x00CwYCg$i{F>wC7y| zW2<-ENCl?)_Ca~JyJg|Gx`+%Y0e;Xrb6Rb~N{zmp-}0qAtYATLQlsDgp#o-y2a>3| zXV0gPLCYX+-{o$M(H3`9HNc9Bcm#fn)abklZM;8j;^U0rZ0ote{VL7sn7Brc9J5Cc6b-CfU ztjvi`71?tdQ?>*h5t8K`3v&rr{Y%pP9Z3k6xW+4}sNjBPz#%fy5)99F3?BdScA1R$ zaWAu3tc`@wC2jx|hR3l&+R0z4;k;$gSJm5eSd+F{b6AMdEd65~iREC*)7(z6|W{b+b?hH^=q({xyY!6_j&hvA$#(qKAur3PLRnrDf1X zG`JS4E#}g2;2G}wS0IKzI zC41Kbi&P<+Gh+Q!q`5E+zs65%^NbHbx!tq42rWB8vgEw-{n`Q-1%6E=+?ho#F<`t| zhOZJuWF9UTI9D({0JD;dsHC)TPCyOdya0(y-(#GF0&2mo0wIcqLL0-otqp&nneLZN z>gwlW1P0SUpcfWtEKMk6__>7bR0D@HMzNLv_AOcr2}cqlp(X4CDFlI!m#)*Ll1l{> zNo5k^$Ae;Ftq}!}uEk7HD5L!g5#83}Ly)fbe2e0(_?i5`F6f{D1Q+-Ank#&2l7^A% z8p1Aon$)nO90Mml{C);Cv2*KKX{FJ|=3DDRtuc1n!ke|Sb?xM7s(CED?~6L5R8X6= zacP@+SaAH8AMT8G;nBGZ!OhYvt8`{_ECToHZG&<+QBKL@1V|&GIGS?%B`13Oa z7i^vUsDK}hv#pEptp65fIjoutNcO zTx|U3J93(4p7hRWP)u2Mo+!&T)C6gm`~{?}CAH71h7fUAc77jI@~b(8@~ow+W}=-B zjBghwZ{QmB#AdFlK4VINERFBb@PnkSROB2cE9deIno-3&3%KdXex^f==M;SfWUF|b z%SvOy+?7Kpn(l^0?5Z>8b#n!alq*s1b-fdosnMBNz8jiVPRPQSbe|#3H84Dj%y6$3 zeCkQvgn3RXK6$<&)qXq$IrcL3u+mEN%_{>B&nL8!(Tk2@Wyj&t7vRxucByNuB_M#+ zh}}6q8MipQD%$752q9e-8jk8}vE3_j!y^NTcPR)@?4t7Zo$DcUXug4EFnAN59Dyt~ z;`_>l{*_=C27bJ;Tf;JE(F@FEL3|D7`SfH@8`p@Zaq71qvowqmQQF5zy!$QSLHu4w zq_$J%QuS8L>Eh#127`YVOkFK7uPnPj!zH6`;;IO61pn%*YwVl`MICnaZ8XQtIGepJTz2-n%YRAoCqUUksSxah9j|6jiwe8Maj)5JHdzyGJx+a<4og%@<8OQ zP1|-%5agXZjqBFOdaMMa(4`A1K-rXPa0SX(rn^*;=Vn+h=S<-oudBk0@cf+zT2Z;! z2^7=9eOra?3=Ok#xT3kaALB>CDic}=v)NU?gG95I1=|HY(|aLxV|f$Jn~n+RKZZY4 zZNN1sgVE3dDe9)=JQL$9UbHt%A6V7H9+;%d%Oq~8Ud%ds$VFW86(kr*1r~9P&6>Js z(y+I#Wn8+8vDnLs`-@!CB{y&ZAfWlS3`QS)oGQY?kOoV?j!8!wJLG)KcHn$`>P(kcBIA+7Ko)t z16;m2yP%2tLql$@<0}wd`L<&( z5*wh{xGf%&s}*zvz?Ym^P-3H30Lxi8sgrLigt6pkCE}Z^62Qkd0FS+PG){sf3czs^ zQpj|4W2J!uAl@_BP~zHMiDH@9sG00LnjN97Dog-g#@#uNl6jax1>e_94xywa^Bb!5 zsGa6c3(jRmk^?Ix$D=L>0|R`bt6&FJZOO2=@JWeRuQXmqSEjQdqpxq-410ms_#-yX zJ90uNj&JYH=_$e~is+A)0VmOZM#O6T=JnrY@QUJ|1qjul!*=@^Vi()Yg+-kJOJeoy zyj}u4VJa|VPI@_&g*Q!uc**xLS4cjmxSGW4oaVAfQdr!)sw4iP*M1i8&oDr9@A2pw zwSkSqOC2SDQm6oS((rf}bxB#*Q?$Y7%gu(BCBeQS_G0s~j8z@+ZYLa-8K0{w7}P3C z91S)G71)esOz!1yPB!RHWV%v3Y3aT!F=7+7f}M@$=0AWUD;|m$SoZqz0(07Z9KIR^ z9=&i2Mr71!&z;`^krmYeMnYjbTQ-O(L`Sl&w0njGG(Y$Ye)k?2Ru7nV{uNz`bLoCBs@_d zI4WvC5Mfn|!_NS9d(gWDWrk0A!Qiu%gO5g!%N5Qktgwon4kOs`(nIf1vJJVD$Sk7 z90M?9*Zn2jdFj_YRAu%r8i)>CZ%Q?v%hhgZSw8QjbQ2DPI=OwYmV=oEz2Xg-AS^mKYw5VZ%Ct!Gf>f>V21F~dLr%fksgs1Q7LY}Jmi0qR zCw9bBE41qhQ^q2y9U-{>v!FtP`7Hhay6jmmqWPZ{X_pHy{5hX|rfXIktR5{Q{h6xI z6f13Y>F0|Eyu49kL-w8+IwDR5%JI>BNXL2c$;7t!t7uQi0| zz>*>@E$&bo4p00SF2|-h!2>yJ#~7zy@G#Zx?VyTOMo( zeTiX-K-=N*!xB1-8!Z)WT;vB{fQeca)ll7PGGMY6V#ecZZ?%9b@s`TDq1y6 zNs60FT7$bU9Q&3QG#s+5g9X54c(P=uHAVy$vu8@irNnt{(u5c4**7pw+iF-I<;a{6 zbv1Ia=tRRsh_pG5{9N1@7N98Z?HP%o;`7@0eQO6wzFdbebTuCW0I*Y2HZxE?fNU(V zVpb)5;Q^%4I-W`8oD6`hjkIEs>=THLN3|uVhD9Z5nZ-l_Phr5u(D}1Msr!gDmWdfg zE$^_nRL=JoS#|!z>YFgobjx;TMAwy_aFc6ijBUyZ&LE1;{LVerHN#=J266VkaB6Qn zkP~HXipJ${y_aahr*b&#S;L*Fjt=YgP4VIIbg)OwqPquFZx#L6}9UG86BS zo5;AzY6)s=u}+MEL4|Nhhz1+l05mC0<<$k~iNIXdIVGli&8l;`1O`YOg2bhF?f={2 zr<|{M!T}x?BbLzj1ul(O(605%OfmSJ_sX?R{D_6nvvCQh0bCoGS)ITE^rzu@&7pLg ztt+W#R&K^$h!28_o`Nl@_*l3cU~Cad(;yr+3X_Uzcq!6e{UcXJWl=LS50i0p4UoEc zWQI)uRu%-AjJ_wq`Nh;hVSda@m=0~2Bb;{N1TncPwYPiRF_7N{U41FrwuVjy8!UFI zyUG}ghe>sS48x$>J`VO>9=O#$+F}K%MBZfa(QP5Ce^m7eHt`gi83s;K4Uos z689@~NV@^Up8B%(p^~0Y5fDRBclSmZS&AVK$06HsmpHeix@j-q`|rz8#C@H z&ENe!Sxa-cN?4cH9@=ArJ7lg@1soDDz&>p`eB*Vo84T1bMO2h zp)$0`#-(eA20j&q0WHDFel!N;QL7tQ8|%V5+8DfOd+dePb$+aFz@@w7suza~n7uP1HJvr^fA`-H2GdQRja z2fk(F$(fkt0)wo0;#g$j?`Q(LCa30w;htt|`aw_s&`H##lL>yVS`z1@J3oTHFHS}bq$fKYsbEy1&G$q-zN@8YGE zRBqc)lZCs+e0^e(8-`%uF-a|L9oXfEt_mOXoS9l032l2SIKCrDf$!WK*C}{NLbWo3 z$AxV}YOr?m%Mij)M1ViDqpQ~MN@&cEaqnLxtc$SF;OkgV6s`%mpla)zchqU`+=iq= z;izYO3^U>m(O}WUgq+yTYa~;WOCIYl+j`Y~XK%rJ>D0VzB(05CGg_Uhrcfv72 z&{tlbg_Ncq*AwvI;&t9bNLn{7zs|5gc#rsUH6Z=O<6AIcoyogKV0i{iU99k^U4zx9 z1UP144Rq}TlIi9sAl69$7Pl}kUvMIxC=w@oC{lb)W3Cq%G14+L4{m(RT}KnAxmZ@9CK<$Dch;g8pF z1>k}G36J(6Yh^7vA;%<_24P&{+#nt; ztO>|FwZ71pwkBgGy{=&%RzU$4CYzTf=B9^13xh1Bv-jU#Y|9z>Zh+E zW$+?>xz>_$r}h_Wpe(@tj~X&1_HY4h+c%BsdJS>x&72S8k_XvD~d4mS(XAS6#4xi0eVKfo%qkoQ0MO(6Zw`D`Jb5|(6>1_iSEnDr0IWXMG=(eUY zTHM>eHvB9L;?o~NhMymH?_&IUPi9#@XI5(be=x%*#9~?ePj}UZAOVv>n)0c6qAz>r z?0`9qQq3%Vw(x%Dv0CtVn3*8+ViXcSIf5*mgrA(|kSpW9Xy9Z@lSRAj#9_KmgI6Y8;yq z2A{E9iJeqZ<(>kQDM3!lAC@ron;kneeB1f*9bAe)cBXsm{3Png;Y}qBcYTSWqFEY& zVM=^mRNh^SuZ#i^B%Wi4erp%)I2ss?UrlM7MNMaVeM*DKz?bt6Fhr<6AmMYNjDGNOrrF3aI~K_v7|Kj-Ks~L*T57) zmDo()+Q43i)-;;PII@oMr>}^H2fUTJ25xb(R!FBUf^Gv3kI-Rwj{zBg|D2^R2ZP$2 z#V83Uxrz!*2PhijmXF=NHhe(!QG1`kd*}S6Y5a$pvi*eW(jj#y2}Su+GesGo8G14! zAQ30>@p0`?P2=_k?eUNS__AgCs&F6o(Zhk)*P=1 - DATA TRANSMISSION +// RECEIVED BYTES MUST READ WHEN WR POSEDGE, ADRESS NOT READING ###AND BYTE COUNTER >=1 (BYTE COUNTER = 0 - ADRESS) +// BYTES TO TRANSMIT MUST WRITE WHEN WR POSEDGE, BYTE COUNTER CAN BE ZERO +// (FIRST BYTE TRANSMITTED AFTER ADRESS). +// LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (DECAUSE MASTER STOPS TRANSMIT) + + parameter I2C_ADRESS = 7'h34; + parameter MAX_I2C_TRANSACTION_EXP2 = 8; // !!! - FOR LIMIT BYTES TO TX/RX (WITH ADRESS) + + reg SDA_IN, SDA_DIR, SDA_OUT; + initial begin + SDA_OUT = 0; + end + + /*reg*/wire SCLD, SDAD; + + reg SCL_LAST, SDA_LAST; + reg i2c_state_machine; + reg i2c_start_latency; // GETS LATENCY (ONE CLK) TO IS_TRANSMISSION WIRE + // NEEDS WHEN START REPEAT OCCURS + // WITHOUT THIS THERE ARE NO SIGNALS TO CALL ABOUT END OF PACKET BEFORE START REPEAT + initial begin + SCL_LAST = 1; SDA_LAST = 1; i2c_state_machine = 0; + end + reg is_read; + reg [3:0] i2c_bit_counter; + reg [7:0] received_byte; + reg [7:0] byte_to_transmit; + reg [(MAX_I2C_TRANSACTION_EXP2-1):0] byte_counter; + //reg is_for_me; + reg is_ack; + reg wr;//reg ack_master_ctrl; + + // FILTER + reg SCLF, SDAF; + reg [3:0] scl_cnt, sda_cnt; + + simple_filter FLT_SCL (CLK, RESET, SCLF, SCLD); + simple_filter FLT_SDA (CLK, RESET, SDAF, SDAD); + + always@(negedge CLK) begin + SCLF <= SCL; + SDAF <= SDA_IN; + end + + always@(posedge CLK or negedge RESET) begin + if (RESET == 0) + i2c_state_machine <= 0; + else begin + /* if (scl_cnt != 0) begin + scl_cnt = scl_cnt - 1; + if (scl_cnt == 0) begin + if (SCLD != SCLF) + SCLD = SCLF; + end + end + else begin + if (SCLD != SCLF) + scl_cnt = 3'd7; + end + if (sda_cnt != 0) begin + sda_cnt = sda_cnt - 1; + if (sda_cnt == 0) begin + if (SDAD != SDAF) + SDAD = SDAF; + end + end + else begin + if (SDAD != SDAF) + sda_cnt = 3'd7; + end*/ + // END OF FILTER + + //SDA_IN = SDA; // FOR IVERILOG + if ((SDAD == 0) && (SDA_LAST == 1) && (SCLD == 1)) begin + i2c_state_machine = 1; + i2c_start_latency = 0; + i2c_bit_counter = 4'd8; + byte_counter = 9'd0; + //is_for_me = 1; // RESETS TO ZERO WHEN ADRESS CHECKING + SDA_DIR = 0; + is_ack = 0; + //ack_master_ctrl = 1; + wr = 0; + end + else if ((i2c_state_machine == 1) && (i2c_start_latency == 0)) begin + i2c_start_latency = 1; + is_read = 0; + end + if ((SDAD == 1) && (SDA_LAST == 0) && (SCLD == 1)) begin + i2c_state_machine = 0; + SDA_DIR = 0; + wr = 0; + end + if (i2c_state_machine/* && is_for_me*/) begin + if (!is_read) begin + if (i2c_bit_counter > 0) begin + if ((SCL_LAST == 0) && (SCLD == 1)) begin + received_byte[i2c_bit_counter-1] = SDAD; + i2c_bit_counter = i2c_bit_counter - 1; + end + end + else begin + if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin + if (byte_counter == 0) begin + if (received_byte[7:1] != I2C_ADRESS) + i2c_state_machine = 0; //is_for_me = 0; + is_read = received_byte[0]; + end + else begin + // EMIT SIGNAL OF BYTE RECEIVING + end + if (byte_counter != ((1< 1)) + wr = 1; + else if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 1)) begin + is_ack = 0; + SDA_DIR = 0; + i2c_bit_counter = 4'd8; + wr = 0; + end + end + end + else begin // IS_READ + if (i2c_bit_counter > 0) begin + if ((SCL_LAST == 1) && (SCLD == 0)) begin + wr = 0; + SDA_DIR = (BYTE_TO_TRANSMIT[i2c_bit_counter-1] ^ 1) /*& is_for_me & ack_master_ctrl*/; + i2c_bit_counter = i2c_bit_counter - 1; + is_ack = 0; + end + end + else begin + if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin + SDA_DIR = 0; + is_ack = 1; + end + else if ((SCL_LAST == 0) && (SCLD == 1) && (is_ack == 1)) begin + i2c_bit_counter = 8; + i2c_state_machine = (SDAD ^ 1) | SDA_DIR; //ack_master_ctrl = SDAD+1; // MAYBE TRANSMIT BYTE REPEAT + wr = (SDAD ^ 1) | SDA_DIR; + if (byte_counter != ((1< 8'hDF) && (kbd_code < 8'hE8)) begin + kbd_code = kbd_code & 8'h07; + if (is_pressed) + report [7:0] <= report [7:0] | (1< (2 + 10 - 1))) + I2C_TX_REPORT <= 0; + else if (I2C_COUNTER == 2) + I2C_TX_REPORT <= 10; + else if (I2C_COUNTER == 3) + I2C_TX_REPORT <= 0; + else + I2C_TX_REPORT <= kbd_report[ (8 * (I2C_COUNTER - 4) + 7) : (8 * (I2C_COUNTER - 4) + 0) ]; + end + else + I2C_TX_REPORT <= 0; + end + end + else if ((last_wr == 1) && (I2C_WR == 0)) begin + UART_WR <= 1; + if (I2C_READ == 0) + UART_TX_DATA <= I2C_RX; + else + UART_TX_DATA <= I2C_TX; + end + else if ((last_trans == 0) && (I2C_TRANS == 1)) begin + UART_TX_DATA = 8'hFF; + UART_WR = 1; + uart_double_ff = 1; + KBD_FREEZE = 0; + end + else if ((last_trans == 1) && (I2C_TRANS == 0)) begin + if (I2C_READ == 0) begin // DECODING PACKET RECEIVED FROM HOST + if (I2C_INPUT_LEN == 0) + KBD_FREEZE <= 0; + else if (I2C_INPUT_LEN == 2) begin + if ((I2C_INPUT_DATA[0] == 1) && (I2C_INPUT_DATA[1] == 0)) // I2C_HID_DESC_REQUEST + I2C_OUTPUT_TYPE = 1; + else if ((I2C_INPUT_DATA[0] == 2) && (I2C_INPUT_DATA[1] == 0)) // HID REPORT DESC REQUEST + I2C_OUTPUT_TYPE = 2; + else if ((I2C_INPUT_DATA[0] == 3) && (I2C_INPUT_DATA[1] == 0)) // INPUT REPORT REQUEST (ADR) + I2C_OUTPUT_TYPE = 3; + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 5) begin // OUTPUT REPORT SET (LEDS) - WRITE TO OUT ADR + if ((I2C_INPUT_DATA[0] == 4) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 1) && (I2C_INPUT_DATA[3] == 0)) begin + KBD_LED_STATUS <= I2C_INPUT_DATA[4]; + KBD_FREEZE <= 0; + end + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 6) begin // INPUT REPORT REQUEST (KBD PRESS INFO) + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 16) && (I2C_INPUT_DATA[3] == 2) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0)) + I2C_OUTPUT_TYPE = 3; + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 9) begin // OUTPUT REPORT SET (LEDS) - WRITE BY CMD + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 32) && (I2C_INPUT_DATA[3] == 3) && (I2C_INPUT_DATA[4] == 6) && (I2C_INPUT_DATA[5] == 0) /*&& (I2C_INPUT_DATA[6] == 1) && (I2C_INPUT_DATA[7] == 0)*/) begin + KBD_LED_STATUS <= I2C_INPUT_DATA[8]; + KBD_FREEZE <= 0; + end + //else + // I2C_OUTPUT_TYPE = 0; // + end + else if (I2C_INPUT_LEN == 4) begin + if ((I2C_INPUT_DATA[0] == 5) && (I2C_INPUT_DATA[1] == 0) && (I2C_INPUT_DATA[2] == 0) && (I2C_INPUT_DATA[3] == 1)) + rststate <= 4'h0; // RESET COMMAND + end + //else + // I2C_OUTPUT_TYPE = 0; // + if ((I2C_OUTPUT_TYPE == 1) || (I2C_OUTPUT_TYPE == 2)) + I2C_OUT_DESC_MASK = 8'hFF; + else + I2C_OUT_DESC_MASK = 8'h00; + end // END OF I2C_READ == 0 + else begin + KBD_FREEZE <= 0; // UNFREEZING KBD AFTER ANYONE I2C RECEIVING + //if (((I2C_OUTPUT_TYPE == 3) && (I2C_INPUT_LEN == 10)) || ((I2C_OUTPUT_TYPE == 0) && (I2C_INPUT_LEN > 1))) begin // HARD + if (((I2C_OUTPUT_TYPE == 3) || (I2C_OUTPUT_TYPE == 0)) && (I2C_INPUT_LEN > 1)) begin // SOFT + // DEACTIVATING INTERRRUPT IF HOST READ INPUT REPORT (LEN 10) AFTER INTERRUPT OR EMPTY DATA (>=2 BYTES) AFTER RESET + // AND UNFREEZING KEYBOARD + INT <= 1; + //KBD_FREEZE <= 0; + IS_EMPTY_REPORT = 1; + end + end + end + else if ((last_uart_active == 1) && (UART_ACTIVE == 0) && (uart_double_ff == 1)) begin + UART_WR = 1; + UART_TX_DATA = 8'hFF; + uart_double_ff = 0; + I2C_INPUT_LEN = 0; + end + else if (UART_WR == 1) + UART_WR <= 0; + else if ((last_isr == 0) && (ISR == 1) && (INT == 1)) begin + INT = 0; + I2C_OUTPUT_TYPE = 3; + I2C_OUT_DESC_MASK = 8'h00; + end + last_wr <= I2C_WR; + last_trans <= I2C_TRANS; + last_uart_active <= UART_ACTIVE; + last_isr <= ISR; + end + end + + assign LED5 = I2C_TRANS; + //assign LED5 = COM_RX; + assign LED1 = INT ^ 1;//KBD_COLUMNS[0];//I2C_OUTPUT_TYPE[0];//I2C_RX[0]; + assign LED2 = KBD_LED_STATUS[0];//I2C_OUTPUT_TYPE[0]; + assign LED3 = KBD_LED_STATUS[1];//I2C_OUTPUT_TYPE[1]; + assign LED4 = KBD_LED_STATUS[2];//KBD_FREEZE;//UART_ACTIVE; + //assign ACK = I2C_READ;//I2C_WR; //I2C_ACK; + + assign COM_TX = UART_TX_LINE;//COM_RX; + assign INTERRUPT = INT; + assign COM_RTS = I2C_READ;//UART_RTS; + assign COM_DSR = KBD_FREEZE;//UART_DTR; + assign COM_DCD = INT; + +endmodule //top diff --git a/i2c_keyboard/uart.v b/i2c_keyboard/uart.v new file mode 100644 index 0000000..8e54b1e --- /dev/null +++ b/i2c_keyboard/uart.v @@ -0,0 +1,60 @@ + +module uart ( input CLK, input RESET, input TX_SIGNAL, input [7:0] TX_BYTE, + output TX_ACTIVITY, output TX_LINE); +// CLK - INPUT CLOCK (12 MHZ FOR ICESTICK), RESET: IF RESET == 0, MODULE RESETS +// TX_SIGNAL - SIGNAL TO START TRANSMISSION (RISING EDGE), TX_BYTE - BYTE TO TRANSMIT +// TX_ACTIVITY = 1, IF SOME BYTE IS TRANSMITTING NOW, ELSE - 0 +// TX_LINE - LINE OF UART_TX, +// IF BYTE IS TRANSMITTING, ATTEMPT TO TRANSMIT OTHER BYTE HAS NO EFFECT +// MODULE WORKS AT POSEDGE + +parameter CLK_DIV = 13; +reg TX_sig_last; +reg [3:0] tx_bit_counter; +reg [3:0] tx_clk_counter; // MUST CONTAIN CLK DIV +//reg [7:0] tx_data; +reg tx_activity; +reg tx_line; +initial begin + TX_sig_last = 0; + tx_line = 1; +end + +always @ (posedge CLK) begin + if (RESET == 0) begin + /*tx_data = 0;*/ tx_clk_counter = 0; + end + + else begin + if (tx_activity) begin + tx_clk_counter = tx_clk_counter - 1; + if (tx_clk_counter == 0) begin + tx_clk_counter = CLK_DIV; + if (tx_bit_counter == 0) + tx_activity = 0; + else begin + tx_bit_counter = tx_bit_counter - 1; + if (tx_bit_counter > 0) + tx_line = TX_BYTE[8-tx_bit_counter]; + else + tx_line = 1; // STOP_BIT + end + end + end + else begin + if ((TX_SIGNAL == 1) && (TX_sig_last == 0)) begin + //tx_data = TX_BYTE; + tx_activity = 1; + tx_bit_counter = 9; // NO PARITY, STOP 1 BIT + tx_clk_counter = CLK_DIV; + tx_line = 0; // START BIT + end + end + TX_sig_last = TX_SIGNAL; + end +end + +assign TX_LINE = tx_line; +assign TX_ACTIVITY = tx_activity; + +endmodule diff --git a/kbd_4x4_test/.sconsign.dblite b/kbd_4x4_test/.sconsign.dblite deleted file mode 100644 index 2f17597b9e8f03958cc4403aecb9f59ccab8c4d5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2852 zcmc(h>v9xD7=}Yg2y6%*I7H4v2(o~?JBOYVhY$z}l1MltqXMq6r@JRTlI-lavkL@m z#mn##{Nbfo-hic+7vN7z|JmKUo86_XvcMnKR&7nq>~=rj_rCAf^I}-Qj$&k2c(%zZ z%~G5;Xr+wnX;!IY@))nPywc3F4Z?9+E2V_x&8)FmiPPF@dFRm3i}v5gnfRSP}zV?JQ@-(Zhr4&Y&hNID1T1zt6)mdRVqpZBJ zQdmegn7Y0t9~NLt{(rZCJ;i8GGu@OZc(V?L#b>`19&okD2+7lR7>^7VICjVwbv!3_ zUFLekPb~T65gYq<;KSZ%Bu}3+m{{ER>(QZB9F59~kh8yqRO4oCErwWIou^5x4 zB0jPlO>8e9Z{%>a3df@H?jGvS@hY5X#fo$*%eQi_dU;ZSQB;fbU0n6%lz^!sFDx$n z)@}%wtuQ@;g2c0#hfNw<3Gp4%4#L=TL+04Thtqsw@yG9;$|mrATopJi;7pOrvfkyg zma|+|(B4EspW{cCxr95%TGmaaW@ER-CYYk2Ho`6z6RarnqQGMHyNl{TZNn$Al zqlrr~N+gu!NTp2VS)LnvU`ndVndu&tCBW8Hj-o<}%GISyDz8K3E2=sqL{kY(VapV*~m=@L4HU)pPGab*9l`p9TM9i%t)q__zAHPvXA^r`H3V6F7kTlXS2OhoXzGKA9?=X#|tFFNi8~ zy3~On9;w37UJ#E(Mw~bSB`Ef(!xD>`ZbFHV6N@-5Ceod7{N=2ksKQAdKSRK&mreDr zlW0nssy%~nG@=FqoQ@_t1sKySccS}q^Tzb*wfi^cS7CZ^CY(_jRlz?ips054%Bk!e zQ%`-c!#u=j?AeYLCUX2?2g{6^zRdE(;=|vErJb>k%xE*Km!IgkoELDRKQ8S^7Z!j1 zqiq+pKEVlbW5;nYq9pbxb^}CZeq7lv!fYGLeB$<_k?pZk5n$@DSX>HgbE$`;!y+X> z{Ma&=eRR1j$ATI+OnL$iq)1!{%ScJh1 zRsFoyazVgNRn{ww%lEg(CFZB2**+elL=Ee)QMzk1!9vI=)s6nLjZ)Timr;VQ;oz1M zVzH=!mIQn-*yqUblf?5RxE%(}!3@dM1%;vMg+xwuKaAnF6#uV#Qha64WdV283i~_L zM%l+rY!t?^iS5t{k?kSdbZwu>B@l6NNa3zrVRE?SQ!^mIoV^hly=jm^h(4 zalOQ0#PMwxs!Hxpb#K-Le5R;h>CDDb?)I6jlQg$lesx|qV93RLuO0l$gSKO&S zbypx(@)Es26~NT~y=e1paNA>HASJr-iGcO~#&ZGbpuD^*UMGg13wW#>zoFW_sRB~# z1|;ibzpj{|J&DQ+9onxGxqxQ>ZY=>%~KC#q0w4Vvs(k#yv%NL5}%Px!PuzaOhzE&))a;y9|Fp+-0 diff --git a/kbd_4x4_test/apio.ini b/kbd_4x4_test/apio.ini deleted file mode 100644 index 1faba9f..0000000 --- a/kbd_4x4_test/apio.ini +++ /dev/null @@ -1,3 +0,0 @@ -[env] -board = icestick - diff --git a/kbd_4x4_test/ext_desc.pcf b/kbd_4x4_test/ext_desc.pcf deleted file mode 100644 index c4f30f8..0000000 --- a/kbd_4x4_test/ext_desc.pcf +++ /dev/null @@ -1,20 +0,0 @@ -set_io LEDS[0] 99 #RED -set_io LEDS[1] 98 #RED -set_io LEDS[2] 97 #RED -set_io LEDS[3] 96 #RED -set_io TACT_LED 95 #GREEN - -set_io COLS[0] 78 #J2-1 or PIO1-02 -set_io COLS[1] 79 #J2-2 or PIO1-03 -set_io COLS[2] 80 #J2-3 or PIO1-04 -set_io COLS[3] 81 #J2-4 or PIO1-05 - -set_io ROWS[0] 119 #J1-10 or PIO0-09 -set_io ROWS[1] 118 #J1-9 or PIO0-08 -set_io ROWS[2] 117 #J1-8 or PIO0-07 -set_io ROWS[3] 116 #J1-7 or PIO0-06 - -set_io CLK 21 -#set_io SCL 90 # J2, 9 -#set_io SDA 91 # J2, 10 - # GND - J2, 11 diff --git a/kbd_4x4_test/hardware.asc b/kbd_4x4_test/hardware.asc deleted file mode 100644 index 38ecb88..0000000 --- a/kbd_4x4_test/hardware.asc +++ /dev/null @@ -1,4516 +0,0 @@ -.comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) -.device 1k -.io_tile 1 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 2 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 3 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 4 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 5 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 6 0 -000000111000000000 -000100001000000000 -000000000000000000 -000000000000000000 -000000000000000100 -000000000000000000 -001000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 7 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000100 -000000000000001100 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000010110000000000 -000000000000000000 -000000000000000000 -.io_tile 8 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 9 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 10 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 11 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 12 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 1 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 1 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 3 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 3 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 3 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 3 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -100000000000000000 -000000000000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -.io_tile 0 4 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 4 -000000000001000000 -000100000000000000 -000000000000000000 -010000000000000001 -000000000000000000 -000000000000000000 -001000000001000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -001000000000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -.io_tile 0 5 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 5 -010000000000000000000111100011101001111100010000000000 -000000000000000000000110010101111010111100000000000001 -111000000000000001100110000101101010000010000000000000 -000000000000000000000000001001111011000000000000000000 -010000000000000001100010101001100000000000010010000000 -010000000000000000000110111111001010000000000000000000 -000000000000000001100110000000000000000000000000000000 -000000000000001101000010110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111001011101111000010000000000000 -000000000000000000000110010101101011000000000000000000 -000000000000000011000000001001100000000000010000000010 -001000000000000000100000001111001010000000000000000000 -010000000000000000000111001000000000000010000100000000 -000000000000000000000100001001000000000000000000000001 -.logic_tile 8 5 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -010000000000000000000000000101100001001100110110000000 -000000000000000000000000000000001001110011000000000000 -.logic_tile 9 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 5 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 6 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 6 -000000000000000001000110010001100000000000001000000000 -000000000000000000100010000000100000000000000000001000 -111000000000001001100110010000000000000000001000000000 -000000000000000001000110000000001000000000000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000110000000001001001100111100000001 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100111100000010 -000000000000000000000000000000001101110011000000000000 -.logic_tile 8 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 6 -000000000000000000 -000000000000000000 -100000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -001100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 7 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 7 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 7 -000000000000000000000010000111101100100000000000000000 -000000000000000000000100001111001100000000000000000000 -000000000000001101000000000000000000000000000000000000 -000000000000000001100000000000000000000000000000000000 -000000000000001000000110000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000111101110100000000000000000 -000000000000000000000000000111101001000000000000000000 -000000000000000101000000010011111101000010000000000000 -000000000000000101000010101011011101000000000000000000 -000000000000000101100110110000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000101100110100000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000001000000010101001101010100000000000000000 -000000000000000101000000000001011010000000000000000010 -.logic_tile 7 7 -000000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000010100 -111000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -.logic_tile 8 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 7 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 7 -000000000001000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000001000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 8 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000001100 -000000000000001000 -001100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -010011010000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -.logic_tile 1 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000010011111100010000000000000000 -000000000000000101000010101011101111000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 8 -000000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000010000 -111000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000001 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100110100000000 -000000000000000000000000000000001001110011000000000000 -.logic_tile 8 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 8 -000000000001000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000001000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 9 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 9 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 9 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 9 -000001111000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000010 -000000000000010000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 10 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 10 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 11 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 11 -000000000000000010 -000111010000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000010000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000100010 -000011010000110000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 12 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 12 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 12 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 12 -000000000000000010 -000011010000000000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000010000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000100010 -000011010000110000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 13 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 13 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 13 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 13 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 14 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000001100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000110000000 -000000000000000000000000000001000000000000000000000101 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 14 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 15 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 15 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000101000000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000001011100000000000000000000010000000000100 -000000000000000001000000001101000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000000001000000000000000000100000001 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000001000000000000000000100000001 -110000000000000000000000000101000000000010000000000000 -.ramb_tile 10 15 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 15 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011000000000000000101000000 -000000000000000000000000001001100000000011000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 15 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 16 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 16 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -110000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -110000000000000000000000000000000000000000000100000000 -010000000000000000000000001101000000000010000000000000 -.ramt_tile 10 16 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 16 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 1 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 2 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 3 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 4 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 5 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 6 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 7 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 8 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 9 17 -000000000000000010 -000100000000000000 -000000000000000000 -000000000000000001 -000000000011000001 -000000000011000000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000011000010 -000000000011000000 -000000000000000000 -000000000000000001 -000010011000000001 -000010011000000000 -.io_tile 10 17 -000000000000000010 -000100000000000000 -000000000000000000 -000000000000000001 -000000000010000001 -000000000011000000 -001100111000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000111001000010 -000000000011000000 -000000000000000000 -000000000000000001 -000000000000000001 -000000000000000000 -.io_tile 11 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 12 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.sym 1 $abc$541$n1$2 -.sym 6 $abc$541$n50$2 -.sym 7 CLK$2$2 -.sym 830 CLK$2 -.sym 836 CLK$2 -.sym 11005 $abc$541$n40 -.sym 11008 $abc$541$n41 -.sym 11009 $abc$541$n39 -.sym 11012 $abc$541$n37_1 -.sym 11133 $abc$541$n38 -.sym 12309 $abc$541$n50 -.sym 12868 $abc$541$n51 -.sym 12869 $abc$541$n43 -.sym 12870 $abc$541$n50 -.sym 12873 $abc$541$n42 -.sym 12874 $abc$541$n1 -.sym 12875 period_counter[1] -.sym 12993 period_counter[2] -.sym 12994 period_counter[3] -.sym 12995 period_counter[4] -.sym 12996 period_counter[5] -.sym 12997 period_counter[6] -.sym 12998 period_counter[7] -.sym 13114 period_counter[8] -.sym 13115 period_counter[9] -.sym 13116 period_counter[10] -.sym 13117 period_counter[11] -.sym 13118 period_counter[12] -.sym 13119 period_counter[13] -.sym 13120 period_counter[14] -.sym 13121 period_counter[15] -.sym 13188 period_counter[11] -.sym 13189 period_counter[12] -.sym 13190 period_counter[16] -.sym 13191 period_counter[17] -.sym 13206 period_counter[21] -.sym 13207 period_counter[23] -.sym 13208 period_counter[8] -.sym 13209 period_counter[9] -.sym 13212 period_counter[10] -.sym 13213 period_counter[13] -.sym 13214 period_counter[14] -.sym 13215 period_counter[15] -.sym 13230 $abc$541$n38 -.sym 13231 $abc$541$n39 -.sym 13232 $abc$541$n40 -.sym 13233 $abc$541$n41 -.sym 13237 period_counter[16] -.sym 13238 period_counter[17] -.sym 13239 period_counter[18] -.sym 13240 period_counter[19] -.sym 13241 period_counter[20] -.sym 13242 period_counter[21] -.sym 13243 period_counter[22] -.sym 13244 period_counter[23] -.sym 13341 period_counter[19] -.sym 13342 period_counter[22] -.sym 13343 period_counter[18] -.sym 13344 period_counter[20] -.sym 14418 $abc$541$n1 -.sym 14984 period_counter[0] -.sym 15051 $abc$541$n43 -.sym 15052 $abc$541$n37_1 -.sym 15053 $abc$541$n42 -.sym 15054 period_counter[0] -.sym 15057 period_counter[0] -.sym 15058 period_counter[1] -.sym 15059 period_counter[2] -.sym 15060 period_counter[3] -.sym 15063 $abc$541$n37_1 -.sym 15064 $abc$541$n42 -.sym 15065 $abc$541$n43 -.sym 15066 $false -.sym 15081 period_counter[4] -.sym 15082 period_counter[5] -.sym 15083 period_counter[6] -.sym 15084 period_counter[7] -.sym 15087 $abc$541$n37_1 -.sym 15088 $abc$541$n42 -.sym 15089 $abc$541$n43 -.sym 15090 $false -.sym 15093 period_counter[1] -.sym 15094 $false -.sym 15095 $false -.sym 15096 $false -.sym 15097 $abc$541$n51 -.sym 15098 CLK$2$2 -.sym 15099 $abc$541$n1$2 -.sym 15136 $true -.sym 15173 period_counter[0]$2 -.sym 15174 $false -.sym 15175 period_counter[0] -.sym 15176 $false -.sym 15177 $false -.sym 15179 $auto$alumacc.cc:470:replace_alu$27.C[2] -.sym 15181 $false -.sym 15182 period_counter[1] -.sym 15185 $auto$alumacc.cc:470:replace_alu$27.C[3] -.sym 15186 $false -.sym 15187 $false -.sym 15188 period_counter[2] -.sym 15189 $auto$alumacc.cc:470:replace_alu$27.C[2] -.sym 15191 $auto$alumacc.cc:470:replace_alu$27.C[4] -.sym 15192 $false -.sym 15193 $false -.sym 15194 period_counter[3] -.sym 15195 $auto$alumacc.cc:470:replace_alu$27.C[3] -.sym 15197 $auto$alumacc.cc:470:replace_alu$27.C[5] -.sym 15198 $false -.sym 15199 $false -.sym 15200 period_counter[4] -.sym 15201 $auto$alumacc.cc:470:replace_alu$27.C[4] -.sym 15203 $auto$alumacc.cc:470:replace_alu$27.C[6] -.sym 15204 $false -.sym 15205 $false -.sym 15206 period_counter[5] -.sym 15207 $auto$alumacc.cc:470:replace_alu$27.C[5] -.sym 15209 $auto$alumacc.cc:470:replace_alu$27.C[7] -.sym 15210 $false -.sym 15211 $false -.sym 15212 period_counter[6] -.sym 15213 $auto$alumacc.cc:470:replace_alu$27.C[6] -.sym 15215 $auto$alumacc.cc:470:replace_alu$27.C[8] -.sym 15216 $false -.sym 15217 $false -.sym 15218 period_counter[7] -.sym 15219 $auto$alumacc.cc:470:replace_alu$27.C[7] -.sym 15220 $true -.sym 15221 CLK$2$2 -.sym 15222 $abc$541$n1$2 -.sym 15259 $auto$alumacc.cc:470:replace_alu$27.C[8] -.sym 15296 $auto$alumacc.cc:470:replace_alu$27.C[9] -.sym 15297 $false -.sym 15298 $false -.sym 15299 period_counter[8] -.sym 15300 $auto$alumacc.cc:470:replace_alu$27.C[8] -.sym 15302 $auto$alumacc.cc:470:replace_alu$27.C[10] -.sym 15303 $false -.sym 15304 $false -.sym 15305 period_counter[9] -.sym 15306 $auto$alumacc.cc:470:replace_alu$27.C[9] -.sym 15308 $auto$alumacc.cc:470:replace_alu$27.C[11] -.sym 15309 $false -.sym 15310 $false -.sym 15311 period_counter[10] -.sym 15312 $auto$alumacc.cc:470:replace_alu$27.C[10] -.sym 15314 $auto$alumacc.cc:470:replace_alu$27.C[12] -.sym 15315 $false -.sym 15316 $false -.sym 15317 period_counter[11] -.sym 15318 $auto$alumacc.cc:470:replace_alu$27.C[11] -.sym 15320 $auto$alumacc.cc:470:replace_alu$27.C[13] -.sym 15321 $false -.sym 15322 $false -.sym 15323 period_counter[12] -.sym 15324 $auto$alumacc.cc:470:replace_alu$27.C[12] -.sym 15326 $auto$alumacc.cc:470:replace_alu$27.C[14] -.sym 15327 $false -.sym 15328 $false -.sym 15329 period_counter[13] -.sym 15330 $auto$alumacc.cc:470:replace_alu$27.C[13] -.sym 15332 $auto$alumacc.cc:470:replace_alu$27.C[15] -.sym 15333 $false -.sym 15334 $false -.sym 15335 period_counter[14] -.sym 15336 $auto$alumacc.cc:470:replace_alu$27.C[14] -.sym 15338 $auto$alumacc.cc:470:replace_alu$27.C[16] -.sym 15339 $false -.sym 15340 $false -.sym 15341 period_counter[15] -.sym 15342 $auto$alumacc.cc:470:replace_alu$27.C[15] -.sym 15343 $true -.sym 15344 CLK$2$2 -.sym 15345 $abc$541$n1$2 -.sym 15382 $auto$alumacc.cc:470:replace_alu$27.C[16] -.sym 15419 $auto$alumacc.cc:470:replace_alu$27.C[17] -.sym 15420 $false -.sym 15421 $false -.sym 15422 period_counter[16] -.sym 15423 $auto$alumacc.cc:470:replace_alu$27.C[16] -.sym 15425 $auto$alumacc.cc:470:replace_alu$27.C[18] -.sym 15426 $false -.sym 15427 $false -.sym 15428 period_counter[17] -.sym 15429 $auto$alumacc.cc:470:replace_alu$27.C[17] -.sym 15431 $auto$alumacc.cc:470:replace_alu$27.C[19] -.sym 15432 $false -.sym 15433 $false -.sym 15434 period_counter[18] -.sym 15435 $auto$alumacc.cc:470:replace_alu$27.C[18] -.sym 15437 $auto$alumacc.cc:470:replace_alu$27.C[20] -.sym 15438 $false -.sym 15439 $false -.sym 15440 period_counter[19] -.sym 15441 $auto$alumacc.cc:470:replace_alu$27.C[19] -.sym 15443 $auto$alumacc.cc:470:replace_alu$27.C[21] -.sym 15444 $false -.sym 15445 $false -.sym 15446 period_counter[20] -.sym 15447 $auto$alumacc.cc:470:replace_alu$27.C[20] -.sym 15449 $auto$alumacc.cc:470:replace_alu$27.C[22] -.sym 15450 $false -.sym 15451 $false -.sym 15452 period_counter[21] -.sym 15453 $auto$alumacc.cc:470:replace_alu$27.C[21] -.sym 15455 $auto$alumacc.cc:470:replace_alu$27.C[23] -.sym 15456 $false -.sym 15457 $false -.sym 15458 period_counter[22] -.sym 15459 $auto$alumacc.cc:470:replace_alu$27.C[22] -.sym 15462 $false -.sym 15463 $false -.sym 15464 period_counter[23] -.sym 15465 $auto$alumacc.cc:470:replace_alu$27.C[23] -.sym 15466 $true -.sym 15467 CLK$2$2 -.sym 15468 $abc$541$n1$2 -.sym 17202 $false -.sym 17203 $true$2 -.sym 17204 period_counter[0] -.sym 17205 $false -.sym 17206 $true -.sym 17207 CLK$2$2 -.sym 17208 $abc$541$n1$2 -.sym 18198 TACT_LED$2 -.sym 18319 $abc$541$n3 -.sym 18320 $abc$541$n54 -.sym 18321 rows_en[3] -.sym 18323 rows_en[2] -.sym 18445 rows_en[0] -.sym 18446 rows_en[1] -.sym 20405 TACT_LED$2 -.sym 20406 $false -.sym 20407 $false -.sym 20408 $false -.sym 20421 $abc$541$n50$2 -.sym 20422 CLK$2$2 -.sym 20423 $false -.sym 20516 row_counter[1] -.sym 20517 $false -.sym 20518 $false -.sym 20519 $false -.sym 20522 TACT_LED$2 -.sym 20523 $false -.sym 20524 $false -.sym 20525 $false -.sym 20528 TACT_LED$2 -.sym 20529 $false -.sym 20530 $false -.sym 20531 $false -.sym 20540 $abc$541$n54 -.sym 20541 $false -.sym 20542 $false -.sym 20543 $false -.sym 20544 $abc$541$n50$2 -.sym 20545 CLK$2$2 -.sym 20546 $abc$541$n3 -.sym 20657 $abc$541$n54 -.sym 20658 $false -.sym 20659 $false -.sym 20660 $false -.sym 20663 TACT_LED$2 -.sym 20664 $false -.sym 20665 $false -.sym 20666 $false -.sym 20667 $abc$541$n50$2 -.sym 20668 CLK$2$2 -.sym 20669 row_counter[1] -.sym 20715 $false -.sym 20717 rows_en[0] -.sym 20718 $false -.sym 20720 rows_en[1] -.sym 21189 $true$2 -.sym 22211 row_counter[1] -.sym 22487 $false -.sym 22489 rows_en[2] -.sym 22490 $false -.sym 22492 rows_en[3] -.sym 23155 $false -.sym 23156 $false -.sym 23157 $false -.sym 23158 $false -.sym 24397 row_counter[1] -.sym 24398 TACT_LED$2 -.sym 24399 $false -.sym 24400 $false -.sym 24425 $abc$541$n50$2 -.sym 24426 CLK$2$2 -.sym 24427 $false -.sym 24936 COLS[0]$2 -.sym 25089 COLS[1]$2 -.sym 25091 COLS[2]$2 -.sym 25399 COLS[3]$2 -.sym 27463 TACT_LED$2 -.sym 27519 COLS[3]$2 -.sym 27522 COLS[2]$2 -.sym 27549 COLS[1]$2 -.sym 27552 COLS[0]$2 diff --git a/kbd_4x4_test/hardware.bin b/kbd_4x4_test/hardware.bin deleted file mode 100644 index cf6b24d638bf7a019dae1185bd03250a914256ff..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32220 zcmeHOzmMER6n^7%E(=+7yXbVflOi-wMF^o2A_4@0A(S+vMHDEah!6!zMHLNKAj%aL z*IgSVQ2qoLDN<0RqoSjXQ2qd-;PKddv-XVV`B%K2JWE;6y!XxXd*6IJ9{V=W0G{3b z?d=p)wEFZD^NliAkhW}m*0QL}J8qx0-~j*1HRBMOy* z-p2y%IAJ$W#yYA%_)gO#cRIVklOtV*Il*G$Wsxo?K7oOzPr3|qV#UPEB3*W$z!4v# zx+lM*5lELiIx+aPUVkjBU{N(e{83`DT1@X+YJPB@qYp!dgvX&Zv64Y3(^2}gGOlsEp_CDFJLQ1Z`U(6 zmYNfxPG(!1n|=D;t~dDpG`ldN!(ae&Hy^B0K3HT5JaGm{mxE0pJ*;=2mUP*HJQ_L} z1EkBrsHOF?1GS{f4&>3$!5AQ24n{4lmmR1jU3MUkh7QI6>2fe?ds#16(TH!?XJRmN z@PYF`Z*VojYy(JTf))xk!DqF<3dc8P{5z!^@(VzQ%(ARp$ZpWOjQo`k zs7r27&9Dw3qVaT@OI;cTx|;d)xZD?Zw=OR*S!>=zMCp2&l*Ze-O+wnnTWl|?F69tX zN3NG}CA(2b%XE}Y`Rxo=GyB_ht_FMqQl0$Ap73LUYm7udF^~wZs=bYRuPo~EC)%CZ zQTZCrmDg++`yv0zT8V3hSzOKBc@8q`v6=_2>i=M9dhg^EotlUfxGs*#YS$5Jym~nH#_cK4N zb$Q`==xf=UpLHS-z#i3I((rk8nHXTRZJ0~VmrDI|*U;j*(JsS^zAPHfGxC|uIezCH zOP$eqakup*cw`fip-XRo-T}O8#3lift`{qfXUG?QrC~eP%~Zs7Qn{jPGDK}N%rIuV zCT`U=ZCzID*)fZJPWhk&ljSl%MvJ$QRmK7dM7<|N$7&4Z$Pv{YfMejO(*x+~ab(}Y zJZj;=>GA|Q6BE(p+tqSp)uf)M>F_Zy>U|umOJ5N?;vbPqywqhEvg{QOuxw!d-qod$ z`mwy;JvFao)}*zD%XYI3dka3f19AnY0L=UP!4`mQ!~ii63InGgX&DG*GN}$?pdAA% zM*D$w%+V-fARq>4KM>GlQYpkhI|fz}?FZU1N27>=fEb|tKtPj8r4R${7+B3{KhTaj b8bu5Q!~pFF0-8)Jg&1hZz#AVPvOV}89G{K5 diff --git a/kbd_4x4_test/hardware.blif b/kbd_4x4_test/hardware.blif deleted file mode 100644 index 44d17d9..0000000 --- a/kbd_4x4_test/hardware.blif +++ /dev/null @@ -1,254 +0,0 @@ -# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) - -.model top -.inputs CLK COLS[0] COLS[1] COLS[2] COLS[3] ROWS[0] ROWS[1] ROWS[2] ROWS[3] -.outputs ROWS[0] ROWS[1] ROWS[2] ROWS[3] LEDS[0] LEDS[1] LEDS[2] LEDS[3] TACT_LED -.names $false -.names $true -1 -.names $undef -.gate SB_LUT4 I0=$abc$541$n37_1 I1=$abc$541$n42 I2=$abc$541$n43 I3=$false O=$abc$541$n1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$541$n38 I1=$abc$541$n39 I2=$abc$541$n40 I3=$abc$541$n41 O=$abc$541$n37_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=period_counter[19] I1=period_counter[22] I2=period_counter[18] I3=period_counter[20] O=$abc$541$n38 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=period_counter[10] I1=period_counter[13] I2=period_counter[14] I3=period_counter[15] O=$abc$541$n39 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=period_counter[11] I1=period_counter[12] I2=period_counter[16] I3=period_counter[17] O=$abc$541$n40 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=period_counter[21] I1=period_counter[23] I2=period_counter[8] I3=period_counter[9] O=$abc$541$n41 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=period_counter[4] I1=period_counter[5] I2=period_counter[6] I3=period_counter[7] O=$abc$541$n42 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=period_counter[0] I1=period_counter[1] I2=period_counter[2] I3=period_counter[3] O=$abc$541$n43 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$541$n43 I1=$abc$541$n37_1 I2=$abc$541$n42 I3=period_counter[0] O=$abc$541$n51 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=row_counter[1] I1=TACT_LED I2=$false I3=$false O=$0\row_counter[1:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=row_counter[1] I1=$false I2=$false I3=$false O=$abc$541$n3 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=period_counter[1] I1=$false I2=$false I3=$false O=$abc$541$n52 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=TACT_LED I1=$false I2=$false I3=$false O=$abc$541$n54 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$541$n37_1 I1=$abc$541$n42 I2=$abc$541$n43 I3=$false O=$abc$541$n50 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=TACT_LED I1=$false I2=$false I3=$false O=$0\row_counter[1:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$false I1=$true I2=period_counter[0] I3=$false O=$add$top.v:27$5_Y[0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[10] I3=$auto$alumacc.cc:470:replace_alu$27.C[10] O=$add$top.v:27$5_Y[10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[10] CO=$auto$alumacc.cc:470:replace_alu$27.C[11] I0=$false I1=period_counter[10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[11] I3=$auto$alumacc.cc:470:replace_alu$27.C[11] O=$add$top.v:27$5_Y[11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[11] CO=$auto$alumacc.cc:470:replace_alu$27.C[12] I0=$false I1=period_counter[11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[12] I3=$auto$alumacc.cc:470:replace_alu$27.C[12] O=$add$top.v:27$5_Y[12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[12] CO=$auto$alumacc.cc:470:replace_alu$27.C[13] I0=$false I1=period_counter[12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[13] I3=$auto$alumacc.cc:470:replace_alu$27.C[13] O=$add$top.v:27$5_Y[13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[13] CO=$auto$alumacc.cc:470:replace_alu$27.C[14] I0=$false I1=period_counter[13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[14] I3=$auto$alumacc.cc:470:replace_alu$27.C[14] O=$add$top.v:27$5_Y[14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[14] CO=$auto$alumacc.cc:470:replace_alu$27.C[15] I0=$false I1=period_counter[14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[15] I3=$auto$alumacc.cc:470:replace_alu$27.C[15] O=$add$top.v:27$5_Y[15] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[15] CO=$auto$alumacc.cc:470:replace_alu$27.C[16] I0=$false I1=period_counter[15] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[16] I3=$auto$alumacc.cc:470:replace_alu$27.C[16] O=$add$top.v:27$5_Y[16] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[16] CO=$auto$alumacc.cc:470:replace_alu$27.C[17] I0=$false I1=period_counter[16] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[17] I3=$auto$alumacc.cc:470:replace_alu$27.C[17] O=$add$top.v:27$5_Y[17] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[17] CO=$auto$alumacc.cc:470:replace_alu$27.C[18] I0=$false I1=period_counter[17] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[18] I3=$auto$alumacc.cc:470:replace_alu$27.C[18] O=$add$top.v:27$5_Y[18] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[18] CO=$auto$alumacc.cc:470:replace_alu$27.C[19] I0=$false I1=period_counter[18] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[19] I3=$auto$alumacc.cc:470:replace_alu$27.C[19] O=$add$top.v:27$5_Y[19] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[19] CO=$auto$alumacc.cc:470:replace_alu$27.C[20] I0=$false I1=period_counter[19] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=period_counter[0] CO=$auto$alumacc.cc:470:replace_alu$27.C[2] I0=$false I1=period_counter[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[20] I3=$auto$alumacc.cc:470:replace_alu$27.C[20] O=$add$top.v:27$5_Y[20] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[20] CO=$auto$alumacc.cc:470:replace_alu$27.C[21] I0=$false I1=period_counter[20] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[21] I3=$auto$alumacc.cc:470:replace_alu$27.C[21] O=$add$top.v:27$5_Y[21] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[21] CO=$auto$alumacc.cc:470:replace_alu$27.C[22] I0=$false I1=period_counter[21] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[22] I3=$auto$alumacc.cc:470:replace_alu$27.C[22] O=$add$top.v:27$5_Y[22] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[22] CO=$auto$alumacc.cc:470:replace_alu$27.C[23] I0=$false I1=period_counter[22] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[23] I3=$auto$alumacc.cc:470:replace_alu$27.C[23] O=$add$top.v:27$5_Y[23] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[2] I3=$auto$alumacc.cc:470:replace_alu$27.C[2] O=$add$top.v:27$5_Y[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[2] CO=$auto$alumacc.cc:470:replace_alu$27.C[3] I0=$false I1=period_counter[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[3] I3=$auto$alumacc.cc:470:replace_alu$27.C[3] O=$add$top.v:27$5_Y[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[3] CO=$auto$alumacc.cc:470:replace_alu$27.C[4] I0=$false I1=period_counter[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[4] I3=$auto$alumacc.cc:470:replace_alu$27.C[4] O=$add$top.v:27$5_Y[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[4] CO=$auto$alumacc.cc:470:replace_alu$27.C[5] I0=$false I1=period_counter[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[5] I3=$auto$alumacc.cc:470:replace_alu$27.C[5] O=$add$top.v:27$5_Y[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[5] CO=$auto$alumacc.cc:470:replace_alu$27.C[6] I0=$false I1=period_counter[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[6] I3=$auto$alumacc.cc:470:replace_alu$27.C[6] O=$add$top.v:27$5_Y[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[6] CO=$auto$alumacc.cc:470:replace_alu$27.C[7] I0=$false I1=period_counter[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[7] I3=$auto$alumacc.cc:470:replace_alu$27.C[7] O=$add$top.v:27$5_Y[7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[7] CO=$auto$alumacc.cc:470:replace_alu$27.C[8] I0=$false I1=period_counter[7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[8] I3=$auto$alumacc.cc:470:replace_alu$27.C[8] O=$add$top.v:27$5_Y[8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[8] CO=$auto$alumacc.cc:470:replace_alu$27.C[9] I0=$false I1=period_counter[8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=period_counter[9] I3=$auto$alumacc.cc:470:replace_alu$27.C[9] O=$add$top.v:27$5_Y[9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$27.C[9] CO=$auto$alumacc.cc:470:replace_alu$27.C[10] I0=$false I1=period_counter[9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_DFFE C=CLK D=$0\row_counter[1:0][0] E=$abc$541$n50 Q=TACT_LED -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\row_counter[1:0][1] E=$abc$541$n50 Q=row_counter[1] -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[0] Q=period_counter[0] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$abc$541$n52 E=$abc$541$n51 Q=period_counter[1] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[2] Q=period_counter[2] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[3] Q=period_counter[3] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[4] Q=period_counter[4] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[5] Q=period_counter[5] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[6] Q=period_counter[6] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[7] Q=period_counter[7] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[8] Q=period_counter[8] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[9] Q=period_counter[9] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[10] Q=period_counter[10] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[11] Q=period_counter[11] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[12] Q=period_counter[12] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[13] Q=period_counter[13] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[14] Q=period_counter[14] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[15] Q=period_counter[15] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[16] Q=period_counter[16] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[17] Q=period_counter[17] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[18] Q=period_counter[18] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[19] Q=period_counter[19] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[20] Q=period_counter[20] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[21] Q=period_counter[21] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[22] Q=period_counter[22] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFSR C=CLK D=$add$top.v:27$5_Y[23] Q=period_counter[23] R=$abc$541$n1 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$abc$541$n54 E=$abc$541$n50 Q=rows_en[0] R=row_counter[1] -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=TACT_LED E=$abc$541$n50 Q=rows_en[1] R=row_counter[1] -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$541$n54 E=$abc$541$n50 Q=rows_en[2] R=$abc$541$n3 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=TACT_LED E=$abc$541$n50 Q=rows_en[3] R=$abc$541$n3 -.attr src "top.v:20|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_IO D_IN_0=rows_in[0] D_OUT_0=$false OUTPUT_ENABLE=rows_en[0] PACKAGE_PIN=ROWS[0] -.attr src "top.v:33" -.param PIN_TYPE 101001 -.param PULLUP 0 -.gate SB_IO D_IN_0=rows_in[1] D_OUT_0=$false OUTPUT_ENABLE=rows_en[1] PACKAGE_PIN=ROWS[1] -.attr src "top.v:33" -.param PIN_TYPE 101001 -.param PULLUP 0 -.gate SB_IO D_IN_0=rows_in[2] D_OUT_0=$false OUTPUT_ENABLE=rows_en[2] PACKAGE_PIN=ROWS[2] -.attr src "top.v:33" -.param PIN_TYPE 101001 -.param PULLUP 0 -.gate SB_IO D_IN_0=rows_in[3] D_OUT_0=$false OUTPUT_ENABLE=rows_en[3] PACKAGE_PIN=ROWS[3] -.attr src "top.v:33" -.param PIN_TYPE 101001 -.param PULLUP 0 -.names COLS[0] LEDS[0] -1 1 -.names COLS[1] LEDS[1] -1 1 -.names COLS[2] LEDS[2] -1 1 -.names COLS[3] LEDS[3] -1 1 -.names TACT_LED row_counter[0] -1 1 -.end diff --git a/kbd_4x4_test/top.v b/kbd_4x4_test/top.v deleted file mode 100644 index 25ca331..0000000 --- a/kbd_4x4_test/top.v +++ /dev/null @@ -1,43 +0,0 @@ -module top (input CLK, input [3:0] COLS, inout [3:0] ROWS, output [3:0] LEDS, output TACT_LED); - -// MODULE POOLS MATRIX KEYBOARD 4x4 WITH PERIOD ~1 SEC (FOR EACH ROW) -// AND REDIRECTED COLUMNS TO RED LEDS -// GREEN LED TOGGLES EVERY ONE SECOND (AS POOLING PERIOD) -// NEED FOR CHECKING CONNECTION TO MATRIX 4x4 KEYBOARD -// IF THERE IS NO KEY PRESSED, ALL RED LEDS ARE ON -// IF SOME KEY PRESSED, SOME LED IS NOT ON IN ONE OF FOUR PERIODS -// ETC - - reg [1:0] row_counter = 0; - reg [23:0] period_counter = 0; - reg [3:0] rows_out = 0; - reg [3:0] rows_en = 0; - wire [3:0] rows_in; - - - parameter period = 24'd12000000; - - always @ ( posedge CLK ) begin - if (period_counter == period) begin - period_counter <= 0; - rows_en <= (1<